blob: 26f93015adfd20d1d3e883397dda2cd0b70a9f54 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 510 STEP 6900 ;
VIAS 3 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1740x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 470 350 + ROWCOL 2 1 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 8 ;
- openram_1kB sky130_sram_1kbyte_1rw1r_32x256_8 + FIXED ( 344000 475500 ) N ;
- wb_bridge_2way wb_bridge_2way + FIXED ( 1550000 480000 ) N ;
- wb_openram_wrapper wb_openram_wrapper + FIXED ( 1085000 480000 ) N ;
- wrapped_frequency_counter_2 wrapped_frequency_counter + FIXED ( 350000 2200000 ) N ;
- wrapped_function_generator_0 wrapped_function_generator + FIXED ( 350000 1200000 ) N ;
- wrapped_hack_soc_dffram_11 wrapped_hack_soc_dffram + FIXED ( 2100000 500000 ) N ;
- wrapped_rgb_mixer_3 wrapped_rgb_mixer + FIXED ( 350000 2700000 ) N ;
- wrapped_vga_clock_1 wrapped_vga_clock + FIXED ( 350000 1700000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 -851840 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 -851840 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 -869840 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 -876840 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 180160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 1160160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -2521550 700160 ) ( -2518450 930160 )
+ LAYER met4 ( -2521550 180160 ) ( -2518450 430160 )
+ LAYER met4 ( -2341550 -289840 ) ( -2338450 -69840 )
+ LAYER met4 ( -2521550 -289840 ) ( -2518450 -69840 )
+ LAYER met4 ( -2341550 -876840 ) ( -2338450 -569840 )
+ LAYER met4 ( -2521550 -876840 ) ( -2518450 -569840 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 -1269840 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 -1269840 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 -1289840 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 -1294340 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -1294340 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -1294340 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 -851840 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 -851840 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 -869840 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 -876840 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 180160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 1160160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -2521550 700160 ) ( -2518450 930160 )
+ LAYER met4 ( -2521550 180160 ) ( -2518450 430160 )
+ LAYER met4 ( -2341550 -289840 ) ( -2338450 -69840 )
+ LAYER met4 ( -2521550 -289840 ) ( -2518450 -69840 )
+ LAYER met4 ( -2341550 -876840 ) ( -2338450 -569840 )
+ LAYER met4 ( -2521550 -876840 ) ( -2518450 -569840 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 -1269840 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 -1269840 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 -1289840 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 -1294340 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -1294340 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -1294340 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+ LAYER met4 ( -361550 -851840 ) ( -358450 1788510 )
+ LAYER met4 ( -541550 -851840 ) ( -538450 1788510 )
+ LAYER met4 ( -721550 -1788510 ) ( -718450 1788510 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 1788510 )
+ LAYER met4 ( -1081550 -1788510 ) ( -1078450 1788510 )
+ LAYER met4 ( -1261550 -1788510 ) ( -1258450 1788510 )
+ LAYER met4 ( -1441550 -1788510 ) ( -1438450 1788510 )
+ LAYER met4 ( -1621550 -869840 ) ( -1618450 1788510 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 1788510 )
+ LAYER met4 ( -1981550 -876840 ) ( -1978450 1788510 )
+ LAYER met4 ( -2161550 180160 ) ( -2158450 1788510 )
+ LAYER met4 ( -2341550 1160160 ) ( -2338450 1788510 )
+ LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+ LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+ LAYER met4 ( -2341550 700160 ) ( -2338450 930160 )
+ LAYER met4 ( -2341550 180160 ) ( -2338450 430160 )
+ LAYER met4 ( -2161550 -289840 ) ( -2158450 -69840 )
+ LAYER met4 ( -2341550 -289840 ) ( -2338450 -69840 )
+ LAYER met4 ( -2161550 -876840 ) ( -2158450 -569840 )
+ LAYER met4 ( -2341550 -876840 ) ( -2338450 -569840 )
+ LAYER met4 ( -361550 -1788510 ) ( -358450 -1269840 )
+ LAYER met4 ( -541550 -1788510 ) ( -538450 -1269840 )
+ LAYER met4 ( -1621550 -1788510 ) ( -1618450 -1289840 )
+ LAYER met4 ( -1981550 -1788510 ) ( -1978450 -1294340 )
+ LAYER met4 ( -2161550 -1788510 ) ( -2158450 -1294340 )
+ LAYER met4 ( -2341550 -1788510 ) ( -2338450 -1294340 )
+ LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+ LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+ LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+ LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+ LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+ LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+ LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+ LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+ LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+ LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+ LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+ LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+ LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+ LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+ LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+ LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+ LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+ LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+ LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+ LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+ LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+ LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+ FIXED ( 2747720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+ LAYER met4 ( -361550 -851840 ) ( -358450 1798110 )
+ LAYER met4 ( -541550 -851840 ) ( -538450 1798110 )
+ LAYER met4 ( -721550 -1798110 ) ( -718450 1798110 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 1798110 )
+ LAYER met4 ( -1081550 -1798110 ) ( -1078450 1798110 )
+ LAYER met4 ( -1261550 -1798110 ) ( -1258450 1798110 )
+ LAYER met4 ( -1441550 -1798110 ) ( -1438450 1798110 )
+ LAYER met4 ( -1621550 -869840 ) ( -1618450 1798110 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 1798110 )
+ LAYER met4 ( -1981550 -876840 ) ( -1978450 1798110 )
+ LAYER met4 ( -2161550 -289840 ) ( -2158450 1798110 )
+ LAYER met4 ( -2341550 1160160 ) ( -2338450 1798110 )
+ LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+ LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+ LAYER met4 ( -2341550 700160 ) ( -2338450 930160 )
+ LAYER met4 ( -2341550 180160 ) ( -2338450 430160 )
+ LAYER met4 ( -2341550 -289840 ) ( -2338450 -69840 )
+ LAYER met4 ( -2161550 -876840 ) ( -2158450 -569840 )
+ LAYER met4 ( -2341550 -876840 ) ( -2338450 -569840 )
+ LAYER met4 ( -361550 -1798110 ) ( -358450 -1269840 )
+ LAYER met4 ( -541550 -1798110 ) ( -538450 -1269840 )
+ LAYER met4 ( -1621550 -1798110 ) ( -1618450 -1289840 )
+ LAYER met4 ( -1981550 -1798110 ) ( -1978450 -1294340 )
+ LAYER met4 ( -2161550 -1798110 ) ( -2158450 -1294340 )
+ LAYER met4 ( -2341550 -1798110 ) ( -2338450 -1294340 )
+ LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+ LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+ LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+ LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+ LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+ LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+ LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+ LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+ LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+ LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+ LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+ LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+ LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+ LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+ LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+ LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+ LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+ LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+ LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+ LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+ LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+ LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+ FIXED ( 2766320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 -851840 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 -851840 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 -851840 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 -869840 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 -869840 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 -876840 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 1160160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -2455930 700160 ) ( -2452830 930160 )
+ LAYER met4 ( -2455930 180160 ) ( -2452830 430160 )
+ LAYER met4 ( -2455930 -289840 ) ( -2452830 -69840 )
+ LAYER met4 ( -2455930 -876840 ) ( -2452830 -569840 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 -1269840 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 -1269840 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -1269840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -1289840 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 -1289840 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 -1294340 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -1294340 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 -851840 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 -851840 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 -869840 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 -869840 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 -876840 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 1160160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 -876840 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -2446930 180160 ) ( -2443830 930160 )
+ LAYER met4 ( -2446930 -289840 ) ( -2443830 -69840 )
+ LAYER met4 ( -2446930 -876840 ) ( -2443830 -569840 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 -1269840 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 -1269840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -1289840 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 -1289840 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 -1294340 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -1294340 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -1294340 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 -851840 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 -851840 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 -869840 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 -869840 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 -876840 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 -876840 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 1160160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -2473930 700160 ) ( -2470830 930160 )
+ LAYER met4 ( -2473930 180160 ) ( -2470830 430160 )
+ LAYER met4 ( -2473930 -289840 ) ( -2470830 -69840 )
+ LAYER met4 ( -2473930 -876840 ) ( -2470830 -569840 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 -1269840 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 -1269840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -1289840 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 -1289840 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 -1294340 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 -1294340 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -1294340 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 -851840 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 -851840 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 -851840 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 -869840 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 -869840 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 -876840 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 1160160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -2464930 700160 ) ( -2461830 930160 )
+ LAYER met4 ( -2464930 180160 ) ( -2461830 430160 )
+ LAYER met4 ( -2464930 -289840 ) ( -2461830 -69840 )
+ LAYER met4 ( -2464930 -876840 ) ( -2461830 -569840 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 -1269840 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 -1269840 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -1269840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -1289840 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 -1289840 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 -1294340 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -1294340 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 5 ;
- LAYER li1 RECT ( 0 0 ) ( 2920000 3520000 ) ;
- LAYER met1 RECT ( 344000 475500 ) ( 823780 873000 ) ;
- LAYER met2 RECT ( 344000 475500 ) ( 823780 873000 ) ;
- LAYER met3 RECT ( 344000 475500 ) ( 823780 873000 ) ;
- LAYER met4 RECT ( 344000 475500 ) ( 823780 873000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 525440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 371840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 496320 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 440000 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 383680 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 496320 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 440000 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 383680 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2429040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2275440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2121840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2429040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2275440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2121840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 525440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 371840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 471320 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 425000 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 378680 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1214655 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1165000 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1115350 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1214655 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1165000 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1115350 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1580000 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1563680 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1580000 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1563680 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 818150 735880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 349630 735880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 818150 555880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 349630 555880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 908000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 908000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 890000 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 883000 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1940000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2920000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2460000 ) ( 370520 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1940000 ) ( 370520 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1470000 ) ( 550520 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1470000 ) ( 370520 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 883000 ) ( 550520 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 883000 ) ( 370520 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 470000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 465500 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 465500 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 465500 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 908000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 908000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 890000 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 883000 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1940000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2920000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2460000 ) ( 389120 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1940000 ) ( 389120 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1470000 ) ( 569120 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1470000 ) ( 389120 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 883000 ) ( 569120 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 883000 ) ( 389120 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 470000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 465500 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 465500 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 465500 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 908000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 908000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 890000 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 883000 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1940000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2920000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2460000 ) ( 407720 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1940000 ) ( 407720 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1470000 ) ( 587720 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1470000 ) ( 407720 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 883000 ) ( 587720 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 883000 ) ( 407720 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 470000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 465500 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 465500 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 465500 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 908000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 908000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 890000 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 883000 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1470000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2920000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2460000 ) ( 426320 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1940000 ) ( 426320 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1470000 ) ( 426320 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 883000 ) ( 606320 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 883000 ) ( 426320 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 470000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 465500 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 465500 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 465500 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 908000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 908000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 908000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 890000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 890000 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 883000 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2920000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2460000 ) ( 497720 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1940000 ) ( 497720 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1470000 ) ( 497720 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 883000 ) ( 497720 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 470000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 470000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 465500 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 465500 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 908000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 908000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 890000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 890000 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 883000 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2920000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 883000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1940000 ) ( 516320 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1470000 ) ( 516320 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 883000 ) ( 516320 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 470000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 470000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 465500 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 465500 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 465500 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 448640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 448640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 468160 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 411840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2352240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2198640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2352240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2198640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 448640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 448160 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 401840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1189825 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1140170 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1189825 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1140170 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1588160 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1571840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1588160 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1571840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 821550 825880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 346230 825880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 821550 645880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 346230 645880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 908000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 908000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 890000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 890000 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 883000 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 883000 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2920000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2460000 ) ( 460520 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1940000 ) ( 460520 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1470000 ) ( 460520 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 883000 ) ( 460520 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 470000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 470000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 465500 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 465500 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 465500 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 908000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 908000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 908000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 890000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 890000 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 883000 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2920000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2460000 ) ( 479120 2690000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1940000 ) ( 479120 2190000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1470000 ) ( 479120 1690000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 883000 ) ( 479120 1190000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 490000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 490000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 470000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 470000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 465500 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 465500 ) ;
END SPECIALNETS
NETS 1029 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( wrapped_vga_clock_1 io_in[0] ) ( wrapped_rgb_mixer_3 io_in[0] ) ( wrapped_hack_soc_dffram_11 io_in[0] ) ( wrapped_function_generator_0 io_in[0] ) ( wrapped_frequency_counter_2 io_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 408250 2700620 ) ( 408285 * 0 )
NEW met2 ( 2900990 32980 ) ( * 34170 )
NEW met3 ( 2900990 32980 ) ( 2917780 * 0 )
NEW met3 ( 289340 1690140 ) ( 295090 * )
NEW met2 ( 295090 1185750 ) ( * 1690140 )
NEW met4 ( 289340 1690140 ) ( * 2687020 )
NEW met2 ( 377430 2190620 ) ( * 2190790 )
NEW met1 ( 377430 2190790 ) ( 411470 * )
NEW met2 ( 411470 2190790 ) ( * 2200140 0 )
NEW met2 ( 408250 2687020 ) ( * 2700620 )
NEW met2 ( 2518270 838270 ) ( * 841670 )
NEW met2 ( 2511830 841670 ) ( * 1169430 )
NEW met2 ( 2715150 34170 ) ( * 838270 )
NEW met1 ( 295090 1185750 ) ( 430790 * )
NEW met1 ( 2715150 34170 ) ( 2900990 * )
NEW met3 ( 289340 2190620 ) ( 377430 * )
NEW met3 ( 289340 2687020 ) ( 408250 * )
NEW met2 ( 421130 1687250 ) ( * 1700340 0 )
NEW met1 ( 295090 1687250 ) ( 421130 * )
NEW met3 ( 2471580 838780 0 ) ( 2485150 * )
NEW met2 ( 2485150 838780 ) ( * 841670 )
NEW met1 ( 2485150 841670 ) ( 2518270 * )
NEW met1 ( 2518270 838270 ) ( 2715150 * )
NEW met2 ( 430790 1169430 ) ( * 1200185 0 )
NEW met1 ( 430790 1169430 ) ( 2511830 * )
NEW met1 ( 295090 1185750 ) M1M2_PR
NEW met1 ( 2511830 1169430 ) M1M2_PR
NEW met1 ( 2715150 34170 ) M1M2_PR
NEW met1 ( 2900990 34170 ) M1M2_PR
NEW met2 ( 2900990 32980 ) M2M3_PR
NEW met2 ( 295090 1690140 ) M2M3_PR
NEW met3 ( 289340 1690140 ) M3M4_PR
NEW met1 ( 295090 1687250 ) M1M2_PR
NEW met3 ( 289340 2190620 ) M3M4_PR
NEW met3 ( 289340 2687020 ) M3M4_PR
NEW met2 ( 377430 2190620 ) M2M3_PR
NEW met1 ( 377430 2190790 ) M1M2_PR
NEW met1 ( 411470 2190790 ) M1M2_PR
NEW met2 ( 408250 2687020 ) M2M3_PR
NEW met1 ( 2518270 841670 ) M1M2_PR
NEW met1 ( 2518270 838270 ) M1M2_PR
NEW met1 ( 2511830 841670 ) M1M2_PR
NEW met1 ( 2715150 838270 ) M1M2_PR
NEW met1 ( 430790 1185750 ) M1M2_PR
NEW met1 ( 421130 1687250 ) M1M2_PR
NEW met2 ( 2485150 838780 ) M2M3_PR
NEW met1 ( 2485150 841670 ) M1M2_PR
NEW met1 ( 430790 1169430 ) M1M2_PR
NEW met2 ( 295090 1687250 ) RECT ( -70 -485 70 0 )
NEW met4 ( 289340 2190620 ) RECT ( -150 -800 150 0 )
NEW met1 ( 2511830 841670 ) RECT ( -595 -70 0 70 )
NEW met2 ( 430790 1185750 ) RECT ( -70 -485 70 0 ) ;
- io_in[10] ( PIN io_in[10] ) ( wrapped_vga_clock_1 io_in[10] ) ( wrapped_rgb_mixer_3 io_in[10] ) ( wrapped_hack_soc_dffram_11 io_in[10] ) ( wrapped_function_generator_0 io_in[10] ) ( wrapped_frequency_counter_2 io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 482310 1945820 ) ( * 1949220 )
NEW met2 ( 479090 1929500 0 ) ( 479550 * )
NEW met2 ( 479550 1929500 ) ( * 1945820 )
NEW met2 ( 510830 2914990 ) ( * 2918730 )
NEW met2 ( 607430 2445790 ) ( * 2449190 )
NEW met3 ( 593860 2442900 ) ( 594090 * )
NEW met2 ( 594090 2442900 ) ( * 2449190 )
NEW met2 ( 411470 2449700 0 ) ( * 2457860 )
NEW met4 ( 593860 1949220 ) ( * 2442900 )
NEW met2 ( 607430 2449190 ) ( * 2914990 )
NEW met3 ( 2898230 2290580 ) ( 2917780 * 0 )
NEW met2 ( 2898230 2287350 ) ( * 2290580 )
NEW met2 ( 2898230 2290580 ) ( * 2445790 )
NEW met3 ( 268180 1945820 ) ( 482310 * )
NEW met2 ( 445050 2909380 ) ( * 2918730 )
NEW met2 ( 443670 2909380 0 ) ( 445050 * )
NEW met1 ( 445050 2918730 ) ( 510830 * )
NEW met3 ( 482310 1949220 ) ( 593860 * )
NEW met1 ( 546710 2449190 ) ( 607430 * )
NEW met1 ( 510830 2914990 ) ( 607430 * )
NEW met2 ( 2161770 897260 ) ( 2163610 * 0 )
NEW met1 ( 607430 2445790 ) ( 2898230 * )
NEW met4 ( 268180 1201220 ) ( * 1945820 )
NEW met3 ( 268180 1201220 ) ( 324300 * )
NEW met3 ( 324300 1201220 ) ( * 1204620 )
NEW met3 ( 324300 1204620 ) ( 350980 * )
NEW met3 ( 350980 1204620 ) ( * 1207340 0 )
NEW met2 ( 546710 2449190 ) ( * 2457860 )
NEW met2 ( 2159930 952200 ) ( 2161770 * )
NEW met2 ( 2161770 897260 ) ( * 952200 )
NEW met2 ( 2159930 952200 ) ( * 2287350 )
NEW met1 ( 2159930 2287350 ) ( 2898230 * )
NEW met3 ( 411470 2457860 ) ( 546710 * )
NEW met2 ( 482310 1945820 ) M2M3_PR
NEW met2 ( 482310 1949220 ) M2M3_PR
NEW met2 ( 479550 1945820 ) M2M3_PR
NEW met1 ( 510830 2918730 ) M1M2_PR
NEW met1 ( 510830 2914990 ) M1M2_PR
NEW met3 ( 593860 1949220 ) M3M4_PR
NEW met1 ( 607430 2449190 ) M1M2_PR
NEW met1 ( 607430 2445790 ) M1M2_PR
NEW met3 ( 593860 2442900 ) M3M4_PR
NEW met2 ( 594090 2442900 ) M2M3_PR
NEW met1 ( 594090 2449190 ) M1M2_PR
NEW met1 ( 607430 2914990 ) M1M2_PR
NEW met1 ( 2898230 2445790 ) M1M2_PR
NEW met2 ( 411470 2457860 ) M2M3_PR
NEW met2 ( 2898230 2290580 ) M2M3_PR
NEW met1 ( 2898230 2287350 ) M1M2_PR
NEW met3 ( 268180 1945820 ) M3M4_PR
NEW met1 ( 445050 2918730 ) M1M2_PR
NEW met1 ( 546710 2449190 ) M1M2_PR
NEW met3 ( 268180 1201220 ) M3M4_PR
NEW met2 ( 546710 2457860 ) M2M3_PR
NEW met1 ( 2159930 2287350 ) M1M2_PR
NEW met3 ( 479550 1945820 ) RECT ( -800 -150 0 150 )
NEW met3 ( 593860 2442900 ) RECT ( -390 -150 0 150 )
NEW met1 ( 594090 2449190 ) RECT ( -595 -70 0 70 ) ;
- io_in[11] ( PIN io_in[11] ) ( wrapped_vga_clock_1 io_in[11] ) ( wrapped_rgb_mixer_3 io_in[11] ) ( wrapped_hack_soc_dffram_11 io_in[11] ) ( wrapped_function_generator_0 io_in[11] ) ( wrapped_frequency_counter_2 io_in[11] ) + USE SIGNAL
+ ROUTED met3 ( 290490 2407540 ) ( 296010 * )
NEW met2 ( 2149810 897260 ) ( 2152110 * 0 )
NEW met2 ( 296010 2400910 ) ( * 2407540 )
NEW met1 ( 296470 2483870 ) ( * 2484210 )
NEW met2 ( 290490 2407540 ) ( * 2483870 )
NEW met1 ( 2149810 924290 ) ( 2153030 * )
NEW met2 ( 2149810 897260 ) ( * 924290 )
NEW met1 ( 2153030 2481150 ) ( 2156250 * )
NEW met2 ( 2153030 2481150 ) ( * 2483870 )
NEW met2 ( 2153030 924290 ) ( * 2481150 )
NEW met2 ( 2156250 2481150 ) ( * 2553230 )
NEW met2 ( 2900990 2553230 ) ( * 2556460 )
NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
NEW met3 ( 274850 2401420 ) ( 296010 * )
NEW met2 ( 348910 1929500 ) ( 350290 * 0 )
NEW met2 ( 348910 1929500 ) ( * 1939190 )
NEW met1 ( 274850 1939190 ) ( 348910 * )
NEW met2 ( 275770 1400290 ) ( * 1939190 )
NEW met2 ( 274850 1939190 ) ( * 2401420 )
NEW met1 ( 268410 2483870 ) ( 296470 * )
NEW met2 ( 268410 2483870 ) ( * 2891190 )
NEW met2 ( 338330 1396380 ) ( * 1400290 )
NEW met3 ( 338330 1396380 ) ( 350980 * )
NEW met3 ( 350980 1394340 0 ) ( * 1396380 )
NEW met1 ( 275770 1400290 ) ( 338330 * )
NEW met2 ( 338330 2400740 ) ( * 2400910 )
NEW met3 ( 338330 2400740 ) ( 350060 * )
NEW met3 ( 350060 2400740 ) ( * 2401200 )
NEW met3 ( 350060 2401200 ) ( 350980 * )
NEW met3 ( 350980 2400740 0 ) ( * 2401200 )
NEW met1 ( 296010 2400910 ) ( 338330 * )
NEW met1 ( 337870 2483870 ) ( * 2484210 )
NEW met1 ( 296470 2484210 ) ( 337870 * )
NEW met1 ( 337870 2483870 ) ( 2153030 * )
NEW met1 ( 2156250 2553230 ) ( 2900990 * )
NEW met2 ( 338330 2891190 ) ( * 2892380 )
NEW met3 ( 338330 2892380 ) ( 350980 * )
NEW met3 ( 350980 2892380 ) ( * 2893740 0 )
NEW met1 ( 268410 2891190 ) ( 338330 * )
NEW met2 ( 296010 2407540 ) M2M3_PR
NEW met2 ( 290490 2407540 ) M2M3_PR
NEW met2 ( 296010 2401420 ) M2M3_PR
NEW met1 ( 296010 2400910 ) M1M2_PR
NEW met1 ( 290490 2483870 ) M1M2_PR
NEW met1 ( 2149810 924290 ) M1M2_PR
NEW met1 ( 2153030 924290 ) M1M2_PR
NEW met1 ( 2156250 2481150 ) M1M2_PR
NEW met1 ( 2153030 2481150 ) M1M2_PR
NEW met1 ( 2153030 2483870 ) M1M2_PR
NEW met1 ( 2156250 2553230 ) M1M2_PR
NEW met1 ( 2900990 2553230 ) M1M2_PR
NEW met2 ( 2900990 2556460 ) M2M3_PR
NEW met1 ( 274850 1939190 ) M1M2_PR
NEW met1 ( 275770 1939190 ) M1M2_PR
NEW met2 ( 274850 2401420 ) M2M3_PR
NEW met1 ( 268410 2891190 ) M1M2_PR
NEW met1 ( 348910 1939190 ) M1M2_PR
NEW met1 ( 275770 1400290 ) M1M2_PR
NEW met1 ( 268410 2483870 ) M1M2_PR
NEW met1 ( 338330 1400290 ) M1M2_PR
NEW met2 ( 338330 1396380 ) M2M3_PR
NEW met1 ( 338330 2400910 ) M1M2_PR
NEW met2 ( 338330 2400740 ) M2M3_PR
NEW met1 ( 338330 2891190 ) M1M2_PR
NEW met2 ( 338330 2892380 ) M2M3_PR
NEW met2 ( 296010 2401420 ) RECT ( -70 0 70 485 )
NEW met1 ( 290490 2483870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 275770 1939190 ) RECT ( -595 -70 0 70 ) ;
- io_in[12] ( PIN io_in[12] ) ( wrapped_vga_clock_1 io_in[12] ) ( wrapped_rgb_mixer_3 io_in[12] ) ( wrapped_hack_soc_dffram_11 io_in[12] ) ( wrapped_function_generator_0 io_in[12] ) ( wrapped_frequency_counter_2 io_in[12] ) + USE SIGNAL
+ ROUTED met1 ( 469890 1471690 ) ( 474030 * )
NEW met2 ( 474030 1459620 ) ( * 1471690 )
NEW met2 ( 474030 1459620 ) ( 475810 * 0 )
NEW met2 ( 510830 2418250 ) ( * 2419100 )
NEW met3 ( 499100 2419100 ) ( 510830 * )
NEW met3 ( 499100 2418420 0 ) ( * 2419100 )
NEW met2 ( 2139230 897260 ) ( 2140150 * 0 )
NEW met2 ( 2521950 2818430 ) ( * 2825570 )
NEW met2 ( 2900990 2818430 ) ( * 2821660 )
NEW met3 ( 2900990 2821660 ) ( 2917780 * 0 )
NEW met2 ( 469890 1471690 ) ( * 1514530 )
NEW met3 ( 579140 1894140 0 ) ( 593170 * )
NEW met2 ( 593170 1894140 ) ( * 1894310 )
NEW met2 ( 613870 2428620 ) ( * 2877590 )
NEW met2 ( 2139230 897260 ) ( * 2321690 )
NEW met2 ( 2156250 2825570 ) ( * 2877590 )
NEW met2 ( 614790 2416380 ) ( * 2418250 )
NEW met3 ( 614790 2416380 ) ( 616860 * )
NEW met4 ( 616860 2416380 ) ( * 2428620 )
NEW met1 ( 510830 2418250 ) ( 614790 * )
NEW met3 ( 613870 2428620 ) ( 616860 * )
NEW met1 ( 2139230 2321690 ) ( 2487450 * )
NEW met1 ( 2156250 2825570 ) ( 2521950 * )
NEW met1 ( 2521950 2818430 ) ( 2900990 * )
NEW met3 ( 529460 2880140 0 ) ( 544870 * )
NEW met2 ( 544870 2877590 ) ( * 2880140 )
NEW met1 ( 469890 1514530 ) ( 614330 * )
NEW met2 ( 615250 1894310 ) ( * 1894820 )
NEW met3 ( 615250 1894820 ) ( 616860 * )
NEW met1 ( 593170 1894310 ) ( 615250 * )
NEW met2 ( 614330 1514530 ) ( * 1894310 )
NEW met4 ( 616860 1894820 ) ( * 2416380 )
NEW met1 ( 544870 2877590 ) ( 2156250 * )
NEW met2 ( 2487450 2321690 ) ( * 2825570 )
NEW met1 ( 469890 1471690 ) M1M2_PR
NEW met1 ( 474030 1471690 ) M1M2_PR
NEW met1 ( 510830 2418250 ) M1M2_PR
NEW met2 ( 510830 2419100 ) M2M3_PR
NEW met2 ( 613870 2428620 ) M2M3_PR
NEW met1 ( 2139230 2321690 ) M1M2_PR
NEW met1 ( 2156250 2825570 ) M1M2_PR
NEW met1 ( 2521950 2825570 ) M1M2_PR
NEW met1 ( 2521950 2818430 ) M1M2_PR
NEW met1 ( 2900990 2818430 ) M1M2_PR
NEW met2 ( 2900990 2821660 ) M2M3_PR
NEW met1 ( 469890 1514530 ) M1M2_PR
NEW met2 ( 593170 1894140 ) M2M3_PR
NEW met1 ( 593170 1894310 ) M1M2_PR
NEW met1 ( 613870 2877590 ) M1M2_PR
NEW met1 ( 2156250 2877590 ) M1M2_PR
NEW met1 ( 614790 2418250 ) M1M2_PR
NEW met2 ( 614790 2416380 ) M2M3_PR
NEW met3 ( 616860 2416380 ) M3M4_PR
NEW met3 ( 616860 2428620 ) M3M4_PR
NEW met1 ( 2487450 2321690 ) M1M2_PR
NEW met1 ( 2487450 2825570 ) M1M2_PR
NEW met2 ( 544870 2880140 ) M2M3_PR
NEW met1 ( 544870 2877590 ) M1M2_PR
NEW met1 ( 614330 1514530 ) M1M2_PR
NEW met1 ( 615250 1894310 ) M1M2_PR
NEW met2 ( 615250 1894820 ) M2M3_PR
NEW met3 ( 616860 1894820 ) M3M4_PR
NEW met1 ( 614330 1894310 ) M1M2_PR
NEW met1 ( 613870 2877590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 2487450 2825570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 614330 1894310 ) RECT ( 0 -70 595 70 ) ;
- io_in[13] ( PIN io_in[13] ) ( wrapped_vga_clock_1 io_in[13] ) ( wrapped_rgb_mixer_3 io_in[13] ) ( wrapped_hack_soc_dffram_11 io_in[13] ) ( wrapped_function_generator_0 io_in[13] ) ( wrapped_frequency_counter_2 io_in[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1276700 ) ( * 1278740 0 )
NEW met2 ( 2126810 897260 ) ( 2128650 * 0 )
NEW met1 ( 2125430 2697730 ) ( 2128650 * )
NEW met2 ( 2900990 3080570 ) ( * 3087540 )
NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
NEW met2 ( 2125430 952200 ) ( 2126810 * )
NEW met2 ( 2126810 897260 ) ( * 952200 )
NEW met2 ( 2125430 952200 ) ( * 2697730 )
NEW met2 ( 2128650 2697730 ) ( * 3080570 )
NEW met2 ( 440450 2700620 ) ( 440485 * 0 )
NEW met3 ( 599380 1276700 ) ( 628820 * )
NEW met3 ( 624220 1573860 ) ( 628820 * )
NEW met2 ( 622150 1579810 ) ( * 1579980 )
NEW met3 ( 622150 1579980 ) ( 624220 * )
NEW met1 ( 459770 1579810 ) ( 622150 * )
NEW met1 ( 548550 2691270 ) ( 617550 * )
NEW met1 ( 617550 2691270 ) ( 2125430 * )
NEW met1 ( 2128650 3080570 ) ( 2900990 * )
NEW met2 ( 459770 1579810 ) ( * 1700340 0 )
NEW met2 ( 443670 2194870 ) ( * 2200140 0 )
NEW met2 ( 440450 2690420 ) ( * 2700620 )
NEW met2 ( 548550 2689060 ) ( * 2691270 )
NEW met4 ( 628820 1276700 ) ( * 1573860 )
NEW met2 ( 618930 2194700 ) ( * 2194870 )
NEW met3 ( 618930 2194700 ) ( 624220 * )
NEW met4 ( 624220 1573860 ) ( * 2194700 )
NEW met2 ( 617550 2194870 ) ( * 2691270 )
NEW met1 ( 443670 2194870 ) ( 618930 * )
NEW met3 ( 440450 2690420 ) ( 496800 * )
NEW met3 ( 496800 2689060 ) ( * 2690420 )
NEW met3 ( 496800 2689060 ) ( 548550 * )
NEW met1 ( 2125430 2697730 ) M1M2_PR
NEW met1 ( 2128650 2697730 ) M1M2_PR
NEW met1 ( 2125430 2691270 ) M1M2_PR
NEW met1 ( 2128650 3080570 ) M1M2_PR
NEW met1 ( 2900990 3080570 ) M1M2_PR
NEW met2 ( 2900990 3087540 ) M2M3_PR
NEW met1 ( 459770 1579810 ) M1M2_PR
NEW met1 ( 548550 2691270 ) M1M2_PR
NEW met3 ( 628820 1276700 ) M3M4_PR
NEW met3 ( 624220 1573860 ) M3M4_PR
NEW met3 ( 628820 1573860 ) M3M4_PR
NEW met1 ( 622150 1579810 ) M1M2_PR
NEW met2 ( 622150 1579980 ) M2M3_PR
NEW met3 ( 624220 1579980 ) M3M4_PR
NEW met1 ( 617550 2691270 ) M1M2_PR
NEW met1 ( 443670 2194870 ) M1M2_PR
NEW met2 ( 440450 2690420 ) M2M3_PR
NEW met2 ( 548550 2689060 ) M2M3_PR
NEW met1 ( 618930 2194870 ) M1M2_PR
NEW met2 ( 618930 2194700 ) M2M3_PR
NEW met3 ( 624220 2194700 ) M3M4_PR
NEW met1 ( 617550 2194870 ) M1M2_PR
NEW met2 ( 2125430 2691270 ) RECT ( -70 0 70 485 )
NEW met4 ( 624220 1579980 ) RECT ( -150 -800 150 0 )
NEW met1 ( 617550 2194870 ) RECT ( -595 -70 0 70 ) ;
- io_in[14] ( PIN io_in[14] ) ( wrapped_vga_clock_1 io_in[14] ) ( wrapped_rgb_mixer_3 io_in[14] ) ( wrapped_hack_soc_dffram_11 io_in[14] ) ( wrapped_function_generator_0 io_in[14] ) ( wrapped_frequency_counter_2 io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 292790 1939870 ) ( * 1941740 )
NEW met3 ( 292790 1941740 ) ( 293020 * )
NEW met2 ( 407330 2909380 ) ( 408020 * 0 )
NEW met2 ( 407330 2909380 ) ( * 2918900 )
NEW met3 ( 407330 2918900 ) ( 410550 * )
NEW met4 ( 293020 1472540 ) ( * 1941740 )
NEW met2 ( 374210 2449700 ) ( 375820 * 0 )
NEW met2 ( 374210 2449700 ) ( * 2466870 )
NEW met2 ( 410550 2918900 ) ( * 2956470 )
NEW met3 ( 2904670 3353420 ) ( 2917780 * 0 )
NEW met2 ( 2904670 3353420 ) ( * 3353590 )
NEW met2 ( 2912030 786590 ) ( * 3353420 )
NEW met3 ( 261740 1941740 ) ( 292790 * )
NEW met3 ( 274850 2918900 ) ( 407330 * )
NEW met2 ( 456550 1459815 0 ) ( * 1472540 )
NEW met3 ( 293020 1472540 ) ( 456550 * )
NEW met2 ( 435390 1929500 ) ( 437230 * 0 )
NEW met2 ( 435390 1929500 ) ( * 1939870 )
NEW met1 ( 292790 1939870 ) ( 435390 * )
NEW met3 ( 2471580 782340 0 ) ( 2485150 * )
NEW met2 ( 2485150 782340 ) ( * 786590 )
NEW met1 ( 2485150 786590 ) ( 2912030 * )
NEW met2 ( 270710 2466700 ) ( * 2466870 )
NEW met3 ( 261740 2466700 ) ( 270710 * )
NEW met4 ( 261740 1941740 ) ( * 2466700 )
NEW met2 ( 274850 2466870 ) ( * 2918900 )
NEW met1 ( 270710 2466870 ) ( 374210 * )
NEW met1 ( 410550 2956470 ) ( 451950 * )
NEW met2 ( 451950 2956470 ) ( * 3353590 )
NEW met1 ( 451950 3353590 ) ( 2904670 * )
NEW met3 ( 293020 1472540 ) M3M4_PR
NEW met2 ( 292790 1941740 ) M2M3_PR
NEW met1 ( 292790 1939870 ) M1M2_PR
NEW met3 ( 293020 1941740 ) M3M4_PR
NEW met2 ( 407330 2918900 ) M2M3_PR
NEW met2 ( 410550 2918900 ) M2M3_PR
NEW met1 ( 2912030 786590 ) M1M2_PR
NEW met1 ( 374210 2466870 ) M1M2_PR
NEW met1 ( 410550 2956470 ) M1M2_PR
NEW met2 ( 2904670 3353420 ) M2M3_PR
NEW met1 ( 2904670 3353590 ) M1M2_PR
NEW met2 ( 2912030 3353420 ) M2M3_PR
NEW met3 ( 261740 1941740 ) M3M4_PR
NEW met2 ( 274850 2918900 ) M2M3_PR
NEW met2 ( 456550 1472540 ) M2M3_PR
NEW met1 ( 435390 1939870 ) M1M2_PR
NEW met2 ( 2485150 782340 ) M2M3_PR
NEW met1 ( 2485150 786590 ) M1M2_PR
NEW met1 ( 270710 2466870 ) M1M2_PR
NEW met2 ( 270710 2466700 ) M2M3_PR
NEW met3 ( 261740 2466700 ) M3M4_PR
NEW met1 ( 274850 2466870 ) M1M2_PR
NEW met1 ( 451950 2956470 ) M1M2_PR
NEW met1 ( 451950 3353590 ) M1M2_PR
NEW met3 ( 293020 1941740 ) RECT ( 0 -150 570 150 )
NEW met3 ( 2912030 3353420 ) RECT ( -800 -150 0 150 )
NEW met1 ( 274850 2466870 ) RECT ( -595 -70 0 70 ) ;
- io_in[15] ( PIN io_in[15] ) ( wrapped_vga_clock_1 io_in[15] ) ( wrapped_rgb_mixer_3 io_in[15] ) ( wrapped_hack_soc_dffram_11 io_in[15] ) ( wrapped_function_generator_0 io_in[15] ) ( wrapped_frequency_counter_2 io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2425390 ) ( * 2425900 )
NEW met3 ( 499100 2425900 ) ( 510830 * )
NEW met3 ( 499100 2425900 ) ( * 2427940 0 )
NEW met3 ( 599380 1208020 ) ( * 1210740 0 )
NEW met2 ( 611110 1900940 ) ( * 1904170 )
NEW met1 ( 593170 1904170 ) ( 611110 * )
NEW met2 ( 593170 1904170 ) ( * 1904340 )
NEW met3 ( 579140 1904340 0 ) ( 593170 * )
NEW met2 ( 607430 1904170 ) ( * 2421990 )
NEW met2 ( 2798410 3512710 ) ( * 3517980 0 )
NEW met2 ( 563270 2421990 ) ( * 2425390 )
NEW met3 ( 558900 2428620 ) ( 559130 * )
NEW met2 ( 559130 2425390 ) ( * 2428620 )
NEW met1 ( 510830 2425390 ) ( 563270 * )
NEW met1 ( 563270 2421990 ) ( 607430 * )
NEW met3 ( 529460 2886940 0 ) ( 558900 * )
NEW met3 ( 2471580 776900 0 ) ( 2485150 * )
NEW met2 ( 2485150 776900 ) ( * 779450 )
NEW met1 ( 2485150 779450 ) ( 2556450 * )
NEW met1 ( 2556450 3498430 ) ( 2559670 * )
NEW met1 ( 2559670 3501490 ) ( 2752870 * )
NEW met4 ( 558900 2428620 ) ( * 2886940 )
NEW met2 ( 543950 2886940 ) ( * 2932500 )
NEW met2 ( 543950 2932500 ) ( 544870 * )
NEW met2 ( 544870 2932500 ) ( * 3512710 )
NEW met3 ( 599380 1208020 ) ( 649060 * )
NEW met3 ( 611110 1900940 ) ( 649060 * )
NEW met4 ( 649060 1208020 ) ( * 1900940 )
NEW met2 ( 2556450 779450 ) ( * 3498430 )
NEW met1 ( 544870 3512710 ) ( 2559670 * )
NEW met2 ( 2559670 3498430 ) ( * 3512710 )
NEW met2 ( 2752870 3501490 ) ( * 3512710 )
NEW met1 ( 2752870 3512710 ) ( 2798410 * )
NEW met1 ( 510830 2425390 ) M1M2_PR
NEW met2 ( 510830 2425900 ) M2M3_PR
NEW met1 ( 607430 2421990 ) M1M2_PR
NEW met2 ( 611110 1900940 ) M2M3_PR
NEW met1 ( 611110 1904170 ) M1M2_PR
NEW met1 ( 593170 1904170 ) M1M2_PR
NEW met2 ( 593170 1904340 ) M2M3_PR
NEW met1 ( 607430 1904170 ) M1M2_PR
NEW met1 ( 2798410 3512710 ) M1M2_PR
NEW met1 ( 563270 2421990 ) M1M2_PR
NEW met1 ( 563270 2425390 ) M1M2_PR
NEW met3 ( 558900 2428620 ) M3M4_PR
NEW met2 ( 559130 2428620 ) M2M3_PR
NEW met1 ( 559130 2425390 ) M1M2_PR
NEW met3 ( 558900 2886940 ) M3M4_PR
NEW met2 ( 543950 2886940 ) M2M3_PR
NEW met2 ( 2485150 776900 ) M2M3_PR
NEW met1 ( 2485150 779450 ) M1M2_PR
NEW met1 ( 2556450 779450 ) M1M2_PR
NEW met1 ( 2559670 3498430 ) M1M2_PR
NEW met1 ( 2556450 3498430 ) M1M2_PR
NEW met1 ( 2559670 3501490 ) M1M2_PR
NEW met1 ( 2752870 3501490 ) M1M2_PR
NEW met1 ( 544870 3512710 ) M1M2_PR
NEW met3 ( 649060 1208020 ) M3M4_PR
NEW met3 ( 649060 1900940 ) M3M4_PR
NEW met1 ( 2559670 3512710 ) M1M2_PR
NEW met1 ( 2752870 3512710 ) M1M2_PR
NEW met1 ( 607430 1904170 ) RECT ( -595 -70 0 70 )
NEW met3 ( 558900 2428620 ) RECT ( -390 -150 0 150 )
NEW met1 ( 559130 2425390 ) RECT ( -595 -70 0 70 )
NEW met3 ( 543950 2886940 ) RECT ( -800 -150 0 150 )
NEW met2 ( 2559670 3501490 ) RECT ( -70 -485 70 0 ) ;
- io_in[16] ( PIN io_in[16] ) ( wrapped_vga_clock_1 io_in[16] ) ( wrapped_rgb_mixer_3 io_in[16] ) ( wrapped_hack_soc_dffram_11 io_in[16] ) ( wrapped_function_generator_0 io_in[16] ) ( wrapped_frequency_counter_2 io_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 2114850 897260 ) ( 2117150 * 0 )
NEW met2 ( 514510 2266610 ) ( * 2266780 )
NEW met3 ( 499100 2266780 ) ( 514510 * )
NEW met3 ( 499100 2266780 ) ( * 2268140 0 )
NEW met2 ( 569250 1193060 ) ( * 1200185 0 )
NEW met3 ( 579140 1717340 0 ) ( 593170 * )
NEW met2 ( 593170 1714450 ) ( * 1717340 )
NEW met2 ( 2114850 897260 ) ( * 928030 )
NEW met2 ( 2252850 928030 ) ( * 3501490 )
NEW met2 ( 561890 2728500 ) ( * 2728670 )
NEW met1 ( 544870 2728670 ) ( 561890 * )
NEW met2 ( 544870 2728670 ) ( * 2730540 )
NEW met3 ( 529460 2730540 0 ) ( 544870 * )
NEW met3 ( 569250 1193060 ) ( 642390 * )
NEW met3 ( 561890 2728500 ) ( 628820 * )
NEW met1 ( 2252850 3501490 ) ( 2269870 * )
NEW met1 ( 2269870 3501490 ) ( 2463070 * )
NEW met2 ( 565570 2728500 ) ( * 3513390 )
NEW met3 ( 630660 1711900 ) ( 630890 * )
NEW met2 ( 630890 1711730 ) ( * 1711900 )
NEW met1 ( 630890 1711730 ) ( 642390 * )
NEW met2 ( 630890 1711900 ) ( * 1714450 )
NEW met1 ( 593170 1714450 ) ( 630890 * )
NEW met2 ( 642390 1193060 ) ( * 1711730 )
NEW met4 ( 630660 1711900 ) ( * 2256300 )
NEW met4 ( 628820 2256300 ) ( 630660 * )
NEW met2 ( 628130 2266610 ) ( * 2266780 )
NEW met3 ( 628130 2266780 ) ( 628820 * )
NEW met1 ( 514510 2266610 ) ( 628130 * )
NEW met4 ( 628820 2256300 ) ( * 2728500 )
NEW met1 ( 2114850 928030 ) ( 2252850 * )
NEW met1 ( 565570 3513390 ) ( 2269870 * )
NEW met2 ( 2269870 3501490 ) ( * 3513390 )
NEW met1 ( 2463070 3513390 ) ( 2474110 * )
NEW met2 ( 2474110 3513390 ) ( * 3517980 0 )
NEW met2 ( 2463070 3501490 ) ( * 3513390 )
NEW met2 ( 569250 1193060 ) M2M3_PR
NEW met1 ( 2252850 3501490 ) M1M2_PR
NEW met1 ( 514510 2266610 ) M1M2_PR
NEW met2 ( 514510 2266780 ) M2M3_PR
NEW met2 ( 593170 1717340 ) M2M3_PR
NEW met1 ( 593170 1714450 ) M1M2_PR
NEW met1 ( 2114850 928030 ) M1M2_PR
NEW met1 ( 2252850 928030 ) M1M2_PR
NEW met2 ( 561890 2728500 ) M2M3_PR
NEW met1 ( 561890 2728670 ) M1M2_PR
NEW met1 ( 544870 2728670 ) M1M2_PR
NEW met2 ( 544870 2730540 ) M2M3_PR
NEW met2 ( 565570 2728500 ) M2M3_PR
NEW met2 ( 642390 1193060 ) M2M3_PR
NEW met3 ( 628820 2728500 ) M3M4_PR
NEW met1 ( 2269870 3501490 ) M1M2_PR
NEW met1 ( 2463070 3501490 ) M1M2_PR
NEW met1 ( 565570 3513390 ) M1M2_PR
NEW met3 ( 630660 1711900 ) M3M4_PR
NEW met2 ( 630890 1711900 ) M2M3_PR
NEW met1 ( 630890 1711730 ) M1M2_PR
NEW met1 ( 642390 1711730 ) M1M2_PR
NEW met1 ( 630890 1714450 ) M1M2_PR
NEW met1 ( 628130 2266610 ) M1M2_PR
NEW met2 ( 628130 2266780 ) M2M3_PR
NEW met3 ( 628820 2266780 ) M3M4_PR
NEW met1 ( 2269870 3513390 ) M1M2_PR
NEW met1 ( 2463070 3513390 ) M1M2_PR
NEW met1 ( 2474110 3513390 ) M1M2_PR
NEW met3 ( 565570 2728500 ) RECT ( -800 -150 0 150 )
NEW met3 ( 630660 1711900 ) RECT ( -390 -150 0 150 )
NEW met4 ( 628820 2266780 ) RECT ( -150 -800 150 0 ) ;
- io_in[17] ( PIN io_in[17] ) ( wrapped_vga_clock_1 io_in[17] ) ( wrapped_rgb_mixer_3 io_in[17] ) ( wrapped_hack_soc_dffram_11 io_in[17] ) ( wrapped_function_generator_0 io_in[17] ) ( wrapped_frequency_counter_2 io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 286350 1946330 ) ( * 1952620 )
NEW met2 ( 396750 1929500 ) ( 398590 * 0 )
NEW met2 ( 396750 1929500 ) ( * 1946330 )
NEW met2 ( 375130 2908700 ) ( 375820 * 0 )
NEW met2 ( 375130 2908700 ) ( * 2919580 )
NEW met2 ( 375130 2919580 ) ( 375590 * )
NEW met2 ( 288190 1276190 ) ( * 1946330 )
NEW met4 ( 288420 2436780 ) ( * 2919580 )
NEW met2 ( 375590 2919580 ) ( * 2932500 )
NEW met2 ( 375590 2932500 ) ( 376050 * )
NEW met2 ( 376050 2932500 ) ( * 3514070 )
NEW met2 ( 2149350 3514070 ) ( * 3517980 0 )
NEW met1 ( 2146130 3514070 ) ( 2149350 * )
NEW met2 ( 2146130 2673590 ) ( * 3514070 )
NEW met3 ( 268180 1952620 ) ( 286350 * )
NEW met2 ( 338330 1276020 ) ( * 1276190 )
NEW met3 ( 338330 1276020 ) ( 350980 * )
NEW met3 ( 350980 1275340 0 ) ( * 1276020 )
NEW met1 ( 288190 1276190 ) ( 338330 * )
NEW met1 ( 286350 1946330 ) ( 396750 * )
NEW met3 ( 268180 2436780 ) ( 324300 * )
NEW met3 ( 324300 2436780 ) ( * 2439500 )
NEW met3 ( 324300 2439500 ) ( 350980 * )
NEW met3 ( 350980 2439500 ) ( * 2441540 0 )
NEW met3 ( 288420 2919580 ) ( 375590 * )
NEW met2 ( 2104730 897260 ) ( 2105650 * 0 )
NEW met4 ( 268180 1952620 ) ( * 2436780 )
NEW met2 ( 2104730 897260 ) ( * 2673590 )
NEW met1 ( 2104730 2673590 ) ( 2146130 * )
NEW met1 ( 376050 3514070 ) ( 2146130 * )
NEW met1 ( 288190 1276190 ) M1M2_PR
NEW met2 ( 286350 1952620 ) M2M3_PR
NEW met1 ( 286350 1946330 ) M1M2_PR
NEW met1 ( 288190 1946330 ) M1M2_PR
NEW met3 ( 288420 2436780 ) M3M4_PR
NEW met3 ( 288420 2919580 ) M3M4_PR
NEW met1 ( 396750 1946330 ) M1M2_PR
NEW met2 ( 375590 2919580 ) M2M3_PR
NEW met1 ( 376050 3514070 ) M1M2_PR
NEW met1 ( 2146130 2673590 ) M1M2_PR
NEW met1 ( 2146130 3514070 ) M1M2_PR
NEW met1 ( 2149350 3514070 ) M1M2_PR
NEW met3 ( 268180 1952620 ) M3M4_PR
NEW met3 ( 268180 2436780 ) M3M4_PR
NEW met1 ( 338330 1276190 ) M1M2_PR
NEW met2 ( 338330 1276020 ) M2M3_PR
NEW met1 ( 2104730 2673590 ) M1M2_PR
NEW met1 ( 288190 1946330 ) RECT ( -595 -70 0 70 )
NEW met3 ( 288420 2436780 ) RECT ( -800 -150 0 150 ) ;
- io_in[18] ( PIN io_in[18] ) ( wrapped_vga_clock_1 io_in[18] ) ( wrapped_rgb_mixer_3 io_in[18] ) ( wrapped_hack_soc_dffram_11 io_in[18] ) ( wrapped_function_generator_0 io_in[18] ) ( wrapped_frequency_counter_2 io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 403190 1929500 ) ( 405030 * 0 )
NEW met2 ( 403190 1929500 ) ( * 1932730 )
NEW met2 ( 382490 2909380 0 ) ( 382950 * )
NEW met2 ( 382950 2909380 ) ( * 3515090 )
NEW met2 ( 491970 1193570 ) ( * 1200185 0 )
NEW met2 ( 1825050 3508630 ) ( * 3517980 0 )
NEW met2 ( 2418450 908310 ) ( * 3508630 )
NEW met2 ( 2512290 558450 ) ( * 908310 )
NEW met3 ( 251620 1938340 ) ( 254610 * )
NEW met1 ( 254610 1932730 ) ( 403190 * )
NEW met1 ( 253690 2926210 ) ( 382950 * )
NEW met1 ( 254610 1193570 ) ( 491970 * )
NEW met1 ( 1825050 3508630 ) ( 2418450 * )
NEW met2 ( 254610 1193570 ) ( * 1938340 )
NEW met3 ( 251620 2463300 ) ( 253690 * )
NEW met2 ( 253690 2460410 ) ( * 2463300 )
NEW met4 ( 251620 1938340 ) ( * 2463300 )
NEW met2 ( 253690 2463300 ) ( * 2926210 )
NEW met2 ( 350290 2449700 0 ) ( * 2460410 )
NEW met1 ( 253690 2460410 ) ( 350290 * )
NEW met1 ( 382950 3515090 ) ( 1825050 * )
NEW met3 ( 2471580 558620 0 ) ( 2484230 * )
NEW met2 ( 2484230 558450 ) ( * 558620 )
NEW met1 ( 2484230 558450 ) ( 2512290 * )
NEW met1 ( 2418450 908310 ) ( 2512290 * )
NEW met1 ( 403190 1932730 ) M1M2_PR
NEW met1 ( 382950 2926210 ) M1M2_PR
NEW met1 ( 491970 1193570 ) M1M2_PR
NEW met1 ( 1825050 3508630 ) M1M2_PR
NEW met1 ( 2418450 3508630 ) M1M2_PR
NEW met1 ( 382950 3515090 ) M1M2_PR
NEW met1 ( 1825050 3515090 ) M1M2_PR
NEW met1 ( 2418450 908310 ) M1M2_PR
NEW met1 ( 2512290 558450 ) M1M2_PR
NEW met1 ( 2512290 908310 ) M1M2_PR
NEW met1 ( 254610 1193570 ) M1M2_PR
NEW met2 ( 254610 1938340 ) M2M3_PR
NEW met3 ( 251620 1938340 ) M3M4_PR
NEW met1 ( 254610 1932730 ) M1M2_PR
NEW met1 ( 253690 2926210 ) M1M2_PR
NEW met3 ( 251620 2463300 ) M3M4_PR
NEW met2 ( 253690 2463300 ) M2M3_PR
NEW met1 ( 253690 2460410 ) M1M2_PR
NEW met1 ( 350290 2460410 ) M1M2_PR
NEW met2 ( 2484230 558620 ) M2M3_PR
NEW met1 ( 2484230 558450 ) M1M2_PR
NEW met2 ( 382950 2926210 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1825050 3515090 ) RECT ( -70 -485 70 0 )
NEW met2 ( 254610 1932730 ) RECT ( -70 -485 70 0 ) ;
- io_in[19] ( PIN io_in[19] ) ( wrapped_vga_clock_1 io_in[19] ) ( wrapped_rgb_mixer_3 io_in[19] ) ( wrapped_hack_soc_dffram_11 io_in[19] ) ( wrapped_function_generator_0 io_in[19] ) ( wrapped_frequency_counter_2 io_in[19] ) + USE SIGNAL
+ ROUTED met3 ( 296700 1214140 ) ( * 1214820 )
NEW met4 ( 293020 941460 ) ( * 1214140 )
NEW met2 ( 510830 1690310 ) ( * 1690820 )
NEW met2 ( 2519190 558790 ) ( * 941460 )
NEW met3 ( 547860 2705380 ) ( 614100 * )
NEW met3 ( 614100 2705380 ) ( * 2708100 )
NEW met3 ( 614100 2708100 ) ( 1497530 * )
NEW met3 ( 275540 1214140 ) ( 296700 * )
NEW met4 ( 275540 1214140 ) ( * 1690820 )
NEW met3 ( 338100 1214140 ) ( * 1214820 )
NEW met3 ( 338100 1214140 ) ( 350060 * )
NEW met3 ( 350060 1214140 ) ( * 1214820 )
NEW met3 ( 350060 1214820 ) ( 350980 * )
NEW met3 ( 350980 1214140 0 ) ( * 1214820 )
NEW met3 ( 296700 1214820 ) ( 338100 * )
NEW met3 ( 275540 1690820 ) ( 510830 * )
NEW met2 ( 549930 1690310 ) ( * 1700340 0 )
NEW met1 ( 510830 1690310 ) ( 549930 * )
NEW met4 ( 547860 2689740 ) ( * 2705380 )
NEW met3 ( 549930 1696940 ) ( 643540 * )
NEW met4 ( 642620 1821600 ) ( 643540 * )
NEW met4 ( 643540 1696940 ) ( * 1821600 )
NEW met4 ( 642620 1821600 ) ( * 2159700 )
NEW met4 ( 642620 2159700 ) ( 643540 * )
NEW met4 ( 643540 2159700 ) ( * 2224620 )
NEW met2 ( 624910 2224620 ) ( * 2708100 )
NEW met2 ( 1497530 3517980 ) ( 1499830 * )
NEW met2 ( 1499830 3517300 ) ( * 3517980 )
NEW met2 ( 1499830 3517300 ) ( 1500750 * )
NEW met2 ( 1500750 3517300 ) ( * 3517980 0 )
NEW met2 ( 1497530 2708100 ) ( * 3517980 )
NEW met3 ( 2471580 553180 0 ) ( 2484690 * )
NEW met2 ( 2484690 553180 ) ( * 558790 )
NEW met1 ( 2484690 558790 ) ( 2519190 * )
NEW met3 ( 293020 941460 ) ( 2519190 * )
NEW met3 ( 545100 2224620 ) ( 643540 * )
NEW met3 ( 499100 2221900 ) ( 500020 * )
NEW met3 ( 517500 2222580 ) ( 545100 * )
NEW met3 ( 545100 2222580 ) ( * 2224620 )
NEW met2 ( 517730 2689740 ) ( * 2700620 )
NEW met2 ( 517730 2700620 ) ( 517765 * 0 )
NEW met3 ( 517730 2689740 ) ( 547860 * )
NEW met3 ( 500020 2221800 ) ( * 2221900 )
NEW met3 ( 500020 2221800 ) ( 500940 * )
NEW met3 ( 500940 2221220 ) ( * 2221800 )
NEW met3 ( 500940 2221220 ) ( 517500 * )
NEW met3 ( 499100 2221220 0 ) ( * 2221900 )
NEW met3 ( 517500 2221220 ) ( * 2222580 )
NEW met3 ( 293020 941460 ) M3M4_PR
NEW met3 ( 293020 1214140 ) M3M4_PR
NEW met2 ( 510830 1690820 ) M2M3_PR
NEW met1 ( 510830 1690310 ) M1M2_PR
NEW met1 ( 2519190 558790 ) M1M2_PR
NEW met2 ( 2519190 941460 ) M2M3_PR
NEW met3 ( 547860 2705380 ) M3M4_PR
NEW met3 ( 643540 2224620 ) M3M4_PR
NEW met2 ( 624910 2224620 ) M2M3_PR
NEW met2 ( 624910 2708100 ) M2M3_PR
NEW met2 ( 1497530 2708100 ) M2M3_PR
NEW met3 ( 275540 1214140 ) M3M4_PR
NEW met3 ( 275540 1690820 ) M3M4_PR
NEW met1 ( 549930 1690310 ) M1M2_PR
NEW met2 ( 549930 1696940 ) M2M3_PR
NEW met3 ( 547860 2689740 ) M3M4_PR
NEW met3 ( 643540 1696940 ) M3M4_PR
NEW met2 ( 2484690 553180 ) M2M3_PR
NEW met1 ( 2484690 558790 ) M1M2_PR
NEW met2 ( 517730 2689740 ) M2M3_PR
NEW met3 ( 293020 1214140 ) RECT ( -800 -150 0 150 )
NEW met3 ( 624910 2224620 ) RECT ( -800 -150 0 150 )
NEW met3 ( 624910 2708100 ) RECT ( -800 -150 0 150 )
NEW met2 ( 549930 1696940 ) RECT ( -70 -485 70 0 ) ;
- io_in[1] ( PIN io_in[1] ) ( wrapped_vga_clock_1 io_in[1] ) ( wrapped_rgb_mixer_3 io_in[1] ) ( wrapped_hack_soc_dffram_11 io_in[1] ) ( wrapped_function_generator_0 io_in[1] ) ( wrapped_frequency_counter_2 io_in[1] ) + USE SIGNAL
+ ROUTED met1 ( 583050 1187110 ) ( 588570 * )
NEW met2 ( 583050 948770 ) ( * 1187110 )
NEW met2 ( 588570 1187110 ) ( * 1200185 0 )
NEW met2 ( 2526550 831470 ) ( * 948770 )
NEW met2 ( 2722050 234430 ) ( * 831470 )
NEW met2 ( 2900990 231540 ) ( * 234430 )
NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
NEW met1 ( 261510 1186430 ) ( 583050 * )
NEW met1 ( 261510 1780410 ) ( 272550 * )
NEW met1 ( 272550 1780070 ) ( * 1780410 )
NEW met2 ( 261510 1186430 ) ( * 1780410 )
NEW met1 ( 272550 2266610 ) ( 275310 * )
NEW met2 ( 272550 1780070 ) ( * 2266610 )
NEW met2 ( 275310 2266610 ) ( * 2760290 )
NEW met1 ( 272550 1780070 ) ( 324300 * )
NEW met1 ( 324300 1779730 ) ( * 1780070 )
NEW met1 ( 324300 1779730 ) ( 338790 * )
NEW met2 ( 338790 1777180 ) ( * 1779730 )
NEW met3 ( 338790 1777180 ) ( 350980 * )
NEW met3 ( 350980 1775140 0 ) ( * 1777180 )
NEW met2 ( 338330 2266100 ) ( * 2266610 )
NEW met3 ( 338330 2266100 ) ( 350980 * )
NEW met3 ( 350980 2265420 0 ) ( * 2266100 )
NEW met1 ( 275310 2266610 ) ( 338330 * )
NEW met2 ( 338330 2760290 ) ( * 2760460 )
NEW met3 ( 338330 2760460 ) ( 350980 * )
NEW met3 ( 350980 2760460 ) ( * 2761140 0 )
NEW met1 ( 275310 2760290 ) ( 338330 * )
NEW met3 ( 2471580 833340 0 ) ( 2485150 * )
NEW met2 ( 2485150 833340 ) ( * 834870 )
NEW met1 ( 2485150 834870 ) ( 2526550 * )
NEW met1 ( 583050 948770 ) ( 2526550 * )
NEW met1 ( 2526550 831470 ) ( 2722050 * )
NEW met1 ( 2722050 234430 ) ( 2900990 * )
NEW met1 ( 588570 1187110 ) M1M2_PR
NEW met1 ( 583050 1187110 ) M1M2_PR
NEW met1 ( 583050 1186430 ) M1M2_PR
NEW met1 ( 583050 948770 ) M1M2_PR
NEW met1 ( 2526550 831470 ) M1M2_PR
NEW met1 ( 2526550 834870 ) M1M2_PR
NEW met1 ( 2526550 948770 ) M1M2_PR
NEW met1 ( 2722050 234430 ) M1M2_PR
NEW met1 ( 2722050 831470 ) M1M2_PR
NEW met1 ( 2900990 234430 ) M1M2_PR
NEW met2 ( 2900990 231540 ) M2M3_PR
NEW met1 ( 261510 1186430 ) M1M2_PR
NEW met1 ( 272550 1780070 ) M1M2_PR
NEW met1 ( 261510 1780410 ) M1M2_PR
NEW met1 ( 275310 2266610 ) M1M2_PR
NEW met1 ( 272550 2266610 ) M1M2_PR
NEW met1 ( 275310 2760290 ) M1M2_PR
NEW met1 ( 338790 1779730 ) M1M2_PR
NEW met2 ( 338790 1777180 ) M2M3_PR
NEW met1 ( 338330 2266610 ) M1M2_PR
NEW met2 ( 338330 2266100 ) M2M3_PR
NEW met1 ( 338330 2760290 ) M1M2_PR
NEW met2 ( 338330 2760460 ) M2M3_PR
NEW met2 ( 2485150 833340 ) M2M3_PR
NEW met1 ( 2485150 834870 ) M1M2_PR
NEW met2 ( 583050 1186430 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2526550 834870 ) RECT ( -70 -485 70 0 ) ;
- io_in[20] ( PIN io_in[20] ) ( wrapped_vga_clock_1 io_in[20] ) ( wrapped_rgb_mixer_3 io_in[20] ) ( wrapped_hack_soc_dffram_11 io_in[20] ) ( wrapped_function_generator_0 io_in[20] ) ( wrapped_frequency_counter_2 io_in[20] ) + USE SIGNAL
+ ROUTED met1 ( 296010 2311490 ) ( 303830 * )
NEW met1 ( 303830 2311490 ) ( * 2311830 )
NEW met2 ( 303830 2804830 ) ( * 2811630 )
NEW met2 ( 296010 1673310 ) ( * 2311490 )
NEW met3 ( 599380 1292340 0 ) ( 608350 * )
NEW met2 ( 608350 1292340 ) ( * 1296930 )
NEW met2 ( 674590 1296930 ) ( * 1304410 )
NEW met1 ( 673210 1304410 ) ( 674590 * )
NEW met2 ( 673210 1304410 ) ( * 1673310 )
NEW met2 ( 1162650 914090 ) ( * 1304410 )
NEW met2 ( 1175990 3501490 ) ( * 3517980 0 )
NEW met2 ( 2512750 772650 ) ( * 914090 )
NEW met1 ( 261050 2308090 ) ( 296010 * )
NEW met1 ( 261050 2804830 ) ( 303830 * )
NEW met2 ( 338330 1831750 ) ( * 1832260 )
NEW met3 ( 338330 1832260 ) ( 350980 * )
NEW met3 ( 350980 1832260 ) ( * 1832940 0 )
NEW met1 ( 296010 1831750 ) ( 338330 * )
NEW met2 ( 338330 2311830 ) ( * 2317100 )
NEW met3 ( 338330 2317100 ) ( 350980 * )
NEW met3 ( 350980 2316420 0 ) ( * 2317100 )
NEW met1 ( 303830 2311830 ) ( 338330 * )
NEW met2 ( 338330 2811460 ) ( * 2811630 )
NEW met3 ( 338330 2811460 ) ( 350980 * )
NEW met3 ( 350980 2811460 ) ( * 2812140 0 )
NEW met1 ( 303830 2811630 ) ( 338330 * )
NEW met1 ( 296010 1673310 ) ( 673210 * )
NEW met1 ( 310270 3501490 ) ( 1175990 * )
NEW met3 ( 2471580 771460 0 ) ( 2485150 * )
NEW met2 ( 2485150 771460 ) ( * 772650 )
NEW met1 ( 2485150 772650 ) ( 2512750 * )
NEW met2 ( 261050 2308090 ) ( * 2804830 )
NEW met1 ( 608350 1296930 ) ( 674590 * )
NEW met1 ( 674590 1304410 ) ( 1162650 * )
NEW met1 ( 1162650 914090 ) ( 2512750 * )
NEW met2 ( 310270 2811630 ) ( * 3501490 )
NEW met1 ( 296010 1673310 ) M1M2_PR
NEW met1 ( 296010 1831750 ) M1M2_PR
NEW met1 ( 296010 2311490 ) M1M2_PR
NEW met1 ( 296010 2308090 ) M1M2_PR
NEW met1 ( 303830 2811630 ) M1M2_PR
NEW met1 ( 303830 2804830 ) M1M2_PR
NEW met1 ( 310270 2811630 ) M1M2_PR
NEW met1 ( 310270 3501490 ) M1M2_PR
NEW met1 ( 673210 1673310 ) M1M2_PR
NEW met1 ( 1175990 3501490 ) M1M2_PR
NEW met1 ( 2512750 772650 ) M1M2_PR
NEW met2 ( 608350 1292340 ) M2M3_PR
NEW met1 ( 608350 1296930 ) M1M2_PR
NEW met1 ( 674590 1296930 ) M1M2_PR
NEW met1 ( 674590 1304410 ) M1M2_PR
NEW met1 ( 673210 1304410 ) M1M2_PR
NEW met1 ( 1162650 914090 ) M1M2_PR
NEW met1 ( 1162650 1304410 ) M1M2_PR
NEW met1 ( 2512750 914090 ) M1M2_PR
NEW met1 ( 261050 2308090 ) M1M2_PR
NEW met1 ( 261050 2804830 ) M1M2_PR
NEW met1 ( 338330 1831750 ) M1M2_PR
NEW met2 ( 338330 1832260 ) M2M3_PR
NEW met1 ( 338330 2311830 ) M1M2_PR
NEW met2 ( 338330 2317100 ) M2M3_PR
NEW met1 ( 338330 2811630 ) M1M2_PR
NEW met2 ( 338330 2811460 ) M2M3_PR
NEW met2 ( 2485150 771460 ) M2M3_PR
NEW met1 ( 2485150 772650 ) M1M2_PR
NEW met2 ( 296010 1831750 ) RECT ( -70 -485 70 0 )
NEW met2 ( 296010 2308090 ) RECT ( -70 -485 70 0 )
NEW met1 ( 310270 2811630 ) RECT ( -595 -70 0 70 ) ;
- io_in[21] ( PIN io_in[21] ) ( wrapped_vga_clock_1 io_in[21] ) ( wrapped_rgb_mixer_3 io_in[21] ) ( wrapped_hack_soc_dffram_11 io_in[21] ) ( wrapped_function_generator_0 io_in[21] ) ( wrapped_frequency_counter_2 io_in[21] ) + USE SIGNAL
+ ROUTED met1 ( 282670 2279190 ) ( 290030 * )
NEW met2 ( 290030 2276810 ) ( * 2279190 )
NEW met2 ( 282670 1517930 ) ( * 2279190 )
NEW met2 ( 289570 2767260 ) ( 290030 * )
NEW met2 ( 290030 2774060 ) ( * 2774230 )
NEW met2 ( 289570 2774060 ) ( 290030 * )
NEW met2 ( 290030 2279190 ) ( * 2767260 )
NEW met2 ( 289570 2767260 ) ( * 3487890 )
NEW met2 ( 2411550 907970 ) ( * 1518270 )
NEW met2 ( 2513210 772310 ) ( * 907970 )
NEW met3 ( 334650 1354220 ) ( 350980 * )
NEW met3 ( 350980 1353540 0 ) ( * 1354220 )
NEW met1 ( 289570 3487890 ) ( 851690 * )
NEW met3 ( 2471580 766020 0 ) ( 2485610 * )
NEW met2 ( 2485610 766020 ) ( * 772310 )
NEW met1 ( 2485610 772310 ) ( 2513210 * )
NEW met1 ( 337870 1517930 ) ( * 1518270 )
NEW met1 ( 282670 1517930 ) ( 337870 * )
NEW met2 ( 334650 1354220 ) ( * 1517930 )
NEW met2 ( 338330 1790100 ) ( * 1790270 )
NEW met3 ( 338330 1790100 ) ( 350980 * )
NEW met3 ( 350980 1788740 0 ) ( * 1790100 )
NEW met1 ( 282670 1790270 ) ( 338330 * )
NEW met2 ( 338330 2276300 ) ( * 2276810 )
NEW met3 ( 338330 2276300 ) ( 350980 * )
NEW met3 ( 350980 2275620 0 ) ( * 2276300 )
NEW met1 ( 290030 2276810 ) ( 338330 * )
NEW met2 ( 338330 2774060 ) ( * 2774230 )
NEW met3 ( 338330 2774060 ) ( 350980 * )
NEW met3 ( 350980 2774060 ) ( * 2774740 0 )
NEW met1 ( 290030 2774230 ) ( 338330 * )
NEW met2 ( 851690 3487890 ) ( * 3517980 0 )
NEW met1 ( 337870 1518270 ) ( 2411550 * )
NEW met1 ( 2411550 907970 ) ( 2513210 * )
NEW met1 ( 289570 3487890 ) M1M2_PR
NEW met1 ( 2513210 772310 ) M1M2_PR
NEW met1 ( 282670 1517930 ) M1M2_PR
NEW met1 ( 282670 1790270 ) M1M2_PR
NEW met1 ( 290030 2279190 ) M1M2_PR
NEW met1 ( 282670 2279190 ) M1M2_PR
NEW met1 ( 290030 2276810 ) M1M2_PR
NEW met1 ( 290030 2774230 ) M1M2_PR
NEW met1 ( 2411550 907970 ) M1M2_PR
NEW met1 ( 2411550 1518270 ) M1M2_PR
NEW met1 ( 2513210 907970 ) M1M2_PR
NEW met2 ( 334650 1354220 ) M2M3_PR
NEW met1 ( 851690 3487890 ) M1M2_PR
NEW met2 ( 2485610 766020 ) M2M3_PR
NEW met1 ( 2485610 772310 ) M1M2_PR
NEW met1 ( 334650 1517930 ) M1M2_PR
NEW met1 ( 338330 1790270 ) M1M2_PR
NEW met2 ( 338330 1790100 ) M2M3_PR
NEW met1 ( 338330 2276810 ) M1M2_PR
NEW met2 ( 338330 2276300 ) M2M3_PR
NEW met1 ( 338330 2774230 ) M1M2_PR
NEW met2 ( 338330 2774060 ) M2M3_PR
NEW met2 ( 282670 1790270 ) RECT ( -70 -485 70 0 )
NEW met1 ( 334650 1517930 ) RECT ( -595 -70 0 70 ) ;
- io_in[22] ( PIN io_in[22] ) ( wrapped_vga_clock_1 io_in[22] ) ( wrapped_rgb_mixer_3 io_in[22] ) ( wrapped_hack_soc_dffram_11 io_in[22] ) ( wrapped_function_generator_0 io_in[22] ) ( wrapped_frequency_counter_2 io_in[22] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2316420 0 ) ( * 2317100 )
NEW met3 ( 499100 2317100 ) ( 510830 * )
NEW met2 ( 510830 2317100 ) ( * 2318290 )
NEW met3 ( 579140 1771740 0 ) ( 593170 * )
NEW met2 ( 593170 1770210 ) ( * 1771740 )
NEW met1 ( 600070 2318290 ) ( * 2318630 )
NEW met2 ( 597310 2318290 ) ( * 2777290 )
NEW met2 ( 2532990 551990 ) ( * 976310 )
NEW met1 ( 510830 2318290 ) ( 600070 * )
NEW met1 ( 448270 1179630 ) ( 628130 * )
NEW met1 ( 621230 1766470 ) ( 628130 * )
NEW met1 ( 593170 1770210 ) ( 621230 * )
NEW met1 ( 600070 2318630 ) ( 621230 * )
NEW met1 ( 448270 976310 ) ( 2532990 * )
NEW met2 ( 446890 1193700 ) ( 448270 * )
NEW met2 ( 446890 1193700 ) ( * 1200185 0 )
NEW met1 ( 544410 2780690 ) ( 553150 * )
NEW met2 ( 544410 2778820 ) ( * 2780690 )
NEW met3 ( 529460 2778820 0 ) ( 544410 * )
NEW met2 ( 553150 2777290 ) ( * 2780690 )
NEW met1 ( 553150 2777290 ) ( 597310 * )
NEW met2 ( 527850 2864500 ) ( 528310 * )
NEW met2 ( 528310 2863990 ) ( * 2864500 )
NEW met1 ( 528310 2863990 ) ( 553150 * )
NEW met2 ( 553150 2780690 ) ( * 2863990 )
NEW met2 ( 527850 2864500 ) ( * 3512100 )
NEW met2 ( 527390 3512100 ) ( 527850 * )
NEW met2 ( 527390 3512100 ) ( * 3517980 0 )
NEW met2 ( 628130 1179630 ) ( * 1766470 )
NEW met2 ( 621230 1766470 ) ( * 2318630 )
NEW met3 ( 2471580 547060 0 ) ( 2484230 * )
NEW met2 ( 2484230 547060 ) ( * 551990 )
NEW met1 ( 2484230 551990 ) ( 2532990 * )
NEW met2 ( 448270 976310 ) ( * 1193700 )
NEW met2 ( 510830 2317100 ) M2M3_PR
NEW met1 ( 510830 2318290 ) M1M2_PR
NEW met2 ( 593170 1771740 ) M2M3_PR
NEW met1 ( 593170 1770210 ) M1M2_PR
NEW met1 ( 597310 2318290 ) M1M2_PR
NEW met1 ( 2532990 976310 ) M1M2_PR
NEW met1 ( 597310 2777290 ) M1M2_PR
NEW met1 ( 2532990 551990 ) M1M2_PR
NEW met1 ( 448270 976310 ) M1M2_PR
NEW met1 ( 448270 1179630 ) M1M2_PR
NEW met1 ( 628130 1179630 ) M1M2_PR
NEW met1 ( 621230 1766470 ) M1M2_PR
NEW met1 ( 628130 1766470 ) M1M2_PR
NEW met1 ( 621230 1770210 ) M1M2_PR
NEW met1 ( 621230 2318630 ) M1M2_PR
NEW met1 ( 553150 2780690 ) M1M2_PR
NEW met1 ( 544410 2780690 ) M1M2_PR
NEW met2 ( 544410 2778820 ) M2M3_PR
NEW met1 ( 553150 2777290 ) M1M2_PR
NEW met1 ( 528310 2863990 ) M1M2_PR
NEW met1 ( 553150 2863990 ) M1M2_PR
NEW met2 ( 2484230 547060 ) M2M3_PR
NEW met1 ( 2484230 551990 ) M1M2_PR
NEW met1 ( 597310 2318290 ) RECT ( -595 -70 0 70 )
NEW met2 ( 448270 1179630 ) RECT ( -70 -485 70 0 )
NEW met2 ( 621230 1770210 ) RECT ( -70 -485 70 0 ) ;
- io_in[23] ( PIN io_in[23] ) ( wrapped_vga_clock_1 io_in[23] ) ( wrapped_rgb_mixer_3 io_in[23] ) ( wrapped_hack_soc_dffram_11 io_in[23] ) ( wrapped_function_generator_0 io_in[23] ) ( wrapped_frequency_counter_2 io_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 289110 2435930 ) ( * 2438990 )
NEW met1 ( 288190 2435930 ) ( 289110 * )
NEW met2 ( 391230 1928820 ) ( 392150 * 0 )
NEW met2 ( 391230 1928820 ) ( * 1956530 )
NEW met2 ( 372830 2909380 0 ) ( 373290 * )
NEW met2 ( 200330 3517980 ) ( 201710 * )
NEW met2 ( 201710 3517300 ) ( * 3517980 )
NEW met2 ( 201710 3517300 ) ( 202630 * )
NEW met2 ( 202630 3517300 ) ( * 3517980 0 )
NEW met2 ( 200330 2953410 ) ( * 3517980 )
NEW met2 ( 288190 1956530 ) ( * 2435930 )
NEW met2 ( 373290 2909380 ) ( * 2953410 )
NEW met2 ( 476790 1158550 ) ( * 1193700 )
NEW met2 ( 479090 1193700 ) ( * 1200185 0 )
NEW met2 ( 476790 1193700 ) ( 479090 * )
NEW met1 ( 241270 2438990 ) ( 289110 * )
NEW met1 ( 288190 1956530 ) ( 391230 * )
NEW met2 ( 338330 2435930 ) ( * 2436100 )
NEW met3 ( 338330 2436100 ) ( 350980 * )
NEW met3 ( 350980 2436100 ) ( * 2438140 0 )
NEW met1 ( 289110 2435930 ) ( 338330 * )
NEW met2 ( 637330 1155490 ) ( * 1158550 )
NEW met1 ( 476790 1158550 ) ( 637330 * )
NEW met1 ( 391230 1953130 ) ( 635030 * )
NEW met1 ( 637330 1155490 ) ( 1969950 * )
NEW met1 ( 1969950 496230 ) ( 2485150 * )
NEW met2 ( 241270 2438990 ) ( * 2953410 )
NEW met1 ( 200330 2953410 ) ( 373290 * )
NEW met2 ( 635030 1158550 ) ( * 1953130 )
NEW met2 ( 1969950 496230 ) ( * 1155490 )
NEW met3 ( 2471580 541620 0 ) ( 2485150 * )
NEW met2 ( 2485150 496230 ) ( * 541620 )
NEW met1 ( 288190 1956530 ) M1M2_PR
NEW met1 ( 289110 2438990 ) M1M2_PR
NEW met1 ( 289110 2435930 ) M1M2_PR
NEW met1 ( 288190 2435930 ) M1M2_PR
NEW met1 ( 391230 1956530 ) M1M2_PR
NEW met1 ( 391230 1953130 ) M1M2_PR
NEW met1 ( 476790 1158550 ) M1M2_PR
NEW met1 ( 200330 2953410 ) M1M2_PR
NEW met1 ( 373290 2953410 ) M1M2_PR
NEW met1 ( 241270 2438990 ) M1M2_PR
NEW met1 ( 338330 2435930 ) M1M2_PR
NEW met2 ( 338330 2436100 ) M2M3_PR
NEW met1 ( 637330 1158550 ) M1M2_PR
NEW met1 ( 637330 1155490 ) M1M2_PR
NEW met1 ( 635030 1158550 ) M1M2_PR
NEW met1 ( 635030 1953130 ) M1M2_PR
NEW met1 ( 1969950 496230 ) M1M2_PR
NEW met1 ( 1969950 1155490 ) M1M2_PR
NEW met1 ( 2485150 496230 ) M1M2_PR
NEW met1 ( 241270 2953410 ) M1M2_PR
NEW met2 ( 2485150 541620 ) M2M3_PR
NEW met2 ( 391230 1953130 ) RECT ( -70 -485 70 0 )
NEW met1 ( 635030 1158550 ) RECT ( -595 -70 0 70 )
NEW met1 ( 241270 2953410 ) RECT ( -595 -70 0 70 ) ;
- io_in[24] ( PIN io_in[24] ) ( wrapped_vga_clock_1 io_in[24] ) ( wrapped_rgb_mixer_3 io_in[24] ) ( wrapped_hack_soc_dffram_11 io_in[24] ) ( wrapped_function_generator_0 io_in[24] ) ( wrapped_frequency_counter_2 io_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 377890 1952790 ) ( * 1956190 )
NEW met2 ( 377890 1929500 ) ( 379270 * 0 )
NEW met2 ( 377890 1929500 ) ( * 1952790 )
NEW met3 ( 1380 3421420 0 ) ( 17250 * )
NEW met2 ( 17250 2977210 ) ( * 3421420 )
NEW met2 ( 214130 2974150 ) ( * 2977210 )
NEW met2 ( 220570 2421650 ) ( * 2974150 )
NEW met3 ( 599380 1305940 0 ) ( 608350 * )
NEW met2 ( 608350 1305940 ) ( * 1310870 )
NEW met2 ( 686550 1310870 ) ( * 1952790 )
NEW met2 ( 1942350 496570 ) ( * 1318010 )
NEW met1 ( 234830 2421650 ) ( * 2422330 )
NEW met1 ( 220570 2421650 ) ( 234830 * )
NEW met1 ( 238050 1956190 ) ( 377890 * )
NEW met2 ( 338330 2422330 ) ( * 2425900 )
NEW met3 ( 338330 2425900 ) ( 350980 * )
NEW met3 ( 350980 2425900 ) ( * 2427940 0 )
NEW met1 ( 234830 2422330 ) ( 338330 * )
NEW met2 ( 359030 2908700 ) ( 359720 * 0 )
NEW met2 ( 359030 2908700 ) ( * 2910740 )
NEW met2 ( 359030 2910740 ) ( 359490 * )
NEW met1 ( 377890 1952790 ) ( 686550 * )
NEW met1 ( 1942350 496570 ) ( 2485610 * )
NEW met1 ( 17250 2977210 ) ( 214130 * )
NEW met2 ( 238050 1956190 ) ( * 2422330 )
NEW met1 ( 214130 2974150 ) ( 359490 * )
NEW met2 ( 359490 2910740 ) ( * 2974150 )
NEW met1 ( 608350 1310870 ) ( 686550 * )
NEW met1 ( 686550 1318010 ) ( 1942350 * )
NEW met3 ( 2471580 536180 0 ) ( 2485610 * )
NEW met2 ( 2485610 496570 ) ( * 536180 )
NEW met1 ( 220570 2421650 ) M1M2_PR
NEW met1 ( 377890 1956190 ) M1M2_PR
NEW met1 ( 377890 1952790 ) M1M2_PR
NEW met1 ( 686550 1952790 ) M1M2_PR
NEW met1 ( 1942350 496570 ) M1M2_PR
NEW met1 ( 17250 2977210 ) M1M2_PR
NEW met2 ( 17250 3421420 ) M2M3_PR
NEW met1 ( 214130 2974150 ) M1M2_PR
NEW met1 ( 214130 2977210 ) M1M2_PR
NEW met1 ( 220570 2974150 ) M1M2_PR
NEW met2 ( 608350 1305940 ) M2M3_PR
NEW met1 ( 608350 1310870 ) M1M2_PR
NEW met1 ( 686550 1310870 ) M1M2_PR
NEW met1 ( 686550 1318010 ) M1M2_PR
NEW met1 ( 1942350 1318010 ) M1M2_PR
NEW met1 ( 238050 1956190 ) M1M2_PR
NEW met1 ( 238050 2422330 ) M1M2_PR
NEW met1 ( 338330 2422330 ) M1M2_PR
NEW met2 ( 338330 2425900 ) M2M3_PR
NEW met1 ( 2485610 496570 ) M1M2_PR
NEW met1 ( 359490 2974150 ) M1M2_PR
NEW met2 ( 2485610 536180 ) M2M3_PR
NEW met1 ( 220570 2974150 ) RECT ( -595 -70 0 70 )
NEW met2 ( 686550 1318010 ) RECT ( -70 -485 70 0 )
NEW met1 ( 238050 2422330 ) RECT ( -595 -70 0 70 ) ;
- io_in[25] ( PIN io_in[25] ) ( wrapped_vga_clock_1 io_in[25] ) ( wrapped_rgb_mixer_3 io_in[25] ) ( wrapped_hack_soc_dffram_11 io_in[25] ) ( wrapped_function_generator_0 io_in[25] ) ( wrapped_frequency_counter_2 io_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 495190 2700620 ) ( 495225 * 0 )
NEW met2 ( 495190 2694330 ) ( * 2700620 )
NEW met3 ( 1380 3160300 0 ) ( 17710 * )
NEW met2 ( 17710 3160300 ) ( * 3160470 )
NEW met2 ( 296470 1331610 ) ( * 1500590 )
NEW met2 ( 293250 2697390 ) ( * 3160470 )
NEW met2 ( 1949250 503030 ) ( * 900830 )
NEW met1 ( 293250 2697390 ) ( 495190 * )
NEW met1 ( 351670 1556010 ) ( 520950 * )
NEW met1 ( 1135050 900830 ) ( 1949250 * )
NEW met1 ( 1949250 503030 ) ( 2484230 * )
NEW met1 ( 17710 3160470 ) ( 293250 * )
NEW met2 ( 339250 1327020 ) ( * 1331610 )
NEW met3 ( 339250 1327020 ) ( 350980 * )
NEW met3 ( 350980 1326340 0 ) ( * 1327020 )
NEW met1 ( 296470 1331610 ) ( 339250 * )
NEW met1 ( 372600 1500590 ) ( * 1500930 )
NEW met1 ( 296470 1500590 ) ( 372600 * )
NEW met2 ( 351670 1500590 ) ( * 1556010 )
NEW met2 ( 524170 1683170 ) ( * 1700340 0 )
NEW met2 ( 520950 1556010 ) ( * 1683170 )
NEW met1 ( 520950 1683170 ) ( 614790 * )
NEW met1 ( 614100 2194190 ) ( * 2194530 )
NEW met1 ( 614100 2194530 ) ( 649750 * )
NEW met2 ( 614790 1683170 ) ( * 2194530 )
NEW met2 ( 649750 2194530 ) ( * 2694330 )
NEW met1 ( 372600 1500930 ) ( 1135050 * )
NEW met2 ( 1135050 900830 ) ( * 1500930 )
NEW met3 ( 2471580 530740 0 ) ( 2484230 * )
NEW met2 ( 2484230 503030 ) ( * 530740 )
NEW met2 ( 498410 2194190 ) ( * 2200140 0 )
NEW met1 ( 498410 2194190 ) ( 614100 * )
NEW met1 ( 495190 2694330 ) ( 649750 * )
NEW met1 ( 293250 2697390 ) M1M2_PR
NEW met1 ( 495190 2694330 ) M1M2_PR
NEW met1 ( 495190 2697390 ) M1M2_PR
NEW met1 ( 1949250 503030 ) M1M2_PR
NEW met1 ( 1949250 900830 ) M1M2_PR
NEW met2 ( 17710 3160300 ) M2M3_PR
NEW met1 ( 17710 3160470 ) M1M2_PR
NEW met1 ( 296470 1331610 ) M1M2_PR
NEW met1 ( 296470 1500590 ) M1M2_PR
NEW met1 ( 293250 3160470 ) M1M2_PR
NEW met1 ( 351670 1556010 ) M1M2_PR
NEW met1 ( 520950 1556010 ) M1M2_PR
NEW met1 ( 649750 2694330 ) M1M2_PR
NEW met1 ( 1135050 900830 ) M1M2_PR
NEW met1 ( 2484230 503030 ) M1M2_PR
NEW met1 ( 339250 1331610 ) M1M2_PR
NEW met2 ( 339250 1327020 ) M2M3_PR
NEW met1 ( 351670 1500590 ) M1M2_PR
NEW met1 ( 520950 1683170 ) M1M2_PR
NEW met1 ( 524170 1683170 ) M1M2_PR
NEW met1 ( 614790 1683170 ) M1M2_PR
NEW met1 ( 649750 2194530 ) M1M2_PR
NEW met1 ( 614790 2194530 ) M1M2_PR
NEW met1 ( 1135050 1500930 ) M1M2_PR
NEW met2 ( 2484230 530740 ) M2M3_PR
NEW met1 ( 498410 2194190 ) M1M2_PR
NEW met2 ( 495190 2697390 ) RECT ( -70 -485 70 0 )
NEW met1 ( 351670 1500590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 524170 1683170 ) RECT ( -595 -70 0 70 )
NEW met1 ( 614790 2194530 ) RECT ( -595 -70 0 70 ) ;
- io_in[26] ( PIN io_in[26] ) ( wrapped_vga_clock_1 io_in[26] ) ( wrapped_rgb_mixer_3 io_in[26] ) ( wrapped_hack_soc_dffram_11 io_in[26] ) ( wrapped_function_generator_0 io_in[26] ) ( wrapped_frequency_counter_2 io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2899860 0 ) ( 17250 * )
NEW met2 ( 491970 2700620 ) ( 492005 * 0 )
NEW met2 ( 491970 2697730 ) ( * 2700620 )
NEW met3 ( 599380 1363740 0 ) ( 607890 * )
NEW met2 ( 607890 1363740 ) ( * 1365610 )
NEW met2 ( 17250 2697730 ) ( * 2899860 )
NEW met2 ( 495190 2193510 ) ( * 2200140 0 )
NEW met2 ( 491970 2691000 ) ( * 2697730 )
NEW met2 ( 491970 2691000 ) ( 493350 * )
NEW met2 ( 493350 2474690 ) ( * 2691000 )
NEW met2 ( 604670 2191130 ) ( * 2193510 )
NEW met2 ( 601910 2193510 ) ( * 2474690 )
NEW met2 ( 1259710 1191190 ) ( * 1362890 )
NEW met1 ( 17250 2697730 ) ( 491970 * )
NEW met2 ( 649290 1362890 ) ( * 1365610 )
NEW met1 ( 607890 1365610 ) ( 649290 * )
NEW met1 ( 648830 1669910 ) ( 649750 * )
NEW met1 ( 517730 1676370 ) ( 649750 * )
NEW met1 ( 649290 1362890 ) ( 1259710 * )
NEW met1 ( 1259710 1191190 ) ( 1907850 * )
NEW met2 ( 2478250 482970 ) ( * 514250 )
NEW met1 ( 2477330 514250 ) ( 2478250 * )
NEW met1 ( 1907850 482970 ) ( 2478250 * )
NEW met2 ( 517730 1676370 ) ( * 1700340 0 )
NEW met2 ( 648830 1435200 ) ( 649290 * )
NEW met2 ( 649290 1365610 ) ( * 1435200 )
NEW met2 ( 648830 1435200 ) ( * 1669910 )
NEW met1 ( 604670 2191130 ) ( 649750 * )
NEW met2 ( 649750 1669910 ) ( * 2191130 )
NEW met2 ( 1907850 482970 ) ( * 1191190 )
NEW met3 ( 2471580 524620 0 ) ( 2477330 * )
NEW met2 ( 2477330 514250 ) ( * 524620 )
NEW met1 ( 495190 2193510 ) ( 604670 * )
NEW met1 ( 493350 2474690 ) ( 601910 * )
NEW met1 ( 17250 2697730 ) M1M2_PR
NEW met2 ( 17250 2899860 ) M2M3_PR
NEW met1 ( 491970 2697730 ) M1M2_PR
NEW met2 ( 607890 1363740 ) M2M3_PR
NEW met1 ( 607890 1365610 ) M1M2_PR
NEW met1 ( 1259710 1191190 ) M1M2_PR
NEW met1 ( 1259710 1362890 ) M1M2_PR
NEW met1 ( 495190 2193510 ) M1M2_PR
NEW met1 ( 493350 2474690 ) M1M2_PR
NEW met1 ( 604670 2191130 ) M1M2_PR
NEW met1 ( 604670 2193510 ) M1M2_PR
NEW met1 ( 601910 2193510 ) M1M2_PR
NEW met1 ( 601910 2474690 ) M1M2_PR
NEW met1 ( 517730 1676370 ) M1M2_PR
NEW met1 ( 649290 1362890 ) M1M2_PR
NEW met1 ( 649290 1365610 ) M1M2_PR
NEW met1 ( 649750 1669910 ) M1M2_PR
NEW met1 ( 648830 1669910 ) M1M2_PR
NEW met1 ( 649750 1676370 ) M1M2_PR
NEW met1 ( 1907850 482970 ) M1M2_PR
NEW met1 ( 1907850 1191190 ) M1M2_PR
NEW met1 ( 2478250 482970 ) M1M2_PR
NEW met1 ( 2478250 514250 ) M1M2_PR
NEW met1 ( 2477330 514250 ) M1M2_PR
NEW met1 ( 649750 2191130 ) M1M2_PR
NEW met2 ( 2477330 524620 ) M2M3_PR
NEW met1 ( 601910 2193510 ) RECT ( -595 -70 0 70 )
NEW met2 ( 649750 1676370 ) RECT ( -70 -485 70 0 ) ;
- io_in[27] ( PIN io_in[27] ) ( wrapped_vga_clock_1 io_in[27] ) ( wrapped_rgb_mixer_3 io_in[27] ) ( wrapped_hack_soc_dffram_11 io_in[27] ) ( wrapped_function_generator_0 io_in[27] ) ( wrapped_frequency_counter_2 io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2639420 0 ) ( 15870 * )
NEW met2 ( 15870 2639420 ) ( * 2642650 )
NEW met3 ( 579140 1751340 0 ) ( 593170 * )
NEW met2 ( 593170 1749130 ) ( * 1751340 )
NEW met2 ( 303370 1304070 ) ( * 1521330 )
NEW met3 ( 499100 2296020 0 ) ( * 2296700 )
NEW met3 ( 499100 2296700 ) ( 510830 * )
NEW met2 ( 510830 2296700 ) ( * 2297210 )
NEW met2 ( 583510 2297210 ) ( * 2297890 )
NEW met2 ( 583510 2297890 ) ( * 2646050 )
NEW met2 ( 669990 1707650 ) ( * 1749130 )
NEW met2 ( 669990 1749130 ) ( * 2297890 )
NEW met2 ( 2425350 907290 ) ( * 1707650 )
NEW met2 ( 2526090 765850 ) ( * 907290 )
NEW met1 ( 15870 2642650 ) ( 531300 * )
NEW met1 ( 593170 1749130 ) ( 669990 * )
NEW met3 ( 2471580 760580 0 ) ( 2485150 * )
NEW met2 ( 2485150 760580 ) ( * 765850 )
NEW met1 ( 2485150 765850 ) ( 2526090 * )
NEW met2 ( 338330 1303900 ) ( * 1304070 )
NEW met3 ( 338330 1303900 ) ( 350980 * )
NEW met3 ( 350980 1302540 0 ) ( * 1303900 )
NEW met1 ( 303370 1304070 ) ( 338330 * )
NEW met1 ( 510830 2297210 ) ( 583510 * )
NEW met2 ( 553610 2642990 ) ( * 2646050 )
NEW met1 ( 531300 2642990 ) ( 553610 * )
NEW met1 ( 531300 2642650 ) ( * 2642990 )
NEW met1 ( 553610 2646050 ) ( 583510 * )
NEW met3 ( 529460 2757740 0 ) ( 538430 * )
NEW met2 ( 538430 2753490 ) ( * 2757740 )
NEW met1 ( 538430 2753490 ) ( 553610 * )
NEW met2 ( 553610 2646050 ) ( * 2753490 )
NEW met1 ( 303370 1521330 ) ( 624450 * )
NEW met2 ( 624450 1521330 ) ( * 1707650 )
NEW met1 ( 583510 2297890 ) ( 669990 * )
NEW met1 ( 624450 1707650 ) ( 2425350 * )
NEW met1 ( 2425350 907290 ) ( 2526090 * )
NEW met2 ( 15870 2639420 ) M2M3_PR
NEW met1 ( 15870 2642650 ) M1M2_PR
NEW met2 ( 593170 1751340 ) M2M3_PR
NEW met1 ( 593170 1749130 ) M1M2_PR
NEW met1 ( 669990 1749130 ) M1M2_PR
NEW met1 ( 2526090 765850 ) M1M2_PR
NEW met1 ( 303370 1304070 ) M1M2_PR
NEW met1 ( 303370 1521330 ) M1M2_PR
NEW met2 ( 510830 2296700 ) M2M3_PR
NEW met1 ( 510830 2297210 ) M1M2_PR
NEW met1 ( 583510 2297890 ) M1M2_PR
NEW met1 ( 583510 2297210 ) M1M2_PR
NEW met1 ( 583510 2646050 ) M1M2_PR
NEW met1 ( 669990 1707650 ) M1M2_PR
NEW met1 ( 669990 2297890 ) M1M2_PR
NEW met1 ( 2425350 907290 ) M1M2_PR
NEW met1 ( 2425350 1707650 ) M1M2_PR
NEW met1 ( 2526090 907290 ) M1M2_PR
NEW met2 ( 2485150 760580 ) M2M3_PR
NEW met1 ( 2485150 765850 ) M1M2_PR
NEW met1 ( 338330 1304070 ) M1M2_PR
NEW met2 ( 338330 1303900 ) M2M3_PR
NEW met1 ( 553610 2646050 ) M1M2_PR
NEW met1 ( 553610 2642990 ) M1M2_PR
NEW met2 ( 538430 2757740 ) M2M3_PR
NEW met1 ( 538430 2753490 ) M1M2_PR
NEW met1 ( 553610 2753490 ) M1M2_PR
NEW met1 ( 624450 1521330 ) M1M2_PR
NEW met1 ( 624450 1707650 ) M1M2_PR
NEW met1 ( 669990 1707650 ) RECT ( -595 -70 0 70 ) ;
- io_in[28] ( PIN io_in[28] ) ( wrapped_vga_clock_1 io_in[28] ) ( wrapped_rgb_mixer_3 io_in[28] ) ( wrapped_hack_soc_dffram_11 io_in[28] ) ( wrapped_function_generator_0 io_in[28] ) ( wrapped_frequency_counter_2 io_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 478935 2700620 0 ) ( 479090 * )
NEW met3 ( 1380 2378300 0 ) ( 17250 * )
NEW met2 ( 17250 2373710 ) ( * 2378300 )
NEW met2 ( 127650 2194530 ) ( * 2373710 )
NEW met2 ( 501630 1689630 ) ( * 1700340 0 )
NEW met2 ( 482310 2193850 ) ( * 2200140 0 )
NEW met2 ( 479090 2687870 ) ( * 2700620 )
NEW met3 ( 599380 1316140 0 ) ( 607890 * )
NEW met2 ( 607890 1316140 ) ( * 1317670 )
NEW met2 ( 608350 2191470 ) ( * 2193850 )
NEW met2 ( 608350 2193850 ) ( * 2687870 )
NEW met2 ( 704030 1314270 ) ( * 1317670 )
NEW met2 ( 704030 1317670 ) ( * 1687590 )
NEW met2 ( 2540350 758710 ) ( * 907630 )
NEW met1 ( 17250 2373710 ) ( 127650 * )
NEW met1 ( 127650 2194530 ) ( 482310 * )
NEW met1 ( 607890 1317670 ) ( 704030 * )
NEW met2 ( 634570 1687590 ) ( * 1689630 )
NEW met1 ( 501630 1689630 ) ( 634570 * )
NEW met1 ( 634570 1687590 ) ( 704030 * )
NEW met1 ( 608350 2191470 ) ( 629050 * )
NEW met2 ( 629050 1689630 ) ( * 2191470 )
NEW met1 ( 704030 1314270 ) ( 2356350 * )
NEW met2 ( 2356350 907630 ) ( * 1314270 )
NEW met3 ( 2471580 754460 0 ) ( 2485150 * )
NEW met2 ( 2485150 754460 ) ( * 758710 )
NEW met1 ( 2485150 758710 ) ( 2540350 * )
NEW met1 ( 2356350 907630 ) ( 2540350 * )
NEW met1 ( 482310 2193850 ) ( 608350 * )
NEW met1 ( 479090 2687870 ) ( 608350 * )
NEW met2 ( 17250 2378300 ) M2M3_PR
NEW met1 ( 17250 2373710 ) M1M2_PR
NEW met1 ( 127650 2194530 ) M1M2_PR
NEW met1 ( 127650 2373710 ) M1M2_PR
NEW met1 ( 501630 1689630 ) M1M2_PR
NEW met1 ( 482310 2193850 ) M1M2_PR
NEW met1 ( 482310 2194530 ) M1M2_PR
NEW met1 ( 479090 2687870 ) M1M2_PR
NEW met2 ( 607890 1316140 ) M2M3_PR
NEW met1 ( 607890 1317670 ) M1M2_PR
NEW met1 ( 608350 2191470 ) M1M2_PR
NEW met1 ( 608350 2193850 ) M1M2_PR
NEW met1 ( 608350 2687870 ) M1M2_PR
NEW met1 ( 704030 1314270 ) M1M2_PR
NEW met1 ( 704030 1317670 ) M1M2_PR
NEW met1 ( 704030 1687590 ) M1M2_PR
NEW met1 ( 2540350 758710 ) M1M2_PR
NEW met1 ( 2540350 907630 ) M1M2_PR
NEW met1 ( 634570 1689630 ) M1M2_PR
NEW met1 ( 634570 1687590 ) M1M2_PR
NEW met1 ( 629050 1689630 ) M1M2_PR
NEW met1 ( 629050 2191470 ) M1M2_PR
NEW met1 ( 2356350 907630 ) M1M2_PR
NEW met1 ( 2356350 1314270 ) M1M2_PR
NEW met2 ( 2485150 754460 ) M2M3_PR
NEW met1 ( 2485150 758710 ) M1M2_PR
NEW met2 ( 482310 2194530 ) RECT ( -70 -485 70 0 )
NEW met1 ( 629050 1689630 ) RECT ( -595 -70 0 70 ) ;
- io_in[29] ( PIN io_in[29] ) ( wrapped_vga_clock_1 io_in[29] ) ( wrapped_rgb_mixer_3 io_in[29] ) ( wrapped_hack_soc_dffram_11 io_in[29] ) ( wrapped_function_generator_0 io_in[29] ) ( wrapped_frequency_counter_2 io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2117860 0 ) ( 17710 * )
NEW met2 ( 17710 2111570 ) ( * 2117860 )
NEW met2 ( 596850 1945650 ) ( * 1946670 )
NEW met1 ( 578910 1945650 ) ( 596850 * )
NEW met2 ( 578910 1938340 ) ( * 1945650 )
NEW met2 ( 578910 1938340 ) ( 579370 * )
NEW met2 ( 579370 1929500 ) ( * 1938340 )
NEW met2 ( 578910 1929500 0 ) ( 579370 * )
NEW met1 ( 572930 2437630 ) ( 594090 * )
NEW met1 ( 594090 2104770 ) ( 596850 * )
NEW met1 ( 579600 2111230 ) ( 594090 * )
NEW met1 ( 579600 2111230 ) ( * 2111570 )
NEW met2 ( 596850 1946670 ) ( * 2104770 )
NEW met2 ( 594090 2104770 ) ( * 2437630 )
NEW met2 ( 572930 2437630 ) ( * 2918730 )
NEW met2 ( 976810 1017790 ) ( * 1493790 )
NEW met2 ( 2506310 751910 ) ( * 1017790 )
NEW met1 ( 363170 1471350 ) ( 372370 * )
NEW met2 ( 363170 1459815 0 ) ( * 1471350 )
NEW met1 ( 17710 2111570 ) ( 579600 * )
NEW met2 ( 526470 2908700 ) ( 527390 * 0 )
NEW met2 ( 526470 2908700 ) ( * 2918730 )
NEW met1 ( 526470 2918730 ) ( 572930 * )
NEW met1 ( 596850 1946670 ) ( 642850 * )
NEW met2 ( 372370 1471350 ) ( * 1493790 )
NEW met2 ( 642850 1493790 ) ( * 1946670 )
NEW met1 ( 372370 1493790 ) ( 976810 * )
NEW met3 ( 2471580 749020 0 ) ( 2485150 * )
NEW met2 ( 2485150 749020 ) ( * 751910 )
NEW met1 ( 2485150 751910 ) ( 2506310 * )
NEW met1 ( 976810 1017790 ) ( 2506310 * )
NEW met2 ( 498410 2449700 0 ) ( * 2456670 )
NEW met1 ( 498410 2456670 ) ( 572930 * )
NEW met2 ( 17710 2117860 ) M2M3_PR
NEW met1 ( 17710 2111570 ) M1M2_PR
NEW met1 ( 596850 1946670 ) M1M2_PR
NEW met1 ( 596850 1945650 ) M1M2_PR
NEW met1 ( 578910 1945650 ) M1M2_PR
NEW met1 ( 572930 2437630 ) M1M2_PR
NEW met1 ( 594090 2437630 ) M1M2_PR
NEW met1 ( 572930 2918730 ) M1M2_PR
NEW met1 ( 594090 2104770 ) M1M2_PR
NEW met1 ( 596850 2104770 ) M1M2_PR
NEW met1 ( 594090 2111230 ) M1M2_PR
NEW met1 ( 572930 2456670 ) M1M2_PR
NEW met1 ( 976810 1017790 ) M1M2_PR
NEW met1 ( 976810 1493790 ) M1M2_PR
NEW met1 ( 2506310 751910 ) M1M2_PR
NEW met1 ( 2506310 1017790 ) M1M2_PR
NEW met1 ( 372370 1471350 ) M1M2_PR
NEW met1 ( 363170 1471350 ) M1M2_PR
NEW met1 ( 526470 2918730 ) M1M2_PR
NEW met1 ( 642850 1946670 ) M1M2_PR
NEW met1 ( 372370 1493790 ) M1M2_PR
NEW met1 ( 642850 1493790 ) M1M2_PR
NEW met2 ( 2485150 749020 ) M2M3_PR
NEW met1 ( 2485150 751910 ) M1M2_PR
NEW met1 ( 498410 2456670 ) M1M2_PR
NEW met2 ( 594090 2111230 ) RECT ( -70 -485 70 0 )
NEW met2 ( 572930 2456670 ) RECT ( -70 -485 70 0 )
NEW met1 ( 642850 1493790 ) RECT ( -595 -70 0 70 ) ;
- io_in[2] ( PIN io_in[2] ) ( wrapped_vga_clock_1 io_in[2] ) ( wrapped_rgb_mixer_3 io_in[2] ) ( wrapped_hack_soc_dffram_11 io_in[2] ) ( wrapped_function_generator_0 io_in[2] ) ( wrapped_frequency_counter_2 io_in[2] ) + USE SIGNAL
+ ROUTED met1 ( 300150 1269390 ) ( * 1269730 )
NEW met2 ( 414535 2700620 0 ) ( 414690 * )
NEW met2 ( 300150 1148690 ) ( * 1269390 )
NEW met2 ( 414690 2193510 ) ( * 2200140 0 )
NEW met2 ( 414690 2688550 ) ( * 2700620 )
NEW met2 ( 2342550 900830 ) ( * 1148690 )
NEW met1 ( 2519650 822290 ) ( 2522410 * )
NEW met2 ( 2519650 822290 ) ( * 900830 )
NEW met2 ( 2522410 434690 ) ( * 822290 )
NEW met2 ( 2900990 430780 ) ( * 434690 )
NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
NEW met1 ( 268870 1269390 ) ( 300150 * )
NEW met2 ( 338330 1269730 ) ( * 1269900 )
NEW met3 ( 338330 1269900 ) ( 350980 * )
NEW met3 ( 350980 1269900 ) ( * 1271940 0 )
NEW met1 ( 300150 1269730 ) ( 338330 * )
NEW met1 ( 2342550 900830 ) ( 2519650 * )
NEW met1 ( 261050 1683170 ) ( 268870 * )
NEW met2 ( 268870 1269390 ) ( * 1683170 )
NEW met2 ( 261050 1683170 ) ( * 2193510 )
NEW met2 ( 268870 2193510 ) ( * 2688550 )
NEW met1 ( 261050 2193510 ) ( 414690 * )
NEW met1 ( 268870 2688550 ) ( 414690 * )
NEW met2 ( 424350 1680110 ) ( * 1700340 0 )
NEW met1 ( 268870 1680110 ) ( 424350 * )
NEW met3 ( 2471580 827220 0 ) ( 2485150 * )
NEW met2 ( 2485150 824330 ) ( * 827220 )
NEW met1 ( 2485150 824330 ) ( 2519650 * )
NEW met1 ( 2522410 434690 ) ( 2900990 * )
NEW met1 ( 300150 1148690 ) ( 2342550 * )
NEW met1 ( 300150 1148690 ) M1M2_PR
NEW met1 ( 300150 1269390 ) M1M2_PR
NEW met1 ( 2342550 900830 ) M1M2_PR
NEW met1 ( 2342550 1148690 ) M1M2_PR
NEW met1 ( 2519650 900830 ) M1M2_PR
NEW met1 ( 414690 2193510 ) M1M2_PR
NEW met1 ( 414690 2688550 ) M1M2_PR
NEW met1 ( 2522410 434690 ) M1M2_PR
NEW met1 ( 2522410 822290 ) M1M2_PR
NEW met1 ( 2519650 822290 ) M1M2_PR
NEW met1 ( 2519650 824330 ) M1M2_PR
NEW met1 ( 2900990 434690 ) M1M2_PR
NEW met2 ( 2900990 430780 ) M2M3_PR
NEW met1 ( 268870 1269390 ) M1M2_PR
NEW met1 ( 338330 1269730 ) M1M2_PR
NEW met2 ( 338330 1269900 ) M2M3_PR
NEW met1 ( 268870 1683170 ) M1M2_PR
NEW met1 ( 261050 1683170 ) M1M2_PR
NEW met1 ( 268870 1680110 ) M1M2_PR
NEW met1 ( 261050 2193510 ) M1M2_PR
NEW met1 ( 268870 2193510 ) M1M2_PR
NEW met1 ( 268870 2688550 ) M1M2_PR
NEW met1 ( 424350 1680110 ) M1M2_PR
NEW met2 ( 2485150 827220 ) M2M3_PR
NEW met1 ( 2485150 824330 ) M1M2_PR
NEW met1 ( 300150 1269390 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2519650 824330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 268870 1680110 ) RECT ( -70 -485 70 0 )
NEW met1 ( 268870 2193510 ) RECT ( -595 -70 0 70 ) ;
- io_in[30] ( PIN io_in[30] ) ( wrapped_vga_clock_1 io_in[30] ) ( wrapped_rgb_mixer_3 io_in[30] ) ( wrapped_hack_soc_dffram_11 io_in[30] ) ( wrapped_function_generator_0 io_in[30] ) ( wrapped_frequency_counter_2 io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1856740 0 ) ( 16330 * )
NEW met2 ( 16330 1856740 ) ( * 1862690 )
NEW met3 ( 499100 2330020 0 ) ( * 2330700 )
NEW met3 ( 499100 2330700 ) ( 510830 * )
NEW met2 ( 510830 2330700 ) ( * 2331890 )
NEW met1 ( 579370 1959590 ) ( * 1959930 )
NEW met1 ( 579370 1959590 ) ( 608350 * )
NEW met2 ( 490590 1027990 ) ( * 1097100 )
NEW met2 ( 490590 1097100 ) ( 492890 * )
NEW met2 ( 495130 1199180 ) ( * 1200185 0 )
NEW met2 ( 492890 1199180 ) ( 495130 * )
NEW met2 ( 492890 1097100 ) ( * 1199180 )
NEW met2 ( 593170 1790270 ) ( * 1792140 )
NEW met3 ( 579140 1792140 0 ) ( 593170 * )
NEW met2 ( 608350 1790270 ) ( * 1966500 )
NEW met2 ( 608350 1966500 ) ( 608810 * )
NEW met2 ( 608810 1966500 ) ( * 2331210 )
NEW met2 ( 573390 2331210 ) ( * 2788850 )
NEW met2 ( 1963050 489430 ) ( * 1024930 )
NEW met1 ( 16330 1862690 ) ( 258750 * )
NEW met1 ( 258750 1959930 ) ( 579370 * )
NEW met1 ( 510830 2331890 ) ( 517500 * )
NEW met1 ( 517500 2331210 ) ( * 2331890 )
NEW met1 ( 517500 2331210 ) ( 608810 * )
NEW met3 ( 529460 2791740 0 ) ( 544870 * )
NEW met2 ( 544870 2788850 ) ( * 2791740 )
NEW met1 ( 544870 2788850 ) ( 573390 * )
NEW met1 ( 1963050 489430 ) ( 2484690 * )
NEW met2 ( 258750 1862690 ) ( * 1959930 )
NEW met2 ( 724270 1024930 ) ( * 1027990 )
NEW met1 ( 490590 1027990 ) ( 724270 * )
NEW met1 ( 593170 1790270 ) ( 717830 * )
NEW met2 ( 717830 1027990 ) ( * 1790270 )
NEW met1 ( 724270 1024930 ) ( 1963050 * )
NEW met3 ( 2471580 519180 0 ) ( 2484690 * )
NEW met2 ( 2484690 489430 ) ( * 519180 )
NEW met2 ( 16330 1856740 ) M2M3_PR
NEW met1 ( 16330 1862690 ) M1M2_PR
NEW met2 ( 510830 2330700 ) M2M3_PR
NEW met1 ( 510830 2331890 ) M1M2_PR
NEW met1 ( 608350 1959590 ) M1M2_PR
NEW met1 ( 608810 2331210 ) M1M2_PR
NEW met1 ( 573390 2331210 ) M1M2_PR
NEW met1 ( 573390 2788850 ) M1M2_PR
NEW met1 ( 1963050 489430 ) M1M2_PR
NEW met1 ( 490590 1027990 ) M1M2_PR
NEW met1 ( 593170 1790270 ) M1M2_PR
NEW met2 ( 593170 1792140 ) M2M3_PR
NEW met1 ( 608350 1790270 ) M1M2_PR
NEW met1 ( 1963050 1024930 ) M1M2_PR
NEW met1 ( 258750 1862690 ) M1M2_PR
NEW met1 ( 258750 1959930 ) M1M2_PR
NEW met2 ( 544870 2791740 ) M2M3_PR
NEW met1 ( 544870 2788850 ) M1M2_PR
NEW met1 ( 2484690 489430 ) M1M2_PR
NEW met1 ( 724270 1027990 ) M1M2_PR
NEW met1 ( 724270 1024930 ) M1M2_PR
NEW met1 ( 717830 1027990 ) M1M2_PR
NEW met1 ( 717830 1790270 ) M1M2_PR
NEW met2 ( 2484690 519180 ) M2M3_PR
NEW met2 ( 608350 1959590 ) RECT ( -70 -485 70 0 )
NEW met1 ( 573390 2331210 ) RECT ( -595 -70 0 70 )
NEW met1 ( 608350 1790270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 717830 1027990 ) RECT ( -595 -70 0 70 ) ;
- io_in[31] ( PIN io_in[31] ) ( wrapped_vga_clock_1 io_in[31] ) ( wrapped_rgb_mixer_3 io_in[31] ) ( wrapped_hack_soc_dffram_11 io_in[31] ) ( wrapped_function_generator_0 io_in[31] ) ( wrapped_frequency_counter_2 io_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 579830 1459815 ) ( 582130 * 0 )
NEW met3 ( 1380 1596300 0 ) ( 17250 * )
NEW met2 ( 17250 1552270 ) ( * 1596300 )
NEW met2 ( 279910 2373540 ) ( * 2376770 )
NEW met2 ( 281750 2376770 ) ( * 2870450 )
NEW met1 ( 579830 1511810 ) ( 583510 * )
NEW met2 ( 579830 1459815 ) ( * 1511810 )
NEW met2 ( 583510 1511810 ) ( * 1548870 )
NEW met2 ( 1163110 1218050 ) ( * 1511810 )
NEW met2 ( 1852650 489770 ) ( * 1218050 )
NEW met2 ( 240810 1548870 ) ( * 1552270 )
NEW met1 ( 17250 1552270 ) ( 240810 * )
NEW met1 ( 240810 1548870 ) ( 583510 * )
NEW met2 ( 2477330 489770 ) ( * 513740 )
NEW met3 ( 2471580 513740 0 ) ( 2477330 * )
NEW met1 ( 1852650 489770 ) ( 2477330 * )
NEW met1 ( 240810 1901450 ) ( 248170 * )
NEW met2 ( 240810 1552270 ) ( * 1901450 )
NEW met2 ( 248170 1901450 ) ( * 2373540 )
NEW met3 ( 248170 2373540 ) ( 279910 * )
NEW met2 ( 338330 1903660 ) ( * 1904170 )
NEW met3 ( 338330 1903660 ) ( 350980 * )
NEW met3 ( 350980 1903660 ) ( * 1904340 0 )
NEW met1 ( 248170 1904170 ) ( 338330 * )
NEW met1 ( 583510 1511810 ) ( 1163110 * )
NEW met1 ( 1163110 1218050 ) ( 1852650 * )
NEW met2 ( 338330 2870450 ) ( * 2871980 )
NEW met3 ( 338330 2871980 ) ( 350980 * )
NEW met3 ( 350980 2871980 ) ( * 2873340 0 )
NEW met1 ( 281750 2870450 ) ( 338330 * )
NEW met2 ( 338330 2376770 ) ( * 2378300 )
NEW met3 ( 338330 2378300 ) ( 350980 * )
NEW met3 ( 350980 2377620 0 ) ( * 2378300 )
NEW met1 ( 279910 2376770 ) ( 338330 * )
NEW met1 ( 17250 1552270 ) M1M2_PR
NEW met1 ( 583510 1548870 ) M1M2_PR
NEW met1 ( 1852650 489770 ) M1M2_PR
NEW met2 ( 17250 1596300 ) M2M3_PR
NEW met2 ( 279910 2373540 ) M2M3_PR
NEW met1 ( 279910 2376770 ) M1M2_PR
NEW met1 ( 281750 2376770 ) M1M2_PR
NEW met1 ( 281750 2870450 ) M1M2_PR
NEW met1 ( 583510 1511810 ) M1M2_PR
NEW met1 ( 579830 1511810 ) M1M2_PR
NEW met1 ( 1163110 1218050 ) M1M2_PR
NEW met1 ( 1163110 1511810 ) M1M2_PR
NEW met1 ( 1852650 1218050 ) M1M2_PR
NEW met1 ( 240810 1552270 ) M1M2_PR
NEW met1 ( 240810 1548870 ) M1M2_PR
NEW met1 ( 2477330 489770 ) M1M2_PR
NEW met2 ( 2477330 513740 ) M2M3_PR
NEW met1 ( 248170 1901450 ) M1M2_PR
NEW met1 ( 240810 1901450 ) M1M2_PR
NEW met1 ( 248170 1904170 ) M1M2_PR
NEW met2 ( 248170 2373540 ) M2M3_PR
NEW met1 ( 338330 1904170 ) M1M2_PR
NEW met2 ( 338330 1903660 ) M2M3_PR
NEW met1 ( 338330 2870450 ) M1M2_PR
NEW met2 ( 338330 2871980 ) M2M3_PR
NEW met1 ( 338330 2376770 ) M1M2_PR
NEW met2 ( 338330 2378300 ) M2M3_PR
NEW met1 ( 281750 2376770 ) RECT ( -595 -70 0 70 )
NEW met2 ( 248170 1904170 ) RECT ( -70 -485 70 0 ) ;
- io_in[32] ( PIN io_in[32] ) ( wrapped_vga_clock_1 io_in[32] ) ( wrapped_rgb_mixer_3 io_in[32] ) ( wrapped_hack_soc_dffram_11 io_in[32] ) ( wrapped_function_generator_0 io_in[32] ) ( wrapped_frequency_counter_2 io_in[32] ) + USE SIGNAL
+ ROUTED met1 ( 282210 1669910 ) ( 302910 * )
NEW met2 ( 469430 2700620 ) ( 469465 * 0 )
NEW met3 ( 1380 1335860 0 ) ( 15410 * )
NEW met2 ( 15410 1331950 ) ( * 1335860 )
NEW met2 ( 127650 1193230 ) ( * 1331950 )
NEW met2 ( 282210 1193230 ) ( * 1669910 )
NEW met2 ( 302910 1669910 ) ( * 1859290 )
NEW met2 ( 491970 1676370 ) ( * 1700340 0 )
NEW met2 ( 472650 1984070 ) ( * 2200140 0 )
NEW met2 ( 469430 2673590 ) ( * 2700620 )
NEW met1 ( 127650 1193230 ) ( 282210 * )
NEW met1 ( 302910 1859290 ) ( 348450 * )
NEW met1 ( 431250 1187450 ) ( 434010 * )
NEW met1 ( 282210 1193230 ) ( 434010 * )
NEW met1 ( 302910 1676370 ) ( 491970 * )
NEW met3 ( 2471580 508300 0 ) ( 2492050 * )
NEW met1 ( 15410 1331950 ) ( 127650 * )
NEW met2 ( 348450 1859290 ) ( * 1984070 )
NEW met2 ( 434010 1187450 ) ( * 1200185 0 )
NEW met1 ( 348450 1984070 ) ( 472650 * )
NEW met1 ( 472650 2187050 ) ( 641930 * )
NEW met2 ( 641930 2187050 ) ( * 2673590 )
NEW met1 ( 431250 1031390 ) ( 2492050 * )
NEW met2 ( 2492050 508300 ) ( * 1031390 )
NEW met2 ( 431250 1031390 ) ( * 1187450 )
NEW met1 ( 469430 2673590 ) ( 641930 * )
NEW met1 ( 127650 1193230 ) M1M2_PR
NEW met1 ( 282210 1193230 ) M1M2_PR
NEW met1 ( 302910 1669910 ) M1M2_PR
NEW met1 ( 282210 1669910 ) M1M2_PR
NEW met1 ( 302910 1676370 ) M1M2_PR
NEW met1 ( 302910 1859290 ) M1M2_PR
NEW met1 ( 491970 1676370 ) M1M2_PR
NEW met2 ( 15410 1335860 ) M2M3_PR
NEW met1 ( 15410 1331950 ) M1M2_PR
NEW met1 ( 127650 1331950 ) M1M2_PR
NEW met1 ( 472650 1984070 ) M1M2_PR
NEW met1 ( 472650 2187050 ) M1M2_PR
NEW met1 ( 469430 2673590 ) M1M2_PR
NEW met1 ( 348450 1859290 ) M1M2_PR
NEW met1 ( 434010 1187450 ) M1M2_PR
NEW met1 ( 431250 1187450 ) M1M2_PR
NEW met1 ( 434010 1193230 ) M1M2_PR
NEW met2 ( 2492050 508300 ) M2M3_PR
NEW met1 ( 348450 1984070 ) M1M2_PR
NEW met1 ( 431250 1031390 ) M1M2_PR
NEW met1 ( 641930 2187050 ) M1M2_PR
NEW met1 ( 641930 2673590 ) M1M2_PR
NEW met1 ( 2492050 1031390 ) M1M2_PR
NEW met2 ( 302910 1676370 ) RECT ( -70 -485 70 0 )
NEW met2 ( 472650 2187050 ) RECT ( -70 -485 70 0 )
NEW met2 ( 434010 1193230 ) RECT ( -70 -485 70 0 ) ;
- io_in[33] ( PIN io_in[33] ) ( wrapped_vga_clock_1 io_in[33] ) ( wrapped_rgb_mixer_3 io_in[33] ) ( wrapped_hack_soc_dffram_11 io_in[33] ) ( wrapped_function_generator_0 io_in[33] ) ( wrapped_frequency_counter_2 io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1074740 0 ) ( 17250 * )
NEW met2 ( 17250 1072870 ) ( * 1074740 )
NEW met2 ( 183310 1072870 ) ( * 1321070 )
NEW met2 ( 338330 2801430 ) ( * 2801940 )
NEW met3 ( 338330 2801940 ) ( 350060 * )
NEW met3 ( 350060 2801260 ) ( * 2801940 )
NEW met3 ( 350060 2801260 ) ( 350980 * )
NEW met3 ( 350980 2801260 ) ( * 2801940 0 )
NEW met1 ( 255070 2801430 ) ( 338330 * )
NEW met1 ( 17250 1072870 ) ( 1983750 * )
NEW met2 ( 2470430 495890 ) ( * 500140 )
NEW met3 ( 2470430 500140 ) ( 2470660 * )
NEW met3 ( 2470660 500140 ) ( * 502860 0 )
NEW met1 ( 1983750 495890 ) ( 2470430 * )
NEW met2 ( 241270 1321070 ) ( * 1324470 )
NEW met1 ( 183310 1321070 ) ( 241270 * )
NEW met1 ( 241270 1815090 ) ( 253690 * )
NEW met2 ( 241270 1324470 ) ( * 1815090 )
NEW met1 ( 253690 2297890 ) ( 255070 * )
NEW met2 ( 253690 1815090 ) ( * 2297890 )
NEW met2 ( 255070 2297890 ) ( * 2801430 )
NEW met2 ( 338330 1324300 ) ( * 1324470 )
NEW met3 ( 338330 1324300 ) ( 350980 * )
NEW met3 ( 350980 1322940 0 ) ( * 1324300 )
NEW met1 ( 241270 1324470 ) ( 338330 * )
NEW met2 ( 338790 1821380 ) ( * 1821550 )
NEW met3 ( 338790 1821380 ) ( 350980 * )
NEW met3 ( 350980 1819340 0 ) ( * 1821380 )
NEW met1 ( 253690 1821550 ) ( 338790 * )
NEW met2 ( 338330 2301290 ) ( * 2303500 )
NEW met3 ( 338330 2303500 ) ( 350980 * )
NEW met3 ( 350980 2302820 0 ) ( * 2303500 )
NEW met1 ( 255070 2301290 ) ( 338330 * )
NEW met2 ( 1983750 495890 ) ( * 1072870 )
NEW met2 ( 17250 1074740 ) M2M3_PR
NEW met1 ( 17250 1072870 ) M1M2_PR
NEW met1 ( 183310 1072870 ) M1M2_PR
NEW met1 ( 183310 1321070 ) M1M2_PR
NEW met1 ( 255070 2801430 ) M1M2_PR
NEW met1 ( 338330 2801430 ) M1M2_PR
NEW met2 ( 338330 2801940 ) M2M3_PR
NEW met1 ( 1983750 495890 ) M1M2_PR
NEW met1 ( 1983750 1072870 ) M1M2_PR
NEW met1 ( 2470430 495890 ) M1M2_PR
NEW met2 ( 2470430 500140 ) M2M3_PR
NEW met1 ( 241270 1324470 ) M1M2_PR
NEW met1 ( 241270 1321070 ) M1M2_PR
NEW met1 ( 253690 1815090 ) M1M2_PR
NEW met1 ( 241270 1815090 ) M1M2_PR
NEW met1 ( 253690 1821550 ) M1M2_PR
NEW met1 ( 255070 2297890 ) M1M2_PR
NEW met1 ( 253690 2297890 ) M1M2_PR
NEW met1 ( 255070 2301290 ) M1M2_PR
NEW met1 ( 338330 1324470 ) M1M2_PR
NEW met2 ( 338330 1324300 ) M2M3_PR
NEW met1 ( 338790 1821550 ) M1M2_PR
NEW met2 ( 338790 1821380 ) M2M3_PR
NEW met1 ( 338330 2301290 ) M1M2_PR
NEW met2 ( 338330 2303500 ) M2M3_PR
NEW met1 ( 183310 1072870 ) RECT ( -595 -70 0 70 )
NEW met2 ( 253690 1821550 ) RECT ( -70 -485 70 0 )
NEW met2 ( 255070 2301290 ) RECT ( -70 -485 70 0 ) ;
- io_in[34] ( PIN io_in[34] ) ( wrapped_vga_clock_1 io_in[34] ) ( wrapped_rgb_mixer_3 io_in[34] ) ( wrapped_hack_soc_dffram_11 io_in[34] ) ( wrapped_function_generator_0 io_in[34] ) ( wrapped_frequency_counter_2 io_in[34] ) + USE SIGNAL
+ ROUTED met1 ( 17250 966110 ) ( 20470 * )
NEW met2 ( 395370 1459815 0 ) ( * 1470330 )
NEW met2 ( 482770 2909380 ) ( * 2920090 )
NEW met2 ( 482310 2909380 0 ) ( 482770 * )
NEW met3 ( 1380 814300 0 ) ( 17250 * )
NEW met2 ( 17250 814300 ) ( * 966110 )
NEW met2 ( 20470 966110 ) ( * 1459110 )
NEW met2 ( 289110 1459110 ) ( * 1966500 )
NEW met2 ( 289110 1966500 ) ( 289570 * )
NEW met2 ( 289570 1966500 ) ( * 2463810 )
NEW met1 ( 20470 1459110 ) ( 289110 * )
NEW met1 ( 289110 1470330 ) ( 395370 * )
NEW met2 ( 522790 1929500 ) ( 524170 * 0 )
NEW met2 ( 522790 1929500 ) ( * 1946670 )
NEW met1 ( 289110 1946670 ) ( 522790 * )
NEW met1 ( 482770 2920090 ) ( 552230 * )
NEW met1 ( 20470 966110 ) ( 2546790 * )
NEW met2 ( 451490 2449700 ) ( 453100 * 0 )
NEW met2 ( 451490 2449700 ) ( * 2463810 )
NEW met2 ( 451490 2463810 ) ( * 2467210 )
NEW met1 ( 289570 2463810 ) ( 451490 * )
NEW met2 ( 552230 2467210 ) ( * 2920090 )
NEW met3 ( 2471580 743580 0 ) ( 2485150 * )
NEW met2 ( 2485150 743580 ) ( * 745110 )
NEW met1 ( 2485150 745110 ) ( 2546790 * )
NEW met2 ( 2546790 745110 ) ( * 966110 )
NEW met1 ( 451490 2467210 ) ( 552230 * )
NEW met1 ( 20470 966110 ) M1M2_PR
NEW met1 ( 17250 966110 ) M1M2_PR
NEW met1 ( 20470 1459110 ) M1M2_PR
NEW met1 ( 289110 1459110 ) M1M2_PR
NEW met1 ( 289110 1470330 ) M1M2_PR
NEW met1 ( 289110 1946670 ) M1M2_PR
NEW met1 ( 395370 1470330 ) M1M2_PR
NEW met1 ( 482770 2920090 ) M1M2_PR
NEW met2 ( 17250 814300 ) M2M3_PR
NEW met1 ( 289570 2463810 ) M1M2_PR
NEW met1 ( 522790 1946670 ) M1M2_PR
NEW met1 ( 552230 2920090 ) M1M2_PR
NEW met1 ( 2546790 966110 ) M1M2_PR
NEW met1 ( 451490 2463810 ) M1M2_PR
NEW met1 ( 451490 2467210 ) M1M2_PR
NEW met1 ( 552230 2467210 ) M1M2_PR
NEW met2 ( 2485150 743580 ) M2M3_PR
NEW met1 ( 2485150 745110 ) M1M2_PR
NEW met1 ( 2546790 745110 ) M1M2_PR
NEW met2 ( 289110 1470330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 289110 1946670 ) RECT ( -70 -485 70 0 ) ;
- io_in[35] ( PIN io_in[35] ) ( wrapped_vga_clock_1 io_in[35] ) ( wrapped_rgb_mixer_3 io_in[35] ) ( wrapped_hack_soc_dffram_11 io_in[35] ) ( wrapped_function_generator_0 io_in[35] ) ( wrapped_frequency_counter_2 io_in[35] ) + USE SIGNAL
+ ROUTED met2 ( 506690 2909380 ) ( * 2919750 )
NEW met2 ( 504850 2909380 0 ) ( 506690 * )
NEW met2 ( 18630 553180 ) ( * 555390 )
NEW met3 ( 1380 553180 0 ) ( 18630 * )
NEW met2 ( 20470 468860 ) ( * 555390 )
NEW met2 ( 474490 2449700 ) ( * 2474350 )
NEW met2 ( 474490 2449700 ) ( 475640 * 0 )
NEW met2 ( 580290 2474350 ) ( * 2919750 )
NEW met2 ( 662630 1186090 ) ( * 1932390 )
NEW met2 ( 662630 1932390 ) ( * 2474350 )
NEW met1 ( 72450 879750 ) ( 555450 * )
NEW met1 ( 553150 1179970 ) ( 555450 * )
NEW met2 ( 549930 1929500 0 ) ( 551770 * )
NEW met2 ( 551770 1929500 ) ( * 1932390 )
NEW met1 ( 506690 2919750 ) ( 580290 * )
NEW met1 ( 553150 1186090 ) ( 662630 * )
NEW met1 ( 551770 1932390 ) ( 662630 * )
NEW met1 ( 18630 555390 ) ( 72450 * )
NEW met2 ( 72450 555390 ) ( * 879750 )
NEW met2 ( 555450 879750 ) ( * 1179970 )
NEW met2 ( 553150 1179970 ) ( * 1200185 0 )
NEW met3 ( 20470 468860 ) ( 2478710 * )
NEW met3 ( 2471580 738140 0 ) ( 2478710 * )
NEW met2 ( 2478710 468860 ) ( * 738140 )
NEW met1 ( 474490 2474350 ) ( 662630 * )
NEW met1 ( 506690 2919750 ) M1M2_PR
NEW met1 ( 580290 2919750 ) M1M2_PR
NEW met1 ( 662630 1186090 ) M1M2_PR
NEW met1 ( 662630 1932390 ) M1M2_PR
NEW met2 ( 20470 468860 ) M2M3_PR
NEW met1 ( 18630 555390 ) M1M2_PR
NEW met2 ( 18630 553180 ) M2M3_PR
NEW met1 ( 20470 555390 ) M1M2_PR
NEW met1 ( 474490 2474350 ) M1M2_PR
NEW met1 ( 580290 2474350 ) M1M2_PR
NEW met1 ( 662630 2474350 ) M1M2_PR
NEW met1 ( 72450 879750 ) M1M2_PR
NEW met1 ( 555450 879750 ) M1M2_PR
NEW met1 ( 553150 1179970 ) M1M2_PR
NEW met1 ( 555450 1179970 ) M1M2_PR
NEW met1 ( 553150 1186090 ) M1M2_PR
NEW met1 ( 551770 1932390 ) M1M2_PR
NEW met1 ( 72450 555390 ) M1M2_PR
NEW met2 ( 2478710 468860 ) M2M3_PR
NEW met2 ( 2478710 738140 ) M2M3_PR
NEW met1 ( 20470 555390 ) RECT ( -595 -70 0 70 )
NEW met1 ( 580290 2474350 ) RECT ( -595 -70 0 70 )
NEW met2 ( 553150 1186090 ) RECT ( -70 -485 70 0 ) ;
- io_in[36] ( PIN io_in[36] ) ( wrapped_vga_clock_1 io_in[36] ) ( wrapped_rgb_mixer_3 io_in[36] ) ( wrapped_hack_soc_dffram_11 io_in[36] ) ( wrapped_function_generator_0 io_in[36] ) ( wrapped_frequency_counter_2 io_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 503930 886550 ) ( * 893350 )
NEW met3 ( 1380 358020 0 ) ( 17250 * )
NEW met2 ( 17250 358020 ) ( * 358190 )
NEW met2 ( 508070 1459815 0 ) ( * 1486820 )
NEW met3 ( 499100 2377620 0 ) ( * 2378300 )
NEW met3 ( 499100 2378300 ) ( 510830 * )
NEW met2 ( 510830 2378300 ) ( * 2379490 )
NEW met1 ( 589950 2380510 ) ( 600990 * )
NEW met2 ( 589950 2379490 ) ( * 2380510 )
NEW met2 ( 600990 1845690 ) ( * 2380510 )
NEW met2 ( 589950 2380510 ) ( * 2836110 )
NEW met2 ( 669530 893350 ) ( * 1484780 )
NEW met2 ( 2525630 737970 ) ( * 893350 )
NEW met1 ( 37950 886550 ) ( 503930 * )
NEW met1 ( 503930 893350 ) ( 2525630 * )
NEW met1 ( 17250 358190 ) ( 37950 * )
NEW met2 ( 37950 358190 ) ( * 886550 )
NEW met1 ( 510830 2379490 ) ( 589950 * )
NEW met3 ( 529460 2835940 0 ) ( 544870 * )
NEW met2 ( 544870 2835940 ) ( * 2836110 )
NEW met1 ( 544870 2836110 ) ( 589950 * )
NEW met3 ( 662400 1484780 ) ( 669530 * )
NEW met3 ( 662400 1484780 ) ( * 1486820 )
NEW met3 ( 508070 1486820 ) ( 662400 * )
NEW met2 ( 655730 1486820 ) ( * 1845690 )
NEW met3 ( 2471580 732020 0 ) ( 2485150 * )
NEW met2 ( 2485150 732020 ) ( * 737970 )
NEW met1 ( 2485150 737970 ) ( 2525630 * )
NEW met3 ( 579140 1843140 0 ) ( 586730 * )
NEW met2 ( 586730 1843140 ) ( * 1845690 )
NEW met1 ( 586730 1845690 ) ( 655730 * )
NEW met1 ( 503930 886550 ) M1M2_PR
NEW met1 ( 503930 893350 ) M1M2_PR
NEW met1 ( 600990 1845690 ) M1M2_PR
NEW met1 ( 669530 893350 ) M1M2_PR
NEW met1 ( 2525630 893350 ) M1M2_PR
NEW met2 ( 17250 358020 ) M2M3_PR
NEW met1 ( 17250 358190 ) M1M2_PR
NEW met2 ( 508070 1486820 ) M2M3_PR
NEW met2 ( 510830 2378300 ) M2M3_PR
NEW met1 ( 510830 2379490 ) M1M2_PR
NEW met1 ( 589950 2380510 ) M1M2_PR
NEW met1 ( 600990 2380510 ) M1M2_PR
NEW met1 ( 589950 2379490 ) M1M2_PR
NEW met1 ( 589950 2836110 ) M1M2_PR
NEW met2 ( 669530 1484780 ) M2M3_PR
NEW met1 ( 2525630 737970 ) M1M2_PR
NEW met1 ( 37950 886550 ) M1M2_PR
NEW met1 ( 655730 1845690 ) M1M2_PR
NEW met1 ( 37950 358190 ) M1M2_PR
NEW met2 ( 544870 2835940 ) M2M3_PR
NEW met1 ( 544870 2836110 ) M1M2_PR
NEW met2 ( 655730 1486820 ) M2M3_PR
NEW met2 ( 2485150 732020 ) M2M3_PR
NEW met1 ( 2485150 737970 ) M1M2_PR
NEW met2 ( 586730 1843140 ) M2M3_PR
NEW met1 ( 586730 1845690 ) M1M2_PR
NEW met1 ( 600990 1845690 ) RECT ( -595 -70 0 70 )
NEW met1 ( 669530 893350 ) RECT ( -595 -70 0 70 )
NEW met3 ( 655730 1486820 ) RECT ( -800 -150 0 150 ) ;
- io_in[37] ( PIN io_in[37] ) ( wrapped_vga_clock_1 io_in[37] ) ( wrapped_rgb_mixer_3 io_in[37] ) ( wrapped_hack_soc_dffram_11 io_in[37] ) ( wrapped_function_generator_0 io_in[37] ) ( wrapped_frequency_counter_2 io_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 509450 2909380 ) ( * 2919410 )
NEW met2 ( 508070 2909380 0 ) ( 509450 * )
NEW met3 ( 1380 162180 0 ) ( 17710 * )
NEW met2 ( 17710 162180 ) ( * 165410 )
NEW met2 ( 198030 1338410 ) ( * 1974210 )
NEW met2 ( 479090 2449700 0 ) ( * 2467550 )
NEW met2 ( 566950 2467210 ) ( * 2919410 )
NEW met2 ( 2511830 372470 ) ( * 724710 )
NEW met2 ( 338330 1338750 ) ( * 1340620 )
NEW met3 ( 338330 1340620 ) ( 350980 * )
NEW met3 ( 350980 1339940 0 ) ( * 1340620 )
NEW met1 ( 261510 1338750 ) ( 338330 * )
NEW met2 ( 554530 1929500 ) ( 556370 * 0 )
NEW met2 ( 554530 1929500 ) ( * 1930180 )
NEW met2 ( 553150 1930180 ) ( 554530 * )
NEW met1 ( 509450 2919410 ) ( 566950 * )
NEW met1 ( 17710 165410 ) ( 258750 * )
NEW met1 ( 258750 1338410 ) ( 261510 * )
NEW met1 ( 198030 1338410 ) ( 258750 * )
NEW met2 ( 258750 165410 ) ( * 1338410 )
NEW met1 ( 261510 1338410 ) ( * 1338750 )
NEW met2 ( 553150 1974210 ) ( * 1976930 )
NEW met1 ( 198030 1974210 ) ( 553150 * )
NEW met2 ( 553150 1930180 ) ( * 1974210 )
NEW met1 ( 565800 2467210 ) ( * 2467550 )
NEW met1 ( 553150 1976930 ) ( 635950 * )
NEW met1 ( 565800 2467210 ) ( 635950 * )
NEW met2 ( 635950 1976930 ) ( * 2467210 )
NEW met1 ( 258750 372470 ) ( 2511830 * )
NEW met3 ( 2471580 726580 0 ) ( 2485150 * )
NEW met2 ( 2485150 724710 ) ( * 726580 )
NEW met1 ( 2485150 724710 ) ( 2511830 * )
NEW met1 ( 479090 2467550 ) ( 565800 * )
NEW met1 ( 509450 2919410 ) M1M2_PR
NEW met1 ( 566950 2919410 ) M1M2_PR
NEW met2 ( 17710 162180 ) M2M3_PR
NEW met1 ( 17710 165410 ) M1M2_PR
NEW met1 ( 198030 1338410 ) M1M2_PR
NEW met1 ( 198030 1974210 ) M1M2_PR
NEW met1 ( 479090 2467550 ) M1M2_PR
NEW met1 ( 566950 2467210 ) M1M2_PR
NEW met1 ( 2511830 372470 ) M1M2_PR
NEW met1 ( 2511830 724710 ) M1M2_PR
NEW met1 ( 338330 1338750 ) M1M2_PR
NEW met2 ( 338330 1340620 ) M2M3_PR
NEW met1 ( 258750 165410 ) M1M2_PR
NEW met1 ( 258750 372470 ) M1M2_PR
NEW met1 ( 258750 1338410 ) M1M2_PR
NEW met1 ( 553150 1974210 ) M1M2_PR
NEW met1 ( 553150 1976930 ) M1M2_PR
NEW met1 ( 635950 1976930 ) M1M2_PR
NEW met1 ( 635950 2467210 ) M1M2_PR
NEW met2 ( 2485150 726580 ) M2M3_PR
NEW met1 ( 2485150 724710 ) M1M2_PR
NEW met1 ( 566950 2467210 ) RECT ( -595 -70 0 70 )
NEW met2 ( 258750 372470 ) RECT ( -70 -485 70 0 ) ;
- io_in[3] ( PIN io_in[3] ) ( wrapped_vga_clock_1 io_in[3] ) ( wrapped_rgb_mixer_3 io_in[3] ) ( wrapped_hack_soc_dffram_11 io_in[3] ) ( wrapped_function_generator_0 io_in[3] ) ( wrapped_frequency_counter_2 io_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 482310 1929500 0 ) ( 482770 * )
NEW met2 ( 482770 1929500 ) ( * 1949050 )
NEW met3 ( 599380 1438540 0 ) ( 607890 * )
NEW met2 ( 607890 1438540 ) ( * 1441770 )
NEW met2 ( 414690 2449700 0 ) ( * 2473670 )
NEW met2 ( 2539430 824330 ) ( * 827730 )
NEW met2 ( 2539430 827730 ) ( * 1438370 )
NEW met2 ( 2900990 630020 ) ( * 638010 )
NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
NEW met2 ( 448270 2909380 ) ( * 2912270 )
NEW met2 ( 446890 2909380 0 ) ( 448270 * )
NEW met1 ( 448270 2912270 ) ( 614330 * )
NEW met2 ( 717370 1438370 ) ( * 1441770 )
NEW met1 ( 607890 1441770 ) ( 717370 * )
NEW met1 ( 482770 1949050 ) ( 712310 * )
NEW met1 ( 717370 1438370 ) ( 2539430 * )
NEW met2 ( 650670 1949050 ) ( * 2473670 )
NEW met2 ( 614330 2473670 ) ( * 2912270 )
NEW met2 ( 712310 1441770 ) ( * 1949050 )
NEW met3 ( 2471580 821780 0 ) ( 2485610 * )
NEW met2 ( 2485610 821780 ) ( * 827730 )
NEW met1 ( 2485610 827730 ) ( 2539430 * )
NEW met1 ( 2539430 824330 ) ( 2680650 * )
NEW met2 ( 2680650 638010 ) ( * 824330 )
NEW met1 ( 2680650 638010 ) ( 2900990 * )
NEW met1 ( 414690 2473670 ) ( 650670 * )
NEW met1 ( 482770 1949050 ) M1M2_PR
NEW met2 ( 607890 1438540 ) M2M3_PR
NEW met1 ( 607890 1441770 ) M1M2_PR
NEW met1 ( 2539430 1438370 ) M1M2_PR
NEW met1 ( 414690 2473670 ) M1M2_PR
NEW met1 ( 2539430 824330 ) M1M2_PR
NEW met1 ( 2539430 827730 ) M1M2_PR
NEW met1 ( 2900990 638010 ) M1M2_PR
NEW met2 ( 2900990 630020 ) M2M3_PR
NEW met1 ( 448270 2912270 ) M1M2_PR
NEW met1 ( 650670 1949050 ) M1M2_PR
NEW met1 ( 614330 2912270 ) M1M2_PR
NEW met1 ( 717370 1441770 ) M1M2_PR
NEW met1 ( 717370 1438370 ) M1M2_PR
NEW met1 ( 712310 1441770 ) M1M2_PR
NEW met1 ( 712310 1949050 ) M1M2_PR
NEW met1 ( 650670 2473670 ) M1M2_PR
NEW met1 ( 614330 2473670 ) M1M2_PR
NEW met2 ( 2485610 821780 ) M2M3_PR
NEW met1 ( 2485610 827730 ) M1M2_PR
NEW met1 ( 2680650 638010 ) M1M2_PR
NEW met1 ( 2680650 824330 ) M1M2_PR
NEW met1 ( 650670 1949050 ) RECT ( -595 -70 0 70 )
NEW met1 ( 712310 1441770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 614330 2473670 ) RECT ( 0 -70 595 70 ) ;
- io_in[4] ( PIN io_in[4] ) ( wrapped_vga_clock_1 io_in[4] ) ( wrapped_rgb_mixer_3 io_in[4] ) ( wrapped_hack_soc_dffram_11 io_in[4] ) ( wrapped_function_generator_0 io_in[4] ) ( wrapped_frequency_counter_2 io_in[4] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2374220 0 ) ( * 2375580 )
NEW met3 ( 499100 2375580 ) ( 511750 * )
NEW met2 ( 511750 2375580 ) ( * 2379830 )
NEW met3 ( 599380 1302540 0 ) ( 607890 * )
NEW met2 ( 607890 1302540 ) ( * 1304070 )
NEW met2 ( 2732630 820930 ) ( * 828070 )
NEW met2 ( 2900990 828070 ) ( * 829260 )
NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
NEW met3 ( 529460 2832540 0 ) ( 544410 * )
NEW met2 ( 544410 2829650 ) ( * 2832540 )
NEW met2 ( 657110 1841950 ) ( * 1842630 )
NEW met1 ( 544410 2829650 ) ( 629050 * )
NEW met1 ( 657110 1842630 ) ( 731630 * )
NEW met1 ( 511750 2379830 ) ( 658950 * )
NEW met2 ( 658950 1842630 ) ( * 2379830 )
NEW met2 ( 629050 2379830 ) ( * 2829650 )
NEW met2 ( 733930 1300670 ) ( * 1304070 )
NEW met1 ( 607890 1304070 ) ( 733930 * )
NEW met2 ( 731630 1304070 ) ( * 1842630 )
NEW met3 ( 2471580 816340 0 ) ( 2485150 * )
NEW met2 ( 2485150 816340 ) ( * 820930 )
NEW met1 ( 733930 1300670 ) ( 2588190 * )
NEW met2 ( 2588190 820930 ) ( * 1300670 )
NEW met1 ( 2485150 820930 ) ( 2732630 * )
NEW met1 ( 2732630 828070 ) ( 2900990 * )
NEW met3 ( 579140 1839740 0 ) ( 586730 * )
NEW met2 ( 586730 1839740 ) ( * 1841950 )
NEW met1 ( 586730 1841950 ) ( 657110 * )
NEW met2 ( 511750 2375580 ) M2M3_PR
NEW met1 ( 511750 2379830 ) M1M2_PR
NEW met2 ( 607890 1302540 ) M2M3_PR
NEW met1 ( 607890 1304070 ) M1M2_PR
NEW met1 ( 2732630 820930 ) M1M2_PR
NEW met1 ( 2732630 828070 ) M1M2_PR
NEW met1 ( 2900990 828070 ) M1M2_PR
NEW met2 ( 2900990 829260 ) M2M3_PR
NEW met2 ( 544410 2832540 ) M2M3_PR
NEW met1 ( 544410 2829650 ) M1M2_PR
NEW met1 ( 657110 1842630 ) M1M2_PR
NEW met1 ( 657110 1841950 ) M1M2_PR
NEW met1 ( 658950 1842630 ) M1M2_PR
NEW met1 ( 629050 2829650 ) M1M2_PR
NEW met1 ( 731630 1842630 ) M1M2_PR
NEW met1 ( 658950 2379830 ) M1M2_PR
NEW met1 ( 629050 2379830 ) M1M2_PR
NEW met1 ( 733930 1304070 ) M1M2_PR
NEW met1 ( 733930 1300670 ) M1M2_PR
NEW met1 ( 731630 1304070 ) M1M2_PR
NEW met2 ( 2485150 816340 ) M2M3_PR
NEW met1 ( 2485150 820930 ) M1M2_PR
NEW met1 ( 2588190 820930 ) M1M2_PR
NEW met1 ( 2588190 1300670 ) M1M2_PR
NEW met2 ( 586730 1839740 ) M2M3_PR
NEW met1 ( 586730 1841950 ) M1M2_PR
NEW met1 ( 658950 1842630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 629050 2379830 ) RECT ( -595 -70 0 70 )
NEW met1 ( 731630 1304070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 2588190 820930 ) RECT ( -595 -70 0 70 ) ;
- io_in[5] ( PIN io_in[5] ) ( wrapped_vga_clock_1 io_in[5] ) ( wrapped_rgb_mixer_3 io_in[5] ) ( wrapped_hack_soc_dffram_11 io_in[5] ) ( wrapped_function_generator_0 io_in[5] ) ( wrapped_frequency_counter_2 io_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1919980 ) ( * 1921340 0 )
NEW met3 ( 579140 1919980 ) ( 579830 * )
NEW met2 ( 2542650 814130 ) ( * 1031390 )
NEW met2 ( 2542650 1031390 ) ( * 1272790 )
NEW met2 ( 2900990 1028500 ) ( * 1031390 )
NEW met3 ( 2900990 1028500 ) ( 2917780 * 0 )
NEW met2 ( 524630 1459620 ) ( 527330 * 0 )
NEW met2 ( 559130 2439330 ) ( * 2442390 )
NEW met3 ( 529460 2900540 0 ) ( 541190 * )
NEW met2 ( 541190 2898330 ) ( * 2900540 )
NEW met1 ( 541190 2898330 ) ( 559130 * )
NEW met1 ( 579830 1924910 ) ( 642390 * )
NEW met1 ( 559130 2439330 ) ( 642390 * )
NEW met1 ( 935410 1272790 ) ( 2542650 * )
NEW met1 ( 524630 1507050 ) ( 531070 * )
NEW met2 ( 531070 1505350 ) ( * 1507050 )
NEW met2 ( 524630 1459620 ) ( * 1507050 )
NEW met2 ( 531070 1507050 ) ( * 1625370 )
NEW met1 ( 531070 1625370 ) ( 579830 * )
NEW met2 ( 559130 2442390 ) ( * 2898330 )
NEW met2 ( 642390 1924910 ) ( * 2439330 )
NEW met1 ( 531070 1505350 ) ( 935410 * )
NEW met2 ( 935410 1272790 ) ( * 1505350 )
NEW met3 ( 2471580 810900 0 ) ( 2485150 * )
NEW met2 ( 2485150 810900 ) ( * 814130 )
NEW met1 ( 2485150 814130 ) ( 2542650 * )
NEW met1 ( 2542650 1031390 ) ( 2900990 * )
NEW met2 ( 579830 1625370 ) ( * 1924910 )
NEW met3 ( 499100 2441540 0 ) ( * 2442050 )
NEW met3 ( 499100 2442050 ) ( 500020 * )
NEW met3 ( 500020 2442050 ) ( * 2442220 )
NEW met3 ( 500020 2442220 ) ( 517270 * )
NEW met2 ( 517270 2442220 ) ( * 2442390 )
NEW met1 ( 517270 2442390 ) ( 559130 * )
NEW met1 ( 579830 1924910 ) M1M2_PR
NEW met2 ( 579830 1919980 ) M2M3_PR
NEW met1 ( 2542650 1272790 ) M1M2_PR
NEW met1 ( 579830 1625370 ) M1M2_PR
NEW met1 ( 2542650 814130 ) M1M2_PR
NEW met1 ( 2542650 1031390 ) M1M2_PR
NEW met1 ( 2900990 1031390 ) M1M2_PR
NEW met2 ( 2900990 1028500 ) M2M3_PR
NEW met1 ( 559130 2442390 ) M1M2_PR
NEW met1 ( 559130 2439330 ) M1M2_PR
NEW met2 ( 541190 2900540 ) M2M3_PR
NEW met1 ( 541190 2898330 ) M1M2_PR
NEW met1 ( 559130 2898330 ) M1M2_PR
NEW met1 ( 642390 1924910 ) M1M2_PR
NEW met1 ( 642390 2439330 ) M1M2_PR
NEW met1 ( 935410 1272790 ) M1M2_PR
NEW met1 ( 531070 1507050 ) M1M2_PR
NEW met1 ( 524630 1507050 ) M1M2_PR
NEW met1 ( 531070 1505350 ) M1M2_PR
NEW met1 ( 531070 1625370 ) M1M2_PR
NEW met1 ( 935410 1505350 ) M1M2_PR
NEW met2 ( 2485150 810900 ) M2M3_PR
NEW met1 ( 2485150 814130 ) M1M2_PR
NEW met2 ( 517270 2442220 ) M2M3_PR
NEW met1 ( 517270 2442390 ) M1M2_PR
NEW met2 ( 579830 1919980 ) RECT ( -70 -485 70 0 ) ;
- io_in[6] ( PIN io_in[6] ) ( wrapped_vga_clock_1 io_in[6] ) ( wrapped_rgb_mixer_3 io_in[6] ) ( wrapped_hack_soc_dffram_11 io_in[6] ) ( wrapped_function_generator_0 io_in[6] ) ( wrapped_frequency_counter_2 io_in[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1214140 0 ) ( 607430 * )
NEW met2 ( 607430 1214140 ) ( * 1214310 )
NEW met2 ( 2900070 1221450 ) ( * 1227740 )
NEW met3 ( 2900070 1227740 ) ( 2917780 * 0 )
NEW met2 ( 2898230 1162630 ) ( * 1221450 )
NEW met1 ( 267950 2318290 ) ( * 2318630 )
NEW met1 ( 261510 2318290 ) ( 267950 * )
NEW met2 ( 338330 1845180 ) ( * 1849090 )
NEW met3 ( 338330 1845180 ) ( 350980 * )
NEW met3 ( 350980 1843140 0 ) ( * 1845180 )
NEW met1 ( 268410 1849090 ) ( 338330 * )
NEW met1 ( 268410 1966390 ) ( 324530 * )
NEW met2 ( 339250 2318630 ) ( * 2320500 )
NEW met3 ( 339250 2320500 ) ( 350980 * )
NEW met3 ( 350980 2320500 ) ( * 2322540 0 )
NEW met1 ( 267950 2318630 ) ( 339250 * )
NEW met2 ( 338790 2815370 ) ( * 2817580 )
NEW met3 ( 338790 2817580 ) ( 350980 * )
NEW met3 ( 350980 2817580 ) ( * 2818940 0 )
NEW met1 ( 261510 2815370 ) ( 338790 * )
NEW met3 ( 2471580 804780 0 ) ( 2485150 * )
NEW met2 ( 2485150 804780 ) ( * 806990 )
NEW met1 ( 2485150 806990 ) ( 2577150 * )
NEW met1 ( 2577150 1162630 ) ( 2898230 * )
NEW met2 ( 266570 2014800 ) ( 268410 * )
NEW met2 ( 268410 1849090 ) ( * 2014800 )
NEW met2 ( 265650 2304600 ) ( * 2318290 )
NEW met2 ( 265650 2304600 ) ( 266570 * )
NEW met2 ( 266570 2014800 ) ( * 2304600 )
NEW met2 ( 261510 2318290 ) ( * 2815370 )
NEW met1 ( 324530 1966390 ) ( * 1966730 )
NEW met1 ( 324530 1966730 ) ( 738530 * )
NEW met2 ( 738530 1214310 ) ( * 1966730 )
NEW met2 ( 1318130 1214310 ) ( * 1221450 )
NEW met1 ( 607430 1214310 ) ( 1318130 * )
NEW met2 ( 2577150 806990 ) ( * 1162630 )
NEW met1 ( 1318130 1221450 ) ( 2900070 * )
NEW met1 ( 2898230 1162630 ) M1M2_PR
NEW met2 ( 607430 1214140 ) M2M3_PR
NEW met1 ( 607430 1214310 ) M1M2_PR
NEW met1 ( 2900070 1221450 ) M1M2_PR
NEW met2 ( 2900070 1227740 ) M2M3_PR
NEW met1 ( 2898230 1221450 ) M1M2_PR
NEW met1 ( 268410 1849090 ) M1M2_PR
NEW met1 ( 268410 1966390 ) M1M2_PR
NEW met1 ( 261510 2318290 ) M1M2_PR
NEW met1 ( 265650 2318290 ) M1M2_PR
NEW met1 ( 261510 2815370 ) M1M2_PR
NEW met1 ( 338330 1849090 ) M1M2_PR
NEW met2 ( 338330 1845180 ) M2M3_PR
NEW met1 ( 339250 2318630 ) M1M2_PR
NEW met2 ( 339250 2320500 ) M2M3_PR
NEW met1 ( 338790 2815370 ) M1M2_PR
NEW met2 ( 338790 2817580 ) M2M3_PR
NEW met2 ( 2485150 804780 ) M2M3_PR
NEW met1 ( 2485150 806990 ) M1M2_PR
NEW met1 ( 2577150 806990 ) M1M2_PR
NEW met1 ( 2577150 1162630 ) M1M2_PR
NEW met1 ( 738530 1214310 ) M1M2_PR
NEW met1 ( 738530 1966730 ) M1M2_PR
NEW met1 ( 1318130 1214310 ) M1M2_PR
NEW met1 ( 1318130 1221450 ) M1M2_PR
NEW met1 ( 2898230 1221450 ) RECT ( -595 -70 0 70 )
NEW met2 ( 268410 1966390 ) RECT ( -70 -485 70 0 )
NEW met1 ( 265650 2318290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 738530 1214310 ) RECT ( -595 -70 0 70 ) ;
- io_in[7] ( PIN io_in[7] ) ( wrapped_vga_clock_1 io_in[7] ) ( wrapped_rgb_mixer_3 io_in[7] ) ( wrapped_hack_soc_dffram_11 io_in[7] ) ( wrapped_function_generator_0 io_in[7] ) ( wrapped_frequency_counter_2 io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 218730 1797410 ) ( * 2290750 )
NEW met2 ( 295550 1528810 ) ( * 1797410 )
NEW met3 ( 2904670 1493620 ) ( 2917780 * 0 )
NEW met2 ( 2904670 1473390 ) ( * 1493620 )
NEW met1 ( 459770 1193230 ) ( 617550 * )
NEW met1 ( 617550 1448910 ) ( 618470 * )
NEW met3 ( 2471580 799340 0 ) ( 2485150 * )
NEW met2 ( 2485150 799340 ) ( * 800190 )
NEW met1 ( 2485150 800190 ) ( 2560130 * )
NEW met1 ( 617550 1445510 ) ( 2560130 * )
NEW met1 ( 2560130 1473390 ) ( 2904670 * )
NEW met1 ( 218730 1797410 ) ( 295550 * )
NEW met2 ( 240810 2290750 ) ( * 2781370 )
NEW met2 ( 338330 1794010 ) ( * 1796220 )
NEW met3 ( 338330 1796220 ) ( 350980 * )
NEW met3 ( 350980 1796220 ) ( * 1798940 0 )
NEW met1 ( 295550 1794010 ) ( 338330 * )
NEW met2 ( 338790 2287180 ) ( * 2290750 )
NEW met3 ( 338790 2287180 ) ( 350980 * )
NEW met3 ( 350980 2285820 0 ) ( * 2287180 )
NEW met1 ( 218730 2290750 ) ( 338790 * )
NEW met2 ( 338330 2780860 ) ( * 2781370 )
NEW met3 ( 338330 2780860 ) ( 350980 * )
NEW met3 ( 350980 2780860 ) ( * 2781540 0 )
NEW met1 ( 240810 2781370 ) ( 338330 * )
NEW met2 ( 459770 1193230 ) ( * 1200185 0 )
NEW met2 ( 617550 1193230 ) ( * 1448910 )
NEW met1 ( 295550 1528810 ) ( 618470 * )
NEW met2 ( 618470 1448910 ) ( * 1528810 )
NEW met2 ( 2560130 800190 ) ( * 1473390 )
NEW met1 ( 2904670 1473390 ) M1M2_PR
NEW met1 ( 218730 1797410 ) M1M2_PR
NEW met1 ( 218730 2290750 ) M1M2_PR
NEW met1 ( 295550 1528810 ) M1M2_PR
NEW met1 ( 295550 1797410 ) M1M2_PR
NEW met1 ( 295550 1794010 ) M1M2_PR
NEW met2 ( 2904670 1493620 ) M2M3_PR
NEW met1 ( 459770 1193230 ) M1M2_PR
NEW met1 ( 617550 1193230 ) M1M2_PR
NEW met1 ( 617550 1448910 ) M1M2_PR
NEW met1 ( 618470 1448910 ) M1M2_PR
NEW met1 ( 617550 1445510 ) M1M2_PR
NEW met2 ( 2485150 799340 ) M2M3_PR
NEW met1 ( 2485150 800190 ) M1M2_PR
NEW met1 ( 2560130 800190 ) M1M2_PR
NEW met1 ( 2560130 1473390 ) M1M2_PR
NEW met1 ( 2560130 1445510 ) M1M2_PR
NEW met1 ( 240810 2290750 ) M1M2_PR
NEW met1 ( 240810 2781370 ) M1M2_PR
NEW met1 ( 338330 1794010 ) M1M2_PR
NEW met2 ( 338330 1796220 ) M2M3_PR
NEW met1 ( 338790 2290750 ) M1M2_PR
NEW met2 ( 338790 2287180 ) M2M3_PR
NEW met1 ( 338330 2781370 ) M1M2_PR
NEW met2 ( 338330 2780860 ) M2M3_PR
NEW met1 ( 618470 1528810 ) M1M2_PR
NEW met2 ( 295550 1794010 ) RECT ( -70 -485 70 0 )
NEW met2 ( 617550 1445510 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2560130 1445510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 240810 2290750 ) RECT ( -595 -70 0 70 ) ;
- io_in[8] ( PIN io_in[8] ) ( wrapped_vga_clock_1 io_in[8] ) ( wrapped_rgb_mixer_3 io_in[8] ) ( wrapped_hack_soc_dffram_11 io_in[8] ) ( wrapped_function_generator_0 io_in[8] ) ( wrapped_frequency_counter_2 io_in[8] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1853340 0 ) ( 589490 * )
NEW met2 ( 589490 1852490 ) ( * 1853340 )
NEW met1 ( 580290 1849430 ) ( 581210 * )
NEW met2 ( 581210 1849430 ) ( * 1853340 )
NEW met3 ( 2898230 1759500 ) ( 2917780 * 0 )
NEW met3 ( 499100 2384420 0 ) ( * 2385100 )
NEW met3 ( 499100 2385100 ) ( 510830 * )
NEW met2 ( 510830 2385100 ) ( * 2386970 )
NEW met2 ( 683790 1831750 ) ( * 1869900 )
NEW met2 ( 683330 1869900 ) ( 683790 * )
NEW met2 ( 683330 1869900 ) ( * 2394450 )
NEW met2 ( 2521950 799850 ) ( * 1762730 )
NEW met2 ( 2898230 1759500 ) ( * 1831750 )
NEW met1 ( 365930 1471690 ) ( 368230 * )
NEW met2 ( 368230 1459815 ) ( * 1471690 )
NEW met2 ( 368230 1459815 ) ( 369610 * 0 )
NEW met1 ( 365930 1576410 ) ( 580290 * )
NEW met1 ( 589490 1852490 ) ( 683790 * )
NEW met3 ( 2471580 793900 0 ) ( 2485610 * )
NEW met2 ( 2485610 793900 ) ( * 799850 )
NEW met1 ( 2485610 799850 ) ( 2521950 * )
NEW met1 ( 2521950 1762730 ) ( 2898230 * )
NEW met1 ( 683790 1831750 ) ( 2898230 * )
NEW met2 ( 365930 1471690 ) ( * 1576410 )
NEW met1 ( 510830 2386970 ) ( 549010 * )
NEW met3 ( 529460 2846140 0 ) ( 538430 * )
NEW met2 ( 538430 2843250 ) ( * 2846140 )
NEW met1 ( 538430 2843250 ) ( 549010 * )
NEW met2 ( 549010 2386970 ) ( * 2843250 )
NEW met1 ( 549010 2394450 ) ( 683330 * )
NEW met2 ( 580290 1576410 ) ( * 1849430 )
NEW met1 ( 580290 1576410 ) M1M2_PR
NEW met2 ( 589490 1853340 ) M2M3_PR
NEW met1 ( 589490 1852490 ) M1M2_PR
NEW met1 ( 580290 1849430 ) M1M2_PR
NEW met1 ( 581210 1849430 ) M1M2_PR
NEW met2 ( 581210 1853340 ) M2M3_PR
NEW met1 ( 683790 1831750 ) M1M2_PR
NEW met1 ( 683790 1852490 ) M1M2_PR
NEW met1 ( 2521950 799850 ) M1M2_PR
NEW met1 ( 2521950 1762730 ) M1M2_PR
NEW met2 ( 2898230 1759500 ) M2M3_PR
NEW met1 ( 2898230 1762730 ) M1M2_PR
NEW met1 ( 2898230 1831750 ) M1M2_PR
NEW met2 ( 510830 2385100 ) M2M3_PR
NEW met1 ( 510830 2386970 ) M1M2_PR
NEW met1 ( 683330 2394450 ) M1M2_PR
NEW met1 ( 365930 1471690 ) M1M2_PR
NEW met1 ( 368230 1471690 ) M1M2_PR
NEW met1 ( 365930 1576410 ) M1M2_PR
NEW met2 ( 2485610 793900 ) M2M3_PR
NEW met1 ( 2485610 799850 ) M1M2_PR
NEW met1 ( 549010 2386970 ) M1M2_PR
NEW met1 ( 549010 2394450 ) M1M2_PR
NEW met2 ( 538430 2846140 ) M2M3_PR
NEW met1 ( 538430 2843250 ) M1M2_PR
NEW met1 ( 549010 2843250 ) M1M2_PR
NEW met3 ( 581210 1853340 ) RECT ( -800 -150 0 150 )
NEW met2 ( 683790 1852490 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2898230 1762730 ) RECT ( -70 -485 70 0 )
NEW met2 ( 549010 2394450 ) RECT ( -70 -485 70 0 ) ;
- io_in[9] ( PIN io_in[9] ) ( wrapped_vga_clock_1 io_in[9] ) ( wrapped_rgb_mixer_3 io_in[9] ) ( wrapped_hack_soc_dffram_11 io_in[9] ) ( wrapped_function_generator_0 io_in[9] ) ( wrapped_frequency_counter_2 io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 388930 1929500 0 ) ( 389390 * )
NEW met2 ( 387550 1926610 ) ( * 1926780 )
NEW met2 ( 387550 1926780 ) ( 389390 * )
NEW met2 ( 389390 1926780 ) ( * 1929500 )
NEW met3 ( 2898230 2024700 ) ( 2917780 * 0 )
NEW met2 ( 206310 1928990 ) ( * 2919410 )
NEW met2 ( 389390 1929500 ) ( * 1970810 )
NEW met2 ( 2597850 793390 ) ( * 1893970 )
NEW met2 ( 2898230 1893970 ) ( * 2024700 )
NEW met1 ( 206310 1928990 ) ( 254150 * )
NEW met1 ( 254150 1925250 ) ( 324300 * )
NEW met1 ( 324300 1925250 ) ( * 1926610 )
NEW met1 ( 324300 1926610 ) ( 387550 * )
NEW met2 ( 338330 2432190 ) ( * 2432700 )
NEW met3 ( 338330 2432700 ) ( 350980 * )
NEW met3 ( 350980 2432700 ) ( * 2434740 0 )
NEW met1 ( 206310 2432190 ) ( 338330 * )
NEW met2 ( 366390 2909380 0 ) ( 366850 * )
NEW met2 ( 366850 2909380 ) ( * 2919410 )
NEW met1 ( 206310 2919410 ) ( 366850 * )
NEW met3 ( 2471580 788460 0 ) ( 2485150 * )
NEW met2 ( 2485150 788460 ) ( * 793390 )
NEW met1 ( 2485150 793390 ) ( 2597850 * )
NEW met1 ( 438610 2021810 ) ( 2898230 * )
NEW met2 ( 254150 1421370 ) ( * 1928990 )
NEW met2 ( 338330 1416780 ) ( * 1421370 )
NEW met3 ( 338330 1416780 ) ( 350980 * )
NEW met3 ( 350980 1414740 0 ) ( * 1416780 )
NEW met1 ( 254150 1421370 ) ( 338330 * )
NEW met1 ( 389390 1970810 ) ( 438610 * )
NEW met2 ( 438610 1970810 ) ( * 2021810 )
NEW met1 ( 2597850 1893970 ) ( 2898230 * )
NEW met1 ( 206310 1928990 ) M1M2_PR
NEW met1 ( 206310 2432190 ) M1M2_PR
NEW met1 ( 206310 2919410 ) M1M2_PR
NEW met1 ( 387550 1926610 ) M1M2_PR
NEW met1 ( 2597850 793390 ) M1M2_PR
NEW met2 ( 2898230 2024700 ) M2M3_PR
NEW met1 ( 2898230 2021810 ) M1M2_PR
NEW met1 ( 389390 1970810 ) M1M2_PR
NEW met1 ( 2597850 1893970 ) M1M2_PR
NEW met1 ( 2898230 1893970 ) M1M2_PR
NEW met1 ( 254150 1928990 ) M1M2_PR
NEW met1 ( 254150 1925250 ) M1M2_PR
NEW met1 ( 338330 2432190 ) M1M2_PR
NEW met2 ( 338330 2432700 ) M2M3_PR
NEW met1 ( 366850 2919410 ) M1M2_PR
NEW met1 ( 438610 2021810 ) M1M2_PR
NEW met2 ( 2485150 788460 ) M2M3_PR
NEW met1 ( 2485150 793390 ) M1M2_PR
NEW met1 ( 254150 1421370 ) M1M2_PR
NEW met1 ( 338330 1421370 ) M1M2_PR
NEW met2 ( 338330 1416780 ) M2M3_PR
NEW met1 ( 438610 1970810 ) M1M2_PR
NEW met2 ( 206310 2432190 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2898230 2021810 ) RECT ( -70 -485 70 0 )
NEW met2 ( 254150 1925250 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[0] ( PIN io_oeb[0] ) ( wrapped_vga_clock_1 io_oeb[0] ) ( wrapped_rgb_mixer_3 io_oeb[0] ) ( wrapped_hack_soc_dffram_11 io_oeb[0] ) ( wrapped_function_generator_0 io_oeb[0] ) ( wrapped_frequency_counter_2 io_oeb[0] ) + USE SIGNAL
+ ROUTED met1 ( 296470 1673650 ) ( 310270 * )
NEW met2 ( 310270 1221110 ) ( * 1673650 )
NEW met2 ( 296470 1673650 ) ( * 2195210 )
NEW met2 ( 280830 2195210 ) ( * 2459730 )
NEW met2 ( 382490 1194250 ) ( * 1200185 0 )
NEW met1 ( 380190 1194250 ) ( 382490 * )
NEW met2 ( 380190 1059270 ) ( * 1194250 )
NEW met2 ( 472650 1673650 ) ( * 1700340 0 )
NEW met3 ( 2901450 165580 ) ( 2917780 * 0 )
NEW met2 ( 2901450 165580 ) ( * 479570 )
NEW met1 ( 310270 1673650 ) ( 472650 * )
NEW met2 ( 453330 2700620 ) ( 453365 * 0 )
NEW met1 ( 380190 1059270 ) ( 1680610 * )
NEW met3 ( 2086790 672860 ) ( 2100820 * 0 )
NEW met2 ( 2086790 672860 ) ( * 676090 )
NEW met1 ( 1680610 676090 ) ( 2086790 * )
NEW met1 ( 2095990 479570 ) ( 2901450 * )
NEW met2 ( 329590 1194250 ) ( * 1221110 )
NEW met1 ( 310270 1221110 ) ( 329590 * )
NEW met1 ( 329590 1194250 ) ( 380190 * )
NEW met2 ( 454710 2195210 ) ( * 2200140 )
NEW met2 ( 454710 2200140 ) ( 456550 * 0 )
NEW met1 ( 280830 2195210 ) ( 454710 * )
NEW met1 ( 280830 2459730 ) ( 453330 * )
NEW met2 ( 453330 2459730 ) ( * 2700620 )
NEW met2 ( 1680610 676090 ) ( * 1059270 )
NEW met2 ( 2095990 479570 ) ( * 672860 )
NEW met1 ( 310270 1673650 ) M1M2_PR
NEW met1 ( 296470 1673650 ) M1M2_PR
NEW met1 ( 380190 1059270 ) M1M2_PR
NEW met1 ( 472650 1673650 ) M1M2_PR
NEW met1 ( 2901450 479570 ) M1M2_PR
NEW met1 ( 310270 1221110 ) M1M2_PR
NEW met1 ( 280830 2195210 ) M1M2_PR
NEW met1 ( 296470 2195210 ) M1M2_PR
NEW met1 ( 280830 2459730 ) M1M2_PR
NEW met1 ( 380190 1194250 ) M1M2_PR
NEW met1 ( 382490 1194250 ) M1M2_PR
NEW met2 ( 2901450 165580 ) M2M3_PR
NEW met1 ( 1680610 676090 ) M1M2_PR
NEW met1 ( 1680610 1059270 ) M1M2_PR
NEW met1 ( 2095990 479570 ) M1M2_PR
NEW met2 ( 2086790 672860 ) M2M3_PR
NEW met1 ( 2086790 676090 ) M1M2_PR
NEW met2 ( 2095990 672860 ) M2M3_PR
NEW met1 ( 329590 1221110 ) M1M2_PR
NEW met1 ( 329590 1194250 ) M1M2_PR
NEW met1 ( 454710 2195210 ) M1M2_PR
NEW met1 ( 453330 2459730 ) M1M2_PR
NEW met1 ( 296470 2195210 ) RECT ( -595 -70 0 70 )
NEW met3 ( 2095990 672860 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[10] ( PIN io_oeb[10] ) ( wrapped_vga_clock_1 io_oeb[10] ) ( wrapped_rgb_mixer_3 io_oeb[10] ) ( wrapped_hack_soc_dffram_11 io_oeb[10] ) ( wrapped_function_generator_0 io_oeb[10] ) ( wrapped_frequency_counter_2 io_oeb[10] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 2423180 ) ( 2917780 * 0 )
NEW met2 ( 300150 1583890 ) ( * 1780410 )
NEW met3 ( 599380 1322940 0 ) ( 608810 * )
NEW met2 ( 608810 1317330 ) ( * 1322940 )
NEW met2 ( 2901910 2423180 ) ( * 2452420 )
NEW met1 ( 2073450 593130 ) ( 2084950 * )
NEW met2 ( 2084950 588540 ) ( * 593130 )
NEW met3 ( 2084950 588540 ) ( 2100820 * 0 )
NEW met1 ( 234370 1779730 ) ( 300150 * )
NEW met2 ( 234370 1779730 ) ( * 2270010 )
NEW met2 ( 274390 2270010 ) ( * 2457010 )
NEW met1 ( 327750 1780070 ) ( * 1780410 )
NEW met1 ( 327750 1780070 ) ( 338330 * )
NEW met2 ( 338330 1779220 ) ( * 1780070 )
NEW met3 ( 338330 1779220 ) ( 350980 * )
NEW met3 ( 350980 1778540 0 ) ( * 1779220 )
NEW met1 ( 300150 1780410 ) ( 327750 * )
NEW met2 ( 338330 2269500 ) ( * 2270010 )
NEW met3 ( 338330 2269500 ) ( 350980 * )
NEW met3 ( 350980 2268820 0 ) ( * 2269500 )
NEW met1 ( 234370 2270010 ) ( 338330 * )
NEW met2 ( 330050 2452420 ) ( * 2457010 )
NEW met1 ( 274390 2457010 ) ( 330050 * )
NEW met1 ( 328210 2767090 ) ( 338330 * )
NEW met2 ( 338330 2767090 ) ( * 2767260 )
NEW met3 ( 338330 2767260 ) ( 350980 * )
NEW met3 ( 350980 2767260 ) ( * 2767940 0 )
NEW met2 ( 328210 2457010 ) ( * 2767090 )
NEW met2 ( 644690 1314610 ) ( * 1317330 )
NEW met1 ( 608810 1317330 ) ( 644690 * )
NEW met1 ( 300150 1583890 ) ( 643310 * )
NEW met2 ( 643310 1317330 ) ( * 1583890 )
NEW met1 ( 644690 1314610 ) ( 2073450 * )
NEW met2 ( 2073450 593130 ) ( * 1314610 )
NEW met3 ( 330050 2452420 ) ( 2901910 * )
NEW met2 ( 2901910 2423180 ) M2M3_PR
NEW met1 ( 300150 1583890 ) M1M2_PR
NEW met1 ( 300150 1780410 ) M1M2_PR
NEW met1 ( 300150 1779730 ) M1M2_PR
NEW met2 ( 608810 1322940 ) M2M3_PR
NEW met1 ( 608810 1317330 ) M1M2_PR
NEW met2 ( 2901910 2452420 ) M2M3_PR
NEW met1 ( 2073450 593130 ) M1M2_PR
NEW met1 ( 2084950 593130 ) M1M2_PR
NEW met2 ( 2084950 588540 ) M2M3_PR
NEW met1 ( 234370 1779730 ) M1M2_PR
NEW met1 ( 234370 2270010 ) M1M2_PR
NEW met1 ( 274390 2270010 ) M1M2_PR
NEW met1 ( 274390 2457010 ) M1M2_PR
NEW met1 ( 338330 1780070 ) M1M2_PR
NEW met2 ( 338330 1779220 ) M2M3_PR
NEW met1 ( 338330 2270010 ) M1M2_PR
NEW met2 ( 338330 2269500 ) M2M3_PR
NEW met1 ( 330050 2457010 ) M1M2_PR
NEW met2 ( 330050 2452420 ) M2M3_PR
NEW met1 ( 328210 2457010 ) M1M2_PR
NEW met1 ( 328210 2767090 ) M1M2_PR
NEW met1 ( 338330 2767090 ) M1M2_PR
NEW met2 ( 338330 2767260 ) M2M3_PR
NEW met1 ( 644690 1314610 ) M1M2_PR
NEW met1 ( 644690 1317330 ) M1M2_PR
NEW met1 ( 643310 1317330 ) M1M2_PR
NEW met1 ( 643310 1583890 ) M1M2_PR
NEW met1 ( 2073450 1314610 ) M1M2_PR
NEW met2 ( 300150 1779730 ) RECT ( -70 -485 70 0 )
NEW met1 ( 274390 2270010 ) RECT ( -595 -70 0 70 )
NEW met1 ( 328210 2457010 ) RECT ( -595 -70 0 70 )
NEW met1 ( 643310 1317330 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[11] ( PIN io_oeb[11] ) ( wrapped_vga_clock_1 io_oeb[11] ) ( wrapped_rgb_mixer_3 io_oeb[11] ) ( wrapped_hack_soc_dffram_11 io_oeb[11] ) ( wrapped_function_generator_0 io_oeb[11] ) ( wrapped_frequency_counter_2 io_oeb[11] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2363170 ) ( * 2364700 )
NEW met3 ( 499100 2364700 ) ( 510830 * )
NEW met3 ( 499100 2364020 0 ) ( * 2364700 )
NEW met2 ( 573390 1131180 ) ( * 1193700 )
NEW met2 ( 575690 1193700 ) ( * 1200185 0 )
NEW met2 ( 573390 1193700 ) ( 575690 * )
NEW met2 ( 683330 1128460 ) ( * 1835150 )
NEW met2 ( 670450 1835150 ) ( * 2352900 )
NEW met2 ( 669530 2352900 ) ( 670450 * )
NEW met2 ( 669530 2352900 ) ( * 2363170 )
NEW met2 ( 669530 2363170 ) ( * 2822170 )
NEW met2 ( 2900990 2689060 ) ( * 2690930 )
NEW met3 ( 2900990 2689060 ) ( 2917780 * 0 )
NEW met3 ( 529460 2825740 0 ) ( 544410 * )
NEW met2 ( 544410 2822170 ) ( * 2825740 )
NEW met1 ( 544410 2822170 ) ( 1024650 * )
NEW met3 ( 2100820 579700 ) ( * 580380 0 )
NEW met3 ( 1693260 579700 ) ( 2100820 * )
NEW met3 ( 573390 1131180 ) ( 683330 * )
NEW met1 ( 510830 2363170 ) ( 669530 * )
NEW met2 ( 1024650 2690930 ) ( * 2822170 )
NEW met3 ( 683330 1128460 ) ( 1693260 * )
NEW met4 ( 1693260 579700 ) ( * 1128460 )
NEW met1 ( 1024650 2690930 ) ( 2900990 * )
NEW met3 ( 579140 1829540 0 ) ( 586730 * )
NEW met2 ( 586730 1829540 ) ( * 1835150 )
NEW met1 ( 586730 1835150 ) ( 683330 * )
NEW met1 ( 683330 1835150 ) M1M2_PR
NEW met1 ( 670450 1835150 ) M1M2_PR
NEW met1 ( 669530 2822170 ) M1M2_PR
NEW met1 ( 510830 2363170 ) M1M2_PR
NEW met2 ( 510830 2364700 ) M2M3_PR
NEW met2 ( 573390 1131180 ) M2M3_PR
NEW met2 ( 683330 1128460 ) M2M3_PR
NEW met2 ( 683330 1131180 ) M2M3_PR
NEW met1 ( 669530 2363170 ) M1M2_PR
NEW met1 ( 2900990 2690930 ) M1M2_PR
NEW met2 ( 2900990 2689060 ) M2M3_PR
NEW met2 ( 544410 2825740 ) M2M3_PR
NEW met1 ( 544410 2822170 ) M1M2_PR
NEW met1 ( 1024650 2822170 ) M1M2_PR
NEW met3 ( 1693260 579700 ) M3M4_PR
NEW met1 ( 1024650 2690930 ) M1M2_PR
NEW met3 ( 1693260 1128460 ) M3M4_PR
NEW met2 ( 586730 1829540 ) M2M3_PR
NEW met1 ( 586730 1835150 ) M1M2_PR
NEW met1 ( 670450 1835150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 669530 2822170 ) RECT ( -595 -70 0 70 )
NEW met2 ( 683330 1131180 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[12] ( PIN io_oeb[12] ) ( wrapped_vga_clock_1 io_oeb[12] ) ( wrapped_rgb_mixer_3 io_oeb[12] ) ( wrapped_hack_soc_dffram_11 io_oeb[12] ) ( wrapped_function_generator_0 io_oeb[12] ) ( wrapped_frequency_counter_2 io_oeb[12] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2309620 0 ) ( * 2310300 )
NEW met3 ( 499100 2310300 ) ( 510830 * )
NEW met2 ( 510830 2310300 ) ( * 2311150 )
NEW met3 ( 579140 1768340 0 ) ( 592710 * )
NEW met2 ( 592710 1768340 ) ( * 1773270 )
NEW met2 ( 704950 1766470 ) ( * 1773270 )
NEW met2 ( 379210 1199180 ) ( * 1200185 0 )
NEW met2 ( 378810 1199180 ) ( 379210 * )
NEW met2 ( 378810 1124550 ) ( * 1199180 )
NEW met2 ( 707710 2767090 ) ( * 2770150 )
NEW met2 ( 704950 1773270 ) ( * 2767090 )
NEW met2 ( 1666810 572390 ) ( * 1121150 )
NEW met2 ( 2899150 2953410 ) ( * 2954940 )
NEW met3 ( 2899150 2954940 ) ( 2917780 * 0 )
NEW met2 ( 537970 2311150 ) ( * 2311830 )
NEW met1 ( 510830 2311150 ) ( 537970 * )
NEW met1 ( 592710 1773270 ) ( 704950 * )
NEW met1 ( 537970 2311830 ) ( 704950 * )
NEW met1 ( 704950 1766470 ) ( 711390 * )
NEW met2 ( 2084030 571540 ) ( * 572390 )
NEW met3 ( 2084030 571540 ) ( 2100820 * 0 )
NEW met1 ( 1666810 572390 ) ( 2084030 * )
NEW met3 ( 529460 2771340 0 ) ( 544870 * )
NEW met2 ( 544870 2767090 ) ( * 2771340 )
NEW met1 ( 544870 2767090 ) ( 707710 * )
NEW met2 ( 717370 1121150 ) ( * 1124550 )
NEW met1 ( 378810 1124550 ) ( 717370 * )
NEW met2 ( 711390 1124550 ) ( * 1766470 )
NEW met1 ( 717370 1121150 ) ( 1666810 * )
NEW met1 ( 707710 2770150 ) ( 2784150 * )
NEW met2 ( 2784150 2770150 ) ( * 2953410 )
NEW met1 ( 2784150 2953410 ) ( 2899150 * )
NEW met2 ( 510830 2310300 ) M2M3_PR
NEW met1 ( 510830 2311150 ) M1M2_PR
NEW met2 ( 592710 1768340 ) M2M3_PR
NEW met1 ( 592710 1773270 ) M1M2_PR
NEW met1 ( 704950 1773270 ) M1M2_PR
NEW met1 ( 704950 1766470 ) M1M2_PR
NEW met1 ( 704950 2311830 ) M1M2_PR
NEW met1 ( 1666810 572390 ) M1M2_PR
NEW met1 ( 378810 1124550 ) M1M2_PR
NEW met1 ( 707710 2770150 ) M1M2_PR
NEW met1 ( 707710 2767090 ) M1M2_PR
NEW met1 ( 704950 2767090 ) M1M2_PR
NEW met1 ( 1666810 1121150 ) M1M2_PR
NEW met1 ( 2899150 2953410 ) M1M2_PR
NEW met2 ( 2899150 2954940 ) M2M3_PR
NEW met1 ( 537970 2311150 ) M1M2_PR
NEW met1 ( 537970 2311830 ) M1M2_PR
NEW met1 ( 711390 1766470 ) M1M2_PR
NEW met1 ( 2084030 572390 ) M1M2_PR
NEW met2 ( 2084030 571540 ) M2M3_PR
NEW met2 ( 544870 2771340 ) M2M3_PR
NEW met1 ( 544870 2767090 ) M1M2_PR
NEW met1 ( 717370 1124550 ) M1M2_PR
NEW met1 ( 717370 1121150 ) M1M2_PR
NEW met1 ( 711390 1124550 ) M1M2_PR
NEW met1 ( 2784150 2770150 ) M1M2_PR
NEW met1 ( 2784150 2953410 ) M1M2_PR
NEW met2 ( 704950 2311830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 704950 2767090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 711390 1124550 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[13] ( PIN io_oeb[13] ) ( wrapped_vga_clock_1 io_oeb[13] ) ( wrapped_rgb_mixer_3 io_oeb[13] ) ( wrapped_hack_soc_dffram_11 io_oeb[13] ) ( wrapped_function_generator_0 io_oeb[13] ) ( wrapped_frequency_counter_2 io_oeb[13] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2306220 0 ) ( * 2307580 )
NEW met3 ( 499100 2307580 ) ( 511290 * )
NEW met2 ( 511290 2307580 ) ( * 2311490 )
NEW met3 ( 579140 1764940 0 ) ( 593170 * )
NEW met2 ( 593170 1762730 ) ( * 1764940 )
NEW met3 ( 2917780 3216060 ) ( * 3219460 )
NEW met3 ( 2916860 3219460 ) ( 2917780 * )
NEW met3 ( 2916860 3219460 ) ( * 3220140 )
NEW met3 ( 2916860 3220140 ) ( 2917780 * 0 )
NEW met2 ( 591790 1199010 ) ( * 1200185 0 )
NEW met1 ( 586730 1199010 ) ( 591790 * )
NEW met2 ( 586730 1004190 ) ( * 1199010 )
NEW met1 ( 621230 1762730 ) ( 629970 * )
NEW met1 ( 593170 1762730 ) ( 621230 * )
NEW met1 ( 622150 2311490 ) ( 629970 * )
NEW met1 ( 511290 2311490 ) ( 622150 * )
NEW met3 ( 557980 3216060 ) ( 2917780 * )
NEW met3 ( 529460 2768620 0 ) ( * 2769980 )
NEW met4 ( 557980 2769980 ) ( * 3216060 )
NEW met1 ( 591790 1199010 ) ( 621230 * )
NEW met2 ( 621230 1199010 ) ( * 1762730 )
NEW met2 ( 629970 1762730 ) ( * 2311490 )
NEW met3 ( 529460 2769980 ) ( 622150 * )
NEW met2 ( 622150 2311490 ) ( * 2769980 )
NEW met1 ( 586730 1004190 ) ( 1687510 * )
NEW met2 ( 1687510 565250 ) ( * 1004190 )
NEW met2 ( 2084030 563380 ) ( * 565250 )
NEW met3 ( 2084030 563380 ) ( 2100820 * 0 )
NEW met1 ( 1687510 565250 ) ( 2084030 * )
NEW met2 ( 511290 2307580 ) M2M3_PR
NEW met1 ( 511290 2311490 ) M1M2_PR
NEW met2 ( 593170 1764940 ) M2M3_PR
NEW met1 ( 593170 1762730 ) M1M2_PR
NEW met1 ( 586730 1004190 ) M1M2_PR
NEW met1 ( 591790 1199010 ) M1M2_PR
NEW met1 ( 586730 1199010 ) M1M2_PR
NEW met3 ( 557980 3216060 ) M3M4_PR
NEW met1 ( 621230 1762730 ) M1M2_PR
NEW met1 ( 629970 1762730 ) M1M2_PR
NEW met1 ( 622150 2311490 ) M1M2_PR
NEW met1 ( 629970 2311490 ) M1M2_PR
NEW met3 ( 557980 2769980 ) M3M4_PR
NEW met1 ( 621230 1199010 ) M1M2_PR
NEW met2 ( 622150 2769980 ) M2M3_PR
NEW met1 ( 1687510 565250 ) M1M2_PR
NEW met1 ( 1687510 1004190 ) M1M2_PR
NEW met1 ( 2084030 565250 ) M1M2_PR
NEW met2 ( 2084030 563380 ) M2M3_PR
NEW met3 ( 557980 2769980 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[14] ( PIN io_oeb[14] ) ( wrapped_vga_clock_1 io_oeb[14] ) ( wrapped_rgb_mixer_3 io_oeb[14] ) ( wrapped_hack_soc_dffram_11 io_oeb[14] ) ( wrapped_function_generator_0 io_oeb[14] ) ( wrapped_frequency_counter_2 io_oeb[14] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1459815 ) ( 388930 * 0 )
NEW met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met2 ( 227470 1721590 ) ( * 2218330 )
NEW met2 ( 227470 2218330 ) ( * 2712010 )
NEW met1 ( 393070 1524730 ) ( * 1525070 )
NEW met2 ( 387090 1459815 ) ( * 1524730 )
NEW met2 ( 1838850 558790 ) ( * 1525070 )
NEW met2 ( 338330 2218330 ) ( * 2218500 )
NEW met3 ( 338330 2218500 ) ( 350980 * )
NEW met3 ( 350980 2217820 0 ) ( * 2218500 )
NEW met1 ( 227470 2218330 ) ( 338330 * )
NEW met3 ( 350980 2716260 ) ( * 2716940 0 )
NEW met3 ( 340170 2716260 ) ( 350980 * )
NEW met2 ( 340170 2712010 ) ( * 2716260 )
NEW met1 ( 227470 2712010 ) ( 340170 * )
NEW met1 ( 345230 3484830 ) ( 2900990 * )
NEW met2 ( 247250 1718190 ) ( * 1721590 )
NEW met1 ( 227470 1721590 ) ( 247250 * )
NEW met2 ( 247250 1524730 ) ( * 1718190 )
NEW met1 ( 247250 1524730 ) ( 393070 * )
NEW met2 ( 338330 1718190 ) ( * 1718700 )
NEW met3 ( 338330 1718700 ) ( 350980 * )
NEW met3 ( 350980 1718700 ) ( * 1720740 0 )
NEW met1 ( 247250 1718190 ) ( 338330 * )
NEW met1 ( 393070 1525070 ) ( 1838850 * )
NEW met2 ( 2084030 554540 ) ( * 558790 )
NEW met3 ( 2084030 554540 ) ( 2100820 * 0 )
NEW met1 ( 1838850 558790 ) ( 2084030 * )
NEW met2 ( 345230 2716260 ) ( * 3484830 )
NEW met1 ( 227470 2218330 ) M1M2_PR
NEW met1 ( 227470 2712010 ) M1M2_PR
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR
NEW met1 ( 227470 1721590 ) M1M2_PR
NEW met1 ( 387090 1524730 ) M1M2_PR
NEW met1 ( 1838850 558790 ) M1M2_PR
NEW met1 ( 1838850 1525070 ) M1M2_PR
NEW met1 ( 338330 2218330 ) M1M2_PR
NEW met2 ( 338330 2218500 ) M2M3_PR
NEW met2 ( 340170 2716260 ) M2M3_PR
NEW met1 ( 340170 2712010 ) M1M2_PR
NEW met2 ( 345230 2716260 ) M2M3_PR
NEW met1 ( 345230 3484830 ) M1M2_PR
NEW met1 ( 247250 1524730 ) M1M2_PR
NEW met1 ( 247250 1718190 ) M1M2_PR
NEW met1 ( 247250 1721590 ) M1M2_PR
NEW met1 ( 338330 1718190 ) M1M2_PR
NEW met2 ( 338330 1718700 ) M2M3_PR
NEW met1 ( 2084030 558790 ) M1M2_PR
NEW met2 ( 2084030 554540 ) M2M3_PR
NEW met1 ( 387090 1524730 ) RECT ( -595 -70 0 70 )
NEW met3 ( 345230 2716260 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[15] ( PIN io_oeb[15] ) ( wrapped_vga_clock_1 io_oeb[15] ) ( wrapped_rgb_mixer_3 io_oeb[15] ) ( wrapped_hack_soc_dffram_11 io_oeb[15] ) ( wrapped_function_generator_0 io_oeb[15] ) ( wrapped_frequency_counter_2 io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 414690 1929500 0 ) ( 415150 * )
NEW met2 ( 388930 2909380 0 ) ( 389390 * )
NEW met2 ( 389390 2909380 ) ( * 2920430 )
NEW met1 ( 389390 2920430 ) ( 396290 * )
NEW met2 ( 199410 1969790 ) ( * 2920090 )
NEW met2 ( 415150 1929500 ) ( * 1969790 )
NEW met2 ( 396290 2980800 ) ( 396750 * )
NEW met2 ( 396290 2920430 ) ( * 2980800 )
NEW met2 ( 396750 2980800 ) ( * 3513050 )
NEW met3 ( 599380 1418140 0 ) ( 607890 * )
NEW met2 ( 607890 1417970 ) ( * 1418140 )
NEW met2 ( 2636030 3513050 ) ( * 3517980 0 )
NEW met1 ( 199410 2920090 ) ( 324300 * )
NEW met1 ( 324300 2920090 ) ( * 2920430 )
NEW met1 ( 324300 2920430 ) ( 389390 * )
NEW met2 ( 752330 1362550 ) ( * 1365950 )
NEW met1 ( 624910 1365950 ) ( 752330 * )
NEW met1 ( 752330 1362550 ) ( 2087250 * )
NEW met1 ( 199410 1969790 ) ( 415150 * )
NEW met2 ( 354890 2449700 ) ( 356500 * 0 )
NEW met2 ( 354890 2449700 ) ( * 2463470 )
NEW met1 ( 199410 2463470 ) ( 354890 * )
NEW met1 ( 607890 1417970 ) ( 624910 * )
NEW met2 ( 624910 1365950 ) ( * 1417970 )
NEW met1 ( 415150 1967070 ) ( 752330 * )
NEW met2 ( 752330 1365950 ) ( * 1967070 )
NEW met3 ( 2087250 546380 ) ( 2100820 * 0 )
NEW met2 ( 2087250 546380 ) ( * 1362550 )
NEW met1 ( 396750 3513050 ) ( 2636030 * )
NEW met1 ( 199410 2920090 ) M1M2_PR
NEW met1 ( 389390 2920430 ) M1M2_PR
NEW met1 ( 396290 2920430 ) M1M2_PR
NEW met1 ( 199410 1969790 ) M1M2_PR
NEW met1 ( 199410 2463470 ) M1M2_PR
NEW met1 ( 415150 1969790 ) M1M2_PR
NEW met1 ( 415150 1967070 ) M1M2_PR
NEW met1 ( 396750 3513050 ) M1M2_PR
NEW met2 ( 607890 1418140 ) M2M3_PR
NEW met1 ( 607890 1417970 ) M1M2_PR
NEW met1 ( 2636030 3513050 ) M1M2_PR
NEW met1 ( 624910 1365950 ) M1M2_PR
NEW met1 ( 752330 1362550 ) M1M2_PR
NEW met1 ( 752330 1365950 ) M1M2_PR
NEW met1 ( 2087250 1362550 ) M1M2_PR
NEW met1 ( 354890 2463470 ) M1M2_PR
NEW met1 ( 624910 1417970 ) M1M2_PR
NEW met1 ( 752330 1967070 ) M1M2_PR
NEW met2 ( 2087250 546380 ) M2M3_PR
NEW met2 ( 199410 2463470 ) RECT ( -70 -485 70 0 )
NEW met2 ( 415150 1967070 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[16] ( PIN io_oeb[16] ) ( wrapped_vga_clock_1 io_oeb[16] ) ( wrapped_rgb_mixer_3 io_oeb[16] ) ( wrapped_hack_soc_dffram_11 io_oeb[16] ) ( wrapped_function_generator_0 io_oeb[16] ) ( wrapped_frequency_counter_2 io_oeb[16] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2262020 0 ) ( * 2262700 )
NEW met3 ( 499100 2262700 ) ( 510830 * )
NEW met2 ( 510830 2262700 ) ( * 2262870 )
NEW met3 ( 599380 1224340 0 ) ( 607890 * )
NEW met2 ( 607890 1213970 ) ( * 1224340 )
NEW met3 ( 579140 1710540 0 ) ( 593170 * )
NEW met2 ( 593170 1710540 ) ( * 1711050 )
NEW met2 ( 581210 2262870 ) ( * 2721870 )
NEW met2 ( 579370 2721870 ) ( * 3514410 )
NEW met2 ( 704030 1210910 ) ( * 1213970 )
NEW met2 ( 704030 1242000 ) ( 704490 * )
NEW met2 ( 704030 1213970 ) ( * 1242000 )
NEW met2 ( 704490 1242000 ) ( * 1711390 )
NEW met2 ( 2311730 3514410 ) ( * 3517980 0 )
NEW met3 ( 529460 2723740 0 ) ( 544870 * )
NEW met2 ( 544870 2721870 ) ( * 2723740 )
NEW met1 ( 544870 2721870 ) ( 581210 * )
NEW met1 ( 607890 1213970 ) ( 704030 * )
NEW met1 ( 627670 1711050 ) ( * 1711390 )
NEW met1 ( 593170 1711050 ) ( 627670 * )
NEW met1 ( 627670 1711390 ) ( 704490 * )
NEW met1 ( 510830 2262870 ) ( 624450 * )
NEW met2 ( 624450 1711050 ) ( * 2262870 )
NEW met1 ( 2066550 538050 ) ( 2084030 * )
NEW met2 ( 2084030 537540 ) ( * 538050 )
NEW met3 ( 2084030 537540 ) ( 2100820 * 0 )
NEW met1 ( 704030 1210910 ) ( 2066550 * )
NEW met2 ( 2066550 538050 ) ( * 1210910 )
NEW met1 ( 579370 3514410 ) ( 2311730 * )
NEW met1 ( 581210 2721870 ) M1M2_PR
NEW met1 ( 579370 2721870 ) M1M2_PR
NEW met2 ( 510830 2262700 ) M2M3_PR
NEW met1 ( 510830 2262870 ) M1M2_PR
NEW met2 ( 607890 1224340 ) M2M3_PR
NEW met1 ( 607890 1213970 ) M1M2_PR
NEW met2 ( 593170 1710540 ) M2M3_PR
NEW met1 ( 593170 1711050 ) M1M2_PR
NEW met1 ( 581210 2262870 ) M1M2_PR
NEW met1 ( 579370 3514410 ) M1M2_PR
NEW met1 ( 704030 1210910 ) M1M2_PR
NEW met1 ( 704030 1213970 ) M1M2_PR
NEW met1 ( 704490 1711390 ) M1M2_PR
NEW met1 ( 2311730 3514410 ) M1M2_PR
NEW met2 ( 544870 2723740 ) M2M3_PR
NEW met1 ( 544870 2721870 ) M1M2_PR
NEW met1 ( 624450 1711050 ) M1M2_PR
NEW met1 ( 624450 2262870 ) M1M2_PR
NEW met1 ( 2066550 538050 ) M1M2_PR
NEW met1 ( 2084030 538050 ) M1M2_PR
NEW met2 ( 2084030 537540 ) M2M3_PR
NEW met1 ( 2066550 1210910 ) M1M2_PR
NEW met1 ( 579370 2721870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 581210 2262870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 624450 1711050 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[17] ( PIN io_oeb[17] ) ( wrapped_vga_clock_1 io_oeb[17] ) ( wrapped_rgb_mixer_3 io_oeb[17] ) ( wrapped_hack_soc_dffram_11 io_oeb[17] ) ( wrapped_function_generator_0 io_oeb[17] ) ( wrapped_frequency_counter_2 io_oeb[17] ) + USE SIGNAL
+ ROUTED met2 ( 401810 2700620 ) ( 401845 * 0 )
NEW met1 ( 303830 1227910 ) ( * 1228250 )
NEW met2 ( 307050 1107550 ) ( * 1228250 )
NEW met4 ( 295780 2187220 ) ( * 2687700 )
NEW met2 ( 411470 1660050 ) ( * 1700340 0 )
NEW met2 ( 400430 2014800 ) ( 400890 * )
NEW met2 ( 400890 1934940 ) ( * 2014800 )
NEW met3 ( 400430 2182460 ) ( 405030 * )
NEW met2 ( 405030 2182460 ) ( * 2200140 0 )
NEW met2 ( 400430 2014800 ) ( * 2182460 )
NEW met2 ( 401810 2687700 ) ( * 2700620 )
NEW met2 ( 1859550 531250 ) ( * 1107550 )
NEW met3 ( 274620 1660220 ) ( 275310 * )
NEW met2 ( 275310 1660050 ) ( * 1660220 )
NEW met1 ( 275310 1660050 ) ( 411470 * )
NEW met3 ( 274620 1934940 ) ( 400890 * )
NEW met1 ( 275310 1227910 ) ( 303830 * )
NEW met2 ( 275310 1227910 ) ( * 1660050 )
NEW met4 ( 274620 1660220 ) ( * 1934940 )
NEW met2 ( 338790 1228250 ) ( * 1231820 )
NEW met3 ( 338790 1231820 ) ( 350980 * )
NEW met3 ( 350980 1231820 ) ( * 1234540 0 )
NEW met1 ( 303830 1228250 ) ( 338790 * )
NEW met3 ( 295780 2187220 ) ( 405030 * )
NEW met3 ( 295780 2687700 ) ( 401810 * )
NEW met2 ( 555450 2690930 ) ( * 3515430 )
NEW met1 ( 307050 1107550 ) ( 1859550 * )
NEW met2 ( 1987430 3515430 ) ( * 3517980 0 )
NEW met1 ( 555450 3515430 ) ( 1987430 * )
NEW met2 ( 2084030 529380 ) ( * 531250 )
NEW met3 ( 2084030 529380 ) ( 2100820 * 0 )
NEW met1 ( 1859550 531250 ) ( 2084030 * )
NEW met1 ( 401810 2690930 ) ( 555450 * )
NEW met1 ( 411470 1660050 ) M1M2_PR
NEW met2 ( 400890 1934940 ) M2M3_PR
NEW met1 ( 307050 1107550 ) M1M2_PR
NEW met1 ( 307050 1228250 ) M1M2_PR
NEW met3 ( 295780 2187220 ) M3M4_PR
NEW met3 ( 295780 2687700 ) M3M4_PR
NEW met2 ( 400430 2182460 ) M2M3_PR
NEW met2 ( 405030 2182460 ) M2M3_PR
NEW met2 ( 405030 2187220 ) M2M3_PR
NEW met2 ( 401810 2687700 ) M2M3_PR
NEW met1 ( 401810 2690930 ) M1M2_PR
NEW met1 ( 1859550 531250 ) M1M2_PR
NEW met1 ( 1859550 1107550 ) M1M2_PR
NEW met1 ( 275310 1660050 ) M1M2_PR
NEW met3 ( 274620 1660220 ) M3M4_PR
NEW met2 ( 275310 1660220 ) M2M3_PR
NEW met3 ( 274620 1934940 ) M3M4_PR
NEW met1 ( 275310 1227910 ) M1M2_PR
NEW met1 ( 338790 1228250 ) M1M2_PR
NEW met2 ( 338790 1231820 ) M2M3_PR
NEW met1 ( 555450 2690930 ) M1M2_PR
NEW met1 ( 555450 3515430 ) M1M2_PR
NEW met1 ( 1987430 3515430 ) M1M2_PR
NEW met1 ( 2084030 531250 ) M1M2_PR
NEW met2 ( 2084030 529380 ) M2M3_PR
NEW met1 ( 307050 1228250 ) RECT ( -595 -70 0 70 )
NEW met2 ( 405030 2187220 ) RECT ( -70 -485 70 0 )
NEW met2 ( 401810 2690930 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[18] ( PIN io_oeb[18] ) ( wrapped_vga_clock_1 io_oeb[18] ) ( wrapped_rgb_mixer_3 io_oeb[18] ) ( wrapped_hack_soc_dffram_11 io_oeb[18] ) ( wrapped_function_generator_0 io_oeb[18] ) ( wrapped_frequency_counter_2 io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 474950 2908700 ) ( 475640 * 0 )
NEW met2 ( 474950 2908700 ) ( * 2910740 )
NEW met2 ( 474950 2910740 ) ( 475870 * )
NEW met2 ( 475870 2910740 ) ( * 2926550 )
NEW met3 ( 612950 1571140 ) ( 613180 * )
NEW met2 ( 612950 1566550 ) ( * 1571140 )
NEW met2 ( 610650 1932730 ) ( * 1938340 )
NEW met3 ( 610650 1932900 ) ( 613180 * )
NEW met2 ( 475870 2926550 ) ( * 3516110 )
NEW met4 ( 613180 1571140 ) ( * 1932900 )
NEW met2 ( 600530 2466870 ) ( * 2926550 )
NEW met2 ( 1662670 3516110 ) ( * 3517980 0 )
NEW met2 ( 517730 1929500 0 ) ( 519570 * )
NEW met2 ( 519570 1929500 ) ( * 1932730 )
NEW met1 ( 519570 1932730 ) ( 610650 * )
NEW met1 ( 475870 2926550 ) ( 600530 * )
NEW met3 ( 610650 1938340 ) ( 614330 * )
NEW met1 ( 345230 1566550 ) ( 1976850 * )
NEW met3 ( 345230 1410660 ) ( 350980 * )
NEW met3 ( 350980 1407940 0 ) ( * 1410660 )
NEW met2 ( 345230 1410660 ) ( * 1566550 )
NEW met2 ( 443670 2449700 0 ) ( * 2466870 )
NEW met2 ( 614330 1938340 ) ( * 2466870 )
NEW met1 ( 475870 3516110 ) ( 1662670 * )
NEW met2 ( 1976850 524110 ) ( * 1566550 )
NEW met2 ( 2084030 520540 ) ( * 524110 )
NEW met3 ( 2084030 520540 ) ( 2100820 * 0 )
NEW met1 ( 1976850 524110 ) ( 2084030 * )
NEW met1 ( 443670 2466870 ) ( 614330 * )
NEW met1 ( 475870 2926550 ) M1M2_PR
NEW met3 ( 613180 1571140 ) M3M4_PR
NEW met2 ( 612950 1571140 ) M2M3_PR
NEW met1 ( 612950 1566550 ) M1M2_PR
NEW met2 ( 610650 1938340 ) M2M3_PR
NEW met1 ( 610650 1932730 ) M1M2_PR
NEW met3 ( 613180 1932900 ) M3M4_PR
NEW met2 ( 610650 1932900 ) M2M3_PR
NEW met1 ( 600530 2926550 ) M1M2_PR
NEW met1 ( 475870 3516110 ) M1M2_PR
NEW met1 ( 600530 2466870 ) M1M2_PR
NEW met1 ( 1662670 3516110 ) M1M2_PR
NEW met1 ( 345230 1566550 ) M1M2_PR
NEW met1 ( 519570 1932730 ) M1M2_PR
NEW met2 ( 614330 1938340 ) M2M3_PR
NEW met1 ( 1976850 1566550 ) M1M2_PR
NEW met2 ( 345230 1410660 ) M2M3_PR
NEW met1 ( 443670 2466870 ) M1M2_PR
NEW met1 ( 614330 2466870 ) M1M2_PR
NEW met1 ( 1976850 524110 ) M1M2_PR
NEW met1 ( 2084030 524110 ) M1M2_PR
NEW met2 ( 2084030 520540 ) M2M3_PR
NEW met3 ( 613180 1571140 ) RECT ( 0 -150 390 150 )
NEW met1 ( 612950 1566550 ) RECT ( -595 -70 0 70 )
NEW met2 ( 610650 1932900 ) RECT ( -70 -485 70 0 )
NEW met1 ( 600530 2466870 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[19] ( PIN io_oeb[19] ) ( wrapped_vga_clock_1 io_oeb[19] ) ( wrapped_rgb_mixer_3 io_oeb[19] ) ( wrapped_hack_soc_dffram_11 io_oeb[19] ) ( wrapped_function_generator_0 io_oeb[19] ) ( wrapped_frequency_counter_2 io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 414690 1960270 ) ( * 1962990 )
NEW met2 ( 417910 1928820 0 ) ( 418370 * )
NEW met2 ( 418370 1928820 ) ( * 1960270 )
NEW met2 ( 390310 2909380 ) ( 391920 * 0 )
NEW met2 ( 220110 1962990 ) ( * 2466530 )
NEW met2 ( 389850 2980800 ) ( 390310 * )
NEW met2 ( 390310 2909380 ) ( * 2980800 )
NEW met2 ( 389850 2980800 ) ( * 3516450 )
NEW met2 ( 690230 1038190 ) ( * 1960270 )
NEW met2 ( 1770310 517310 ) ( * 1038190 )
NEW met1 ( 220110 1962990 ) ( 414690 * )
NEW met1 ( 247710 2925870 ) ( 390310 * )
NEW met1 ( 414690 1960270 ) ( 690230 * )
NEW met2 ( 2084030 512380 ) ( * 517310 )
NEW met3 ( 2084030 512380 ) ( 2100820 * 0 )
NEW met1 ( 1770310 517310 ) ( 2084030 * )
NEW met2 ( 247710 2466530 ) ( * 2925870 )
NEW met2 ( 359030 2449700 ) ( 359720 * 0 )
NEW met2 ( 359030 2449700 ) ( * 2456500 )
NEW met2 ( 358570 2456500 ) ( 359030 * )
NEW met2 ( 358570 2456500 ) ( * 2466530 )
NEW met1 ( 220110 2466530 ) ( 358570 * )
NEW met2 ( 531530 1041590 ) ( * 1193700 )
NEW met2 ( 533830 1193700 ) ( * 1200185 0 )
NEW met2 ( 531530 1193700 ) ( 533830 * )
NEW met1 ( 531530 1041590 ) ( 690230 * )
NEW met2 ( 1338370 3516450 ) ( * 3517980 0 )
NEW met1 ( 389850 3516450 ) ( 1338370 * )
NEW met1 ( 690230 1038190 ) ( 1770310 * )
NEW met1 ( 220110 1962990 ) M1M2_PR
NEW met1 ( 414690 1960270 ) M1M2_PR
NEW met1 ( 414690 1962990 ) M1M2_PR
NEW met1 ( 418370 1960270 ) M1M2_PR
NEW met1 ( 390310 2925870 ) M1M2_PR
NEW met1 ( 690230 1960270 ) M1M2_PR
NEW met1 ( 1770310 517310 ) M1M2_PR
NEW met1 ( 220110 2466530 ) M1M2_PR
NEW met1 ( 389850 3516450 ) M1M2_PR
NEW met1 ( 690230 1038190 ) M1M2_PR
NEW met1 ( 690230 1041590 ) M1M2_PR
NEW met1 ( 1770310 1038190 ) M1M2_PR
NEW met1 ( 247710 2925870 ) M1M2_PR
NEW met1 ( 2084030 517310 ) M1M2_PR
NEW met2 ( 2084030 512380 ) M2M3_PR
NEW met1 ( 247710 2466530 ) M1M2_PR
NEW met1 ( 358570 2466530 ) M1M2_PR
NEW met1 ( 531530 1041590 ) M1M2_PR
NEW met1 ( 1338370 3516450 ) M1M2_PR
NEW met1 ( 418370 1960270 ) RECT ( -595 -70 0 70 )
NEW met2 ( 390310 2925870 ) RECT ( -70 -485 70 0 )
NEW met2 ( 690230 1041590 ) RECT ( -70 -485 70 0 )
NEW met1 ( 247710 2466530 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[1] ( PIN io_oeb[1] ) ( wrapped_vga_clock_1 io_oeb[1] ) ( wrapped_rgb_mixer_3 io_oeb[1] ) ( wrapped_hack_soc_dffram_11 io_oeb[1] ) ( wrapped_function_generator_0 io_oeb[1] ) ( wrapped_frequency_counter_2 io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 566030 1459815 0 ) ( 567410 * )
NEW met2 ( 567410 1459815 ) ( * 1483590 )
NEW met2 ( 569250 1483590 ) ( * 1590690 )
NEW met2 ( 663550 2201670 ) ( * 2687530 )
NEW met2 ( 2900070 364820 ) ( * 365670 )
NEW met3 ( 2900070 364820 ) ( 2917780 * 0 )
NEW met3 ( 2096910 664700 ) ( 2100820 * 0 )
NEW met1 ( 1894050 669290 ) ( 2096910 * )
NEW met1 ( 530610 1590690 ) ( 569250 * )
NEW met2 ( 530610 1590690 ) ( * 1700340 0 )
NEW met1 ( 530610 1683510 ) ( 635490 * )
NEW met2 ( 635490 1683510 ) ( * 2201670 )
NEW met1 ( 567410 1483590 ) ( 1894050 * )
NEW met2 ( 1894050 669290 ) ( * 1483590 )
NEW met2 ( 2096910 365670 ) ( * 669290 )
NEW met1 ( 2096910 365670 ) ( 2900070 * )
NEW met2 ( 515890 2201670 ) ( * 2204220 )
NEW met3 ( 499100 2204220 ) ( 515890 * )
NEW met3 ( 499100 2204220 ) ( * 2206940 0 )
NEW met1 ( 515890 2201670 ) ( 663550 * )
NEW met2 ( 501630 2687530 ) ( * 2700620 )
NEW met2 ( 501630 2700620 ) ( 501665 * 0 )
NEW met1 ( 501630 2687530 ) ( 663550 * )
NEW met1 ( 567410 1483590 ) M1M2_PR
NEW met1 ( 569250 1483590 ) M1M2_PR
NEW met1 ( 569250 1590690 ) M1M2_PR
NEW met1 ( 663550 2201670 ) M1M2_PR
NEW met1 ( 663550 2687530 ) M1M2_PR
NEW met1 ( 2900070 365670 ) M1M2_PR
NEW met2 ( 2900070 364820 ) M2M3_PR
NEW met1 ( 1894050 669290 ) M1M2_PR
NEW met1 ( 2096910 669290 ) M1M2_PR
NEW met2 ( 2096910 664700 ) M2M3_PR
NEW met1 ( 530610 1590690 ) M1M2_PR
NEW met1 ( 530610 1683510 ) M1M2_PR
NEW met1 ( 635490 1683510 ) M1M2_PR
NEW met1 ( 635490 2201670 ) M1M2_PR
NEW met1 ( 1894050 1483590 ) M1M2_PR
NEW met1 ( 2096910 365670 ) M1M2_PR
NEW met1 ( 515890 2201670 ) M1M2_PR
NEW met2 ( 515890 2204220 ) M2M3_PR
NEW met1 ( 501630 2687530 ) M1M2_PR
NEW met1 ( 569250 1483590 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2096910 664700 ) RECT ( -70 -485 70 0 )
NEW met2 ( 530610 1683510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 635490 2201670 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[20] ( PIN io_oeb[20] ) ( wrapped_vga_clock_1 io_oeb[20] ) ( wrapped_rgb_mixer_3 io_oeb[20] ) ( wrapped_hack_soc_dffram_11 io_oeb[20] ) ( wrapped_function_generator_0 io_oeb[20] ) ( wrapped_frequency_counter_2 io_oeb[20] ) + USE SIGNAL
+ ROUTED met2 ( 515890 2332230 ) ( * 2334780 )
NEW met3 ( 499100 2334780 ) ( 515890 * )
NEW met3 ( 499100 2334780 ) ( * 2336140 0 )
NEW met1 ( 593630 2331890 ) ( * 2332230 )
NEW met3 ( 599380 1435140 0 ) ( 607890 * )
NEW met2 ( 607890 1434970 ) ( * 1435140 )
NEW met2 ( 594550 1798940 ) ( * 1801490 )
NEW met3 ( 579140 1798940 0 ) ( 594550 * )
NEW met2 ( 600070 1801490 ) ( * 2331890 )
NEW met2 ( 670450 1431570 ) ( * 1801490 )
NEW met2 ( 684250 2331890 ) ( * 2797690 )
NEW met1 ( 515890 2332230 ) ( 593630 * )
NEW met3 ( 529460 2798540 0 ) ( 544410 * )
NEW met2 ( 544410 2794630 ) ( * 2798540 )
NEW met1 ( 593630 2331890 ) ( 684250 * )
NEW met1 ( 544410 2794630 ) ( 684250 * )
NEW met1 ( 684250 2797690 ) ( 1007630 * )
NEW met2 ( 2084030 504220 ) ( * 510510 )
NEW met3 ( 2084030 504220 ) ( 2100820 * 0 )
NEW met1 ( 1914750 510510 ) ( 2084030 * )
NEW met1 ( 607890 1434970 ) ( 670450 * )
NEW met1 ( 594550 1801490 ) ( 670450 * )
NEW met1 ( 1007630 3516790 ) ( 1014070 * )
NEW met2 ( 1014070 3516790 ) ( * 3517980 0 )
NEW met2 ( 1007630 2797690 ) ( * 3516790 )
NEW met1 ( 670450 1431570 ) ( 1914750 * )
NEW met2 ( 1914750 510510 ) ( * 1431570 )
NEW met1 ( 515890 2332230 ) M1M2_PR
NEW met2 ( 515890 2334780 ) M2M3_PR
NEW met1 ( 600070 2331890 ) M1M2_PR
NEW met1 ( 684250 2331890 ) M1M2_PR
NEW met1 ( 684250 2797690 ) M1M2_PR
NEW met1 ( 684250 2794630 ) M1M2_PR
NEW met2 ( 607890 1435140 ) M2M3_PR
NEW met1 ( 607890 1434970 ) M1M2_PR
NEW met1 ( 594550 1801490 ) M1M2_PR
NEW met2 ( 594550 1798940 ) M2M3_PR
NEW met1 ( 600070 1801490 ) M1M2_PR
NEW met1 ( 670450 1431570 ) M1M2_PR
NEW met1 ( 670450 1434970 ) M1M2_PR
NEW met1 ( 670450 1801490 ) M1M2_PR
NEW met2 ( 544410 2798540 ) M2M3_PR
NEW met1 ( 544410 2794630 ) M1M2_PR
NEW met1 ( 1007630 2797690 ) M1M2_PR
NEW met1 ( 1914750 510510 ) M1M2_PR
NEW met1 ( 2084030 510510 ) M1M2_PR
NEW met2 ( 2084030 504220 ) M2M3_PR
NEW met1 ( 1007630 3516790 ) M1M2_PR
NEW met1 ( 1014070 3516790 ) M1M2_PR
NEW met1 ( 1914750 1431570 ) M1M2_PR
NEW met1 ( 600070 2331890 ) RECT ( -595 -70 0 70 )
NEW met2 ( 684250 2794630 ) RECT ( -70 -485 70 0 )
NEW met1 ( 600070 1801490 ) RECT ( -595 -70 0 70 )
NEW met2 ( 670450 1434970 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[21] ( PIN io_oeb[21] ) ( wrapped_vga_clock_1 io_oeb[21] ) ( wrapped_rgb_mixer_3 io_oeb[21] ) ( wrapped_hack_soc_dffram_11 io_oeb[21] ) ( wrapped_function_generator_0 io_oeb[21] ) ( wrapped_frequency_counter_2 io_oeb[21] ) + USE SIGNAL
+ ROUTED met2 ( 288650 1242530 ) ( * 1245250 )
NEW met2 ( 205850 1245250 ) ( * 1942250 )
NEW met2 ( 288650 1093950 ) ( * 1242530 )
NEW met2 ( 683330 3517980 ) ( 688390 * )
NEW met2 ( 688390 3517300 ) ( * 3517980 )
NEW met2 ( 688390 3517300 ) ( 689310 * )
NEW met2 ( 689310 3517300 ) ( * 3517980 0 )
NEW met2 ( 683330 2963270 ) ( * 3517980 )
NEW met2 ( 2446050 908650 ) ( * 1093950 )
NEW met1 ( 205850 1245250 ) ( 288650 * )
NEW met2 ( 338790 1242530 ) ( * 1245420 )
NEW met3 ( 338790 1245420 ) ( 350980 * )
NEW met3 ( 350980 1245420 ) ( * 1248140 0 )
NEW met1 ( 288650 1242530 ) ( 338790 * )
NEW met2 ( 359030 1929500 ) ( 359950 * 0 )
NEW met2 ( 359030 1929500 ) ( * 1942250 )
NEW met1 ( 205850 1942250 ) ( 359030 * )
NEW met2 ( 330050 2407710 ) ( * 2408390 )
NEW met1 ( 330050 2407710 ) ( 338330 * )
NEW met2 ( 338330 2406180 ) ( * 2407710 )
NEW met3 ( 338330 2406180 ) ( 351900 * )
NEW met3 ( 351900 2406180 ) ( * 2407540 0 )
NEW met1 ( 240350 2408390 ) ( 330050 * )
NEW met1 ( 288650 1093950 ) ( 2446050 * )
NEW met2 ( 2464450 897260 ) ( 2465830 * 0 )
NEW met2 ( 240350 1942250 ) ( * 2408390 )
NEW met1 ( 343390 2963270 ) ( 683330 * )
NEW met1 ( 2446050 908650 ) ( 2464450 * )
NEW met2 ( 2464450 897260 ) ( * 908650 )
NEW met1 ( 327750 2898330 ) ( 343390 * )
NEW met3 ( 350980 2903260 ) ( * 2903940 0 )
NEW met3 ( 343390 2903260 ) ( 350980 * )
NEW met2 ( 327750 2408390 ) ( * 2898330 )
NEW met2 ( 343390 2898330 ) ( * 2963270 )
NEW met1 ( 205850 1245250 ) M1M2_PR
NEW met1 ( 205850 1942250 ) M1M2_PR
NEW met1 ( 288650 1093950 ) M1M2_PR
NEW met1 ( 288650 1242530 ) M1M2_PR
NEW met1 ( 288650 1245250 ) M1M2_PR
NEW met1 ( 2446050 1093950 ) M1M2_PR
NEW met1 ( 683330 2963270 ) M1M2_PR
NEW met1 ( 2446050 908650 ) M1M2_PR
NEW met1 ( 240350 1942250 ) M1M2_PR
NEW met1 ( 240350 2408390 ) M1M2_PR
NEW met1 ( 338790 1242530 ) M1M2_PR
NEW met2 ( 338790 1245420 ) M2M3_PR
NEW met1 ( 359030 1942250 ) M1M2_PR
NEW met1 ( 330050 2408390 ) M1M2_PR
NEW met1 ( 330050 2407710 ) M1M2_PR
NEW met1 ( 338330 2407710 ) M1M2_PR
NEW met2 ( 338330 2406180 ) M2M3_PR
NEW met1 ( 327750 2408390 ) M1M2_PR
NEW met1 ( 343390 2963270 ) M1M2_PR
NEW met1 ( 2464450 908650 ) M1M2_PR
NEW met1 ( 343390 2898330 ) M1M2_PR
NEW met1 ( 327750 2898330 ) M1M2_PR
NEW met2 ( 343390 2903260 ) M2M3_PR
NEW met1 ( 240350 1942250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 327750 2408390 ) RECT ( -595 -70 0 70 )
NEW met2 ( 343390 2903260 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[22] ( PIN io_oeb[22] ) ( wrapped_vga_clock_1 io_oeb[22] ) ( wrapped_rgb_mixer_3 io_oeb[22] ) ( wrapped_hack_soc_dffram_11 io_oeb[22] ) ( wrapped_function_generator_0 io_oeb[22] ) ( wrapped_frequency_counter_2 io_oeb[22] ) + USE SIGNAL
+ ROUTED met1 ( 300150 2691270 ) ( 303370 * )
NEW met2 ( 575690 1459815 0 ) ( * 1471350 )
NEW met1 ( 575690 1471350 ) ( 577070 * )
NEW met2 ( 206770 2186030 ) ( * 2691270 )
NEW met1 ( 303370 2690930 ) ( * 2691270 )
NEW met2 ( 300150 2691270 ) ( * 2914990 )
NEW met2 ( 372830 1689290 ) ( * 1700340 0 )
NEW met1 ( 372830 1689290 ) ( 376050 * )
NEW met2 ( 376050 1597490 ) ( * 1689290 )
NEW met2 ( 577070 1471350 ) ( * 1597490 )
NEW met1 ( 206770 2691270 ) ( 300150 * )
NEW met2 ( 369610 2700620 ) ( 369645 * 0 )
NEW met1 ( 300150 2914990 ) ( 359030 * )
NEW met1 ( 577070 1471350 ) ( 618010 * )
NEW met2 ( 2452490 897260 ) ( 2454330 * 0 )
NEW met2 ( 265650 2186030 ) ( * 2191470 )
NEW met1 ( 206770 2186030 ) ( 265650 * )
NEW met2 ( 265650 1689290 ) ( * 2186030 )
NEW met1 ( 265650 1689290 ) ( 372830 * )
NEW met2 ( 369610 2191470 ) ( * 2200140 0 )
NEW met1 ( 265650 2191470 ) ( 369610 * )
NEW met1 ( 303370 2690930 ) ( 369610 * )
NEW met2 ( 369610 2690930 ) ( * 2700620 )
NEW met1 ( 359030 3515090 ) ( 365010 * )
NEW met2 ( 365010 3515090 ) ( * 3517980 0 )
NEW met2 ( 359030 2914990 ) ( * 3515090 )
NEW met1 ( 376050 1597490 ) ( 577070 * )
NEW met2 ( 618010 1328210 ) ( * 1471350 )
NEW met2 ( 2449730 952200 ) ( 2452490 * )
NEW met2 ( 2452490 897260 ) ( * 952200 )
NEW met1 ( 618010 1328210 ) ( 2449730 * )
NEW met2 ( 2449730 952200 ) ( * 1328210 )
NEW met1 ( 206770 2691270 ) M1M2_PR
NEW met1 ( 300150 2691270 ) M1M2_PR
NEW met1 ( 300150 2914990 ) M1M2_PR
NEW met1 ( 577070 1471350 ) M1M2_PR
NEW met1 ( 575690 1471350 ) M1M2_PR
NEW met1 ( 206770 2186030 ) M1M2_PR
NEW met1 ( 376050 1597490 ) M1M2_PR
NEW met1 ( 372830 1689290 ) M1M2_PR
NEW met1 ( 376050 1689290 ) M1M2_PR
NEW met1 ( 577070 1597490 ) M1M2_PR
NEW met1 ( 359030 2914990 ) M1M2_PR
NEW met1 ( 618010 1471350 ) M1M2_PR
NEW met1 ( 265650 1689290 ) M1M2_PR
NEW met1 ( 265650 2191470 ) M1M2_PR
NEW met1 ( 265650 2186030 ) M1M2_PR
NEW met1 ( 369610 2191470 ) M1M2_PR
NEW met1 ( 369610 2690930 ) M1M2_PR
NEW met1 ( 359030 3515090 ) M1M2_PR
NEW met1 ( 365010 3515090 ) M1M2_PR
NEW met1 ( 618010 1328210 ) M1M2_PR
NEW met1 ( 2449730 1328210 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( wrapped_vga_clock_1 io_oeb[23] ) ( wrapped_rgb_mixer_3 io_oeb[23] ) ( wrapped_hack_soc_dffram_11 io_oeb[23] ) ( wrapped_function_generator_0 io_oeb[23] ) ( wrapped_frequency_counter_2 io_oeb[23] ) + USE SIGNAL
+ ROUTED met2 ( 2442830 897260 0 ) ( 2443290 * )
NEW met2 ( 295550 1086470 ) ( * 1290300 )
NEW met2 ( 296010 1331950 ) ( * 1335350 )
NEW met2 ( 295550 1290300 ) ( 296010 * )
NEW met2 ( 296010 1290300 ) ( * 1331950 )
NEW met2 ( 400430 2449700 ) ( 401580 * 0 )
NEW met2 ( 400430 2449700 ) ( * 2456670 )
NEW met2 ( 2442830 952200 ) ( 2443290 * )
NEW met2 ( 2443290 897260 ) ( * 952200 )
NEW met2 ( 2442830 952200 ) ( * 1086470 )
NEW met2 ( 234370 2987750 ) ( * 2991150 )
NEW met1 ( 34730 2991150 ) ( 234370 * )
NEW met2 ( 464830 1929500 ) ( 466210 * 0 )
NEW met2 ( 464830 1929500 ) ( * 1939530 )
NEW met1 ( 244950 1939530 ) ( 464830 * )
NEW met2 ( 428490 2909380 ) ( 430560 * 0 )
NEW met1 ( 234370 2987750 ) ( 428030 * )
NEW met1 ( 295550 1086470 ) ( 2442830 * )
NEW met2 ( 34730 3517980 ) ( 39790 * )
NEW met2 ( 39790 3517300 ) ( * 3517980 )
NEW met2 ( 39790 3517300 ) ( 40710 * )
NEW met2 ( 40710 3517300 ) ( * 3517980 0 )
NEW met2 ( 34730 2991150 ) ( * 3517980 )
NEW met1 ( 261970 1335350 ) ( 296010 * )
NEW met2 ( 261970 1335350 ) ( * 1939530 )
NEW met1 ( 234370 2456330 ) ( 244950 * )
NEW met1 ( 244950 2456330 ) ( * 2456670 )
NEW met2 ( 244950 1939530 ) ( * 2456330 )
NEW met2 ( 234370 2456330 ) ( * 2987750 )
NEW met2 ( 338330 1331780 ) ( * 1331950 )
NEW met3 ( 338330 1331780 ) ( 351900 * )
NEW met3 ( 351900 1331780 ) ( * 1333140 0 )
NEW met1 ( 296010 1331950 ) ( 338330 * )
NEW met1 ( 244950 2456670 ) ( 400430 * )
NEW met2 ( 428030 2980800 ) ( * 2987750 )
NEW met2 ( 428030 2980800 ) ( 428490 * )
NEW met2 ( 428490 2909380 ) ( * 2980800 )
NEW met1 ( 295550 1086470 ) M1M2_PR
NEW met1 ( 2442830 1086470 ) M1M2_PR
NEW met1 ( 296010 1331950 ) M1M2_PR
NEW met1 ( 296010 1335350 ) M1M2_PR
NEW met1 ( 400430 2456670 ) M1M2_PR
NEW met1 ( 34730 2991150 ) M1M2_PR
NEW met1 ( 244950 1939530 ) M1M2_PR
NEW met1 ( 261970 1939530 ) M1M2_PR
NEW met1 ( 234370 2987750 ) M1M2_PR
NEW met1 ( 234370 2991150 ) M1M2_PR
NEW met1 ( 464830 1939530 ) M1M2_PR
NEW met1 ( 428030 2987750 ) M1M2_PR
NEW met1 ( 261970 1335350 ) M1M2_PR
NEW met1 ( 244950 2456330 ) M1M2_PR
NEW met1 ( 234370 2456330 ) M1M2_PR
NEW met1 ( 338330 1331950 ) M1M2_PR
NEW met2 ( 338330 1331780 ) M2M3_PR
NEW met1 ( 261970 1939530 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[24] ( PIN io_oeb[24] ) ( wrapped_vga_clock_1 io_oeb[24] ) ( wrapped_rgb_mixer_3 io_oeb[24] ) ( wrapped_hack_soc_dffram_11 io_oeb[24] ) ( wrapped_function_generator_0 io_oeb[24] ) ( wrapped_frequency_counter_2 io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3290860 0 ) ( 17710 * )
NEW met2 ( 17710 3284570 ) ( * 3290860 )
NEW met2 ( 469430 1929500 0 ) ( 470350 * )
NEW met2 ( 470350 1929500 ) ( * 1953470 )
NEW met3 ( 599380 1458940 0 ) ( 607890 * )
NEW met2 ( 607890 1458770 ) ( * 1458940 )
NEW met2 ( 2429030 897260 ) ( 2430870 * 0 )
NEW met2 ( 405030 2449700 0 ) ( * 2474010 )
NEW met2 ( 594090 2474010 ) ( * 2926210 )
NEW met2 ( 2429030 897260 ) ( * 1286730 )
NEW met1 ( 17710 3284570 ) ( 58650 * )
NEW met2 ( 437230 2909380 0 ) ( 437690 * )
NEW met2 ( 437690 2909380 ) ( * 2926210 )
NEW met1 ( 436770 2926210 ) ( 594090 * )
NEW met1 ( 607890 1458770 ) ( 624450 * )
NEW met1 ( 617550 1953470 ) ( 629510 * )
NEW met1 ( 470350 1953470 ) ( 617550 * )
NEW met1 ( 624450 1286730 ) ( 2429030 * )
NEW met2 ( 58650 2942870 ) ( * 3284570 )
NEW met1 ( 58650 2942870 ) ( 436770 * )
NEW met2 ( 436770 2926210 ) ( * 2942870 )
NEW met2 ( 624450 1286730 ) ( * 1458770 )
NEW met2 ( 617550 1458770 ) ( * 1953470 )
NEW met2 ( 629510 1953470 ) ( * 2474010 )
NEW met1 ( 405030 2474010 ) ( 629510 * )
NEW met2 ( 17710 3290860 ) M2M3_PR
NEW met1 ( 17710 3284570 ) M1M2_PR
NEW met1 ( 470350 1953470 ) M1M2_PR
NEW met2 ( 607890 1458940 ) M2M3_PR
NEW met1 ( 607890 1458770 ) M1M2_PR
NEW met1 ( 594090 2926210 ) M1M2_PR
NEW met1 ( 2429030 1286730 ) M1M2_PR
NEW met1 ( 405030 2474010 ) M1M2_PR
NEW met1 ( 594090 2474010 ) M1M2_PR
NEW met1 ( 58650 3284570 ) M1M2_PR
NEW met1 ( 436770 2926210 ) M1M2_PR
NEW met1 ( 437690 2926210 ) M1M2_PR
NEW met1 ( 624450 1286730 ) M1M2_PR
NEW met1 ( 624450 1458770 ) M1M2_PR
NEW met1 ( 617550 1458770 ) M1M2_PR
NEW met1 ( 617550 1953470 ) M1M2_PR
NEW met1 ( 629510 1953470 ) M1M2_PR
NEW met1 ( 58650 2942870 ) M1M2_PR
NEW met1 ( 436770 2942870 ) M1M2_PR
NEW met1 ( 629510 2474010 ) M1M2_PR
NEW met1 ( 594090 2474010 ) RECT ( -595 -70 0 70 )
NEW met1 ( 437690 2926210 ) RECT ( -595 -70 0 70 )
NEW met1 ( 617550 1458770 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[25] ( PIN io_oeb[25] ) ( wrapped_vga_clock_1 io_oeb[25] ) ( wrapped_rgb_mixer_3 io_oeb[25] ) ( wrapped_hack_soc_dffram_11 io_oeb[25] ) ( wrapped_function_generator_0 io_oeb[25] ) ( wrapped_frequency_counter_2 io_oeb[25] ) + USE SIGNAL
+ ROUTED met2 ( 470350 1459815 ) ( 472650 * 0 )
NEW met3 ( 499100 2241620 0 ) ( * 2242300 )
NEW met3 ( 499100 2242300 ) ( 510830 * )
NEW met2 ( 510830 2242130 ) ( * 2242300 )
NEW met2 ( 2417990 897260 ) ( 2419370 * 0 )
NEW met3 ( 1380 3030420 0 ) ( 17710 * )
NEW met2 ( 17710 2970410 ) ( * 3030420 )
NEW met1 ( 470350 1500250 ) ( 475870 * )
NEW met2 ( 475870 1498210 ) ( * 1500250 )
NEW met2 ( 470350 1459815 ) ( * 1500250 )
NEW met2 ( 475870 1500250 ) ( * 1660050 )
NEW met2 ( 569250 1697450 ) ( * 1700340 0 )
NEW met1 ( 566490 1697450 ) ( 569250 * )
NEW met2 ( 566490 1660050 ) ( * 1697450 )
NEW met2 ( 2417990 897260 ) ( * 907290 )
NEW met1 ( 475870 1660050 ) ( 566490 * )
NEW met2 ( 531070 2242130 ) ( * 2245870 )
NEW met1 ( 510830 2242130 ) ( 531070 * )
NEW met1 ( 531070 2245870 ) ( 719210 * )
NEW met1 ( 17710 2970410 ) ( 532450 * )
NEW met1 ( 569250 1697450 ) ( 719210 * )
NEW met2 ( 719210 1697450 ) ( * 2245870 )
NEW met2 ( 712310 2245870 ) ( * 2698070 )
NEW met1 ( 475870 1498210 ) ( 831450 * )
NEW met2 ( 831450 907290 ) ( * 1498210 )
NEW met1 ( 831450 907290 ) ( 2417990 * )
NEW met3 ( 529460 2703340 0 ) ( 534290 * )
NEW met2 ( 534290 2698070 ) ( * 2703340 )
NEW met2 ( 532450 2703340 ) ( * 2970410 )
NEW met1 ( 534290 2698070 ) ( 712310 * )
NEW met1 ( 475870 1660050 ) M1M2_PR
NEW met2 ( 510830 2242300 ) M2M3_PR
NEW met1 ( 510830 2242130 ) M1M2_PR
NEW met1 ( 566490 1660050 ) M1M2_PR
NEW met1 ( 17710 2970410 ) M1M2_PR
NEW met2 ( 17710 3030420 ) M2M3_PR
NEW met1 ( 470350 1500250 ) M1M2_PR
NEW met1 ( 475870 1500250 ) M1M2_PR
NEW met1 ( 475870 1498210 ) M1M2_PR
NEW met1 ( 569250 1697450 ) M1M2_PR
NEW met1 ( 566490 1697450 ) M1M2_PR
NEW met1 ( 2417990 907290 ) M1M2_PR
NEW met1 ( 531070 2242130 ) M1M2_PR
NEW met1 ( 531070 2245870 ) M1M2_PR
NEW met1 ( 719210 2245870 ) M1M2_PR
NEW met1 ( 712310 2245870 ) M1M2_PR
NEW met1 ( 712310 2698070 ) M1M2_PR
NEW met1 ( 532450 2970410 ) M1M2_PR
NEW met1 ( 719210 1697450 ) M1M2_PR
NEW met1 ( 831450 907290 ) M1M2_PR
NEW met1 ( 831450 1498210 ) M1M2_PR
NEW met2 ( 534290 2703340 ) M2M3_PR
NEW met1 ( 534290 2698070 ) M1M2_PR
NEW met2 ( 532450 2703340 ) M2M3_PR
NEW met1 ( 712310 2245870 ) RECT ( -595 -70 0 70 )
NEW met3 ( 532450 2703340 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[26] ( PIN io_oeb[26] ) ( wrapped_vga_clock_1 io_oeb[26] ) ( wrapped_rgb_mixer_3 io_oeb[26] ) ( wrapped_hack_soc_dffram_11 io_oeb[26] ) ( wrapped_function_generator_0 io_oeb[26] ) ( wrapped_frequency_counter_2 io_oeb[26] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2404650 ) ( * 2406180 )
NEW met3 ( 499100 2406180 ) ( 510830 * )
NEW met3 ( 499100 2406180 ) ( * 2407540 0 )
NEW met2 ( 2405570 897260 ) ( 2407870 * 0 )
NEW met3 ( 1380 2769300 0 ) ( 17710 * )
NEW met2 ( 17710 2769300 ) ( * 2773550 )
NEW met2 ( 220110 1314610 ) ( * 1928310 )
NEW met3 ( 579140 1880540 0 ) ( 589030 * )
NEW met2 ( 589030 1880540 ) ( * 1881390 )
NEW met1 ( 589030 1881390 ) ( 601450 * )
NEW met2 ( 601450 1881390 ) ( * 1928310 )
NEW met2 ( 566030 2273750 ) ( * 2404650 )
NEW met2 ( 566030 2404650 ) ( * 2865010 )
NEW met2 ( 2401430 952200 ) ( 2405570 * )
NEW met2 ( 2405570 897260 ) ( * 952200 )
NEW met2 ( 2401430 952200 ) ( * 1045330 )
NEW met1 ( 220110 1928310 ) ( 601450 * )
NEW met1 ( 510830 2404650 ) ( 566030 * )
NEW met1 ( 72450 2911930 ) ( 538430 * )
NEW met1 ( 17710 2773550 ) ( 72450 * )
NEW met2 ( 72450 2773550 ) ( * 2911930 )
NEW met2 ( 262430 1311550 ) ( * 1314610 )
NEW met1 ( 220110 1314610 ) ( 262430 * )
NEW met2 ( 268410 1045330 ) ( * 1311550 )
NEW met2 ( 338790 1311550 ) ( * 1314100 )
NEW met3 ( 338790 1314100 ) ( 350980 * )
NEW met3 ( 350980 1314100 ) ( * 1316140 0 )
NEW met1 ( 262430 1311550 ) ( 338790 * )
NEW met1 ( 503470 2273750 ) ( 566030 * )
NEW met3 ( 529460 2866540 0 ) ( 543490 * )
NEW met2 ( 543490 2865010 ) ( * 2866540 )
NEW met2 ( 538430 2866540 ) ( * 2911930 )
NEW met1 ( 543490 2865010 ) ( 566030 * )
NEW met1 ( 268410 1045330 ) ( 2401430 * )
NEW met2 ( 503470 1928310 ) ( * 2273750 )
NEW met1 ( 220110 1928310 ) M1M2_PR
NEW met1 ( 503470 1928310 ) M1M2_PR
NEW met1 ( 510830 2404650 ) M1M2_PR
NEW met2 ( 510830 2406180 ) M2M3_PR
NEW met1 ( 601450 1928310 ) M1M2_PR
NEW met1 ( 566030 2404650 ) M1M2_PR
NEW met2 ( 17710 2769300 ) M2M3_PR
NEW met1 ( 17710 2773550 ) M1M2_PR
NEW met1 ( 220110 1314610 ) M1M2_PR
NEW met1 ( 503470 2273750 ) M1M2_PR
NEW met2 ( 589030 1880540 ) M2M3_PR
NEW met1 ( 589030 1881390 ) M1M2_PR
NEW met1 ( 601450 1881390 ) M1M2_PR
NEW met1 ( 566030 2273750 ) M1M2_PR
NEW met1 ( 566030 2865010 ) M1M2_PR
NEW met1 ( 2401430 1045330 ) M1M2_PR
NEW met1 ( 72450 2911930 ) M1M2_PR
NEW met1 ( 538430 2911930 ) M1M2_PR
NEW met1 ( 72450 2773550 ) M1M2_PR
NEW met1 ( 268410 1045330 ) M1M2_PR
NEW met1 ( 262430 1311550 ) M1M2_PR
NEW met1 ( 262430 1314610 ) M1M2_PR
NEW met1 ( 268410 1311550 ) M1M2_PR
NEW met1 ( 338790 1311550 ) M1M2_PR
NEW met2 ( 338790 1314100 ) M2M3_PR
NEW met2 ( 543490 2866540 ) M2M3_PR
NEW met1 ( 543490 2865010 ) M1M2_PR
NEW met2 ( 538430 2866540 ) M2M3_PR
NEW met1 ( 503470 1928310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 268410 1311550 ) RECT ( -595 -70 0 70 )
NEW met3 ( 538430 2866540 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[27] ( PIN io_oeb[27] ) ( wrapped_vga_clock_1 io_oeb[27] ) ( wrapped_rgb_mixer_3 io_oeb[27] ) ( wrapped_hack_soc_dffram_11 io_oeb[27] ) ( wrapped_function_generator_0 io_oeb[27] ) ( wrapped_frequency_counter_2 io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2508860 0 ) ( 17250 * )
NEW met2 ( 485530 1929500 0 ) ( 487370 * )
NEW met2 ( 487370 1929500 ) ( * 1963330 )
NEW met3 ( 599380 1343340 0 ) ( 608350 * )
NEW met2 ( 608350 1339090 ) ( * 1343340 )
NEW met2 ( 17250 2477070 ) ( * 2508860 )
NEW met2 ( 416530 2477070 ) ( * 2487270 )
NEW met2 ( 416530 2449700 ) ( 417680 * 0 )
NEW met2 ( 416530 2449700 ) ( * 2477070 )
NEW met2 ( 698050 1342150 ) ( * 1963330 )
NEW met1 ( 469200 2905130 ) ( * 2908530 )
NEW met1 ( 451490 2908530 ) ( 469200 * )
NEW met2 ( 451490 2908530 ) ( * 2908700 )
NEW met2 ( 450110 2908700 0 ) ( 451490 * )
NEW met2 ( 648370 1339090 ) ( * 1342150 )
NEW met1 ( 608350 1339090 ) ( 648370 * )
NEW met1 ( 648370 1342150 ) ( 698050 * )
NEW met1 ( 487370 1963330 ) ( 657110 * )
NEW met1 ( 657110 1963330 ) ( 698050 * )
NEW met1 ( 469200 2905130 ) ( 614790 * )
NEW met2 ( 2394530 897260 ) ( 2396370 * 0 )
NEW met1 ( 648370 1252390 ) ( 2394530 * )
NEW met1 ( 17250 2477070 ) ( 416530 * )
NEW met2 ( 648370 1252390 ) ( * 1339090 )
NEW met1 ( 416530 2487270 ) ( 657110 * )
NEW met2 ( 657110 1963330 ) ( * 2487270 )
NEW met2 ( 614790 2487270 ) ( * 2905130 )
NEW met2 ( 2394530 897260 ) ( * 1252390 )
NEW met2 ( 17250 2508860 ) M2M3_PR
NEW met1 ( 487370 1963330 ) M1M2_PR
NEW met2 ( 608350 1343340 ) M2M3_PR
NEW met1 ( 608350 1339090 ) M1M2_PR
NEW met1 ( 698050 1342150 ) M1M2_PR
NEW met1 ( 698050 1963330 ) M1M2_PR
NEW met1 ( 17250 2477070 ) M1M2_PR
NEW met1 ( 416530 2487270 ) M1M2_PR
NEW met1 ( 416530 2477070 ) M1M2_PR
NEW met1 ( 451490 2908530 ) M1M2_PR
NEW met1 ( 648370 1252390 ) M1M2_PR
NEW met1 ( 648370 1339090 ) M1M2_PR
NEW met1 ( 648370 1342150 ) M1M2_PR
NEW met1 ( 657110 1963330 ) M1M2_PR
NEW met1 ( 614790 2905130 ) M1M2_PR
NEW met1 ( 2394530 1252390 ) M1M2_PR
NEW met1 ( 657110 2487270 ) M1M2_PR
NEW met1 ( 614790 2487270 ) M1M2_PR
NEW met1 ( 614790 2487270 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[28] ( PIN io_oeb[28] ) ( wrapped_vga_clock_1 io_oeb[28] ) ( wrapped_rgb_mixer_3 io_oeb[28] ) ( wrapped_hack_soc_dffram_11 io_oeb[28] ) ( wrapped_function_generator_0 io_oeb[28] ) ( wrapped_frequency_counter_2 io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2247740 0 ) ( 15870 * )
NEW met2 ( 15870 2242810 ) ( * 2247740 )
NEW met1 ( 15870 2242810 ) ( 251850 * )
NEW met1 ( 356730 1472030 ) ( 362250 * )
NEW met2 ( 356730 1459815 0 ) ( * 1472030 )
NEW met1 ( 362250 1628430 ) ( 541650 * )
NEW met2 ( 717370 1542070 ) ( * 1545470 )
NEW met1 ( 541650 1545470 ) ( 717370 * )
NEW met2 ( 2383030 897260 ) ( 2384410 * 0 )
NEW met1 ( 717370 1542070 ) ( 2377050 * )
NEW met2 ( 251850 2192490 ) ( * 2242810 )
NEW met2 ( 362250 1472030 ) ( * 1628430 )
NEW met2 ( 541650 1628400 ) ( * 1628430 )
NEW met2 ( 541650 1628400 ) ( 543490 * )
NEW met2 ( 541650 1545470 ) ( * 1628400 )
NEW met2 ( 543490 1628400 ) ( * 1700340 0 )
NEW met2 ( 642850 2225130 ) ( * 2688210 )
NEW met2 ( 710930 1545470 ) ( * 2225130 )
NEW met1 ( 2377050 910690 ) ( 2383030 * )
NEW met2 ( 2383030 897260 ) ( * 910690 )
NEW met2 ( 2377050 910690 ) ( * 1542070 )
NEW met3 ( 498180 2215780 ) ( * 2217140 0 )
NEW met3 ( 498180 2215780 ) ( 513130 * )
NEW met2 ( 513130 2215780 ) ( * 2225130 )
NEW met2 ( 497490 2192490 ) ( * 2215780 )
NEW met3 ( 497490 2215780 ) ( 498180 * )
NEW met1 ( 251850 2192490 ) ( 497490 * )
NEW met1 ( 513130 2225130 ) ( 710930 * )
NEW met2 ( 514510 2688210 ) ( * 2700620 )
NEW met2 ( 514510 2700620 ) ( 514545 * 0 )
NEW met1 ( 514510 2688210 ) ( 642850 * )
NEW met2 ( 15870 2247740 ) M2M3_PR
NEW met1 ( 15870 2242810 ) M1M2_PR
NEW met1 ( 251850 2242810 ) M1M2_PR
NEW met1 ( 362250 1472030 ) M1M2_PR
NEW met1 ( 356730 1472030 ) M1M2_PR
NEW met1 ( 362250 1628430 ) M1M2_PR
NEW met1 ( 541650 1545470 ) M1M2_PR
NEW met1 ( 541650 1628430 ) M1M2_PR
NEW met1 ( 642850 2225130 ) M1M2_PR
NEW met1 ( 717370 1545470 ) M1M2_PR
NEW met1 ( 717370 1542070 ) M1M2_PR
NEW met1 ( 710930 1545470 ) M1M2_PR
NEW met1 ( 710930 2225130 ) M1M2_PR
NEW met1 ( 2377050 1542070 ) M1M2_PR
NEW met1 ( 251850 2192490 ) M1M2_PR
NEW met1 ( 642850 2688210 ) M1M2_PR
NEW met1 ( 2377050 910690 ) M1M2_PR
NEW met1 ( 2383030 910690 ) M1M2_PR
NEW met2 ( 513130 2215780 ) M2M3_PR
NEW met1 ( 513130 2225130 ) M1M2_PR
NEW met1 ( 497490 2192490 ) M1M2_PR
NEW met2 ( 497490 2215780 ) M2M3_PR
NEW met1 ( 514510 2688210 ) M1M2_PR
NEW met1 ( 642850 2225130 ) RECT ( -595 -70 0 70 )
NEW met1 ( 710930 1545470 ) RECT ( 0 -70 595 70 ) ;
- io_oeb[29] ( PIN io_oeb[29] ) ( wrapped_vga_clock_1 io_oeb[29] ) ( wrapped_rgb_mixer_3 io_oeb[29] ) ( wrapped_hack_soc_dffram_11 io_oeb[29] ) ( wrapped_function_generator_0 io_oeb[29] ) ( wrapped_frequency_counter_2 io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1849940 0 ) ( 590410 * )
NEW met2 ( 590410 1849430 ) ( * 1849940 )
NEW met3 ( 579140 1851980 ) ( 580290 * )
NEW met3 ( 579140 1849940 0 ) ( * 1851980 )
NEW met2 ( 765670 1079670 ) ( * 1083070 )
NEW met3 ( 1380 1987300 0 ) ( 15870 * )
NEW met2 ( 15870 1987300 ) ( * 1990530 )
NEW met2 ( 407790 1083070 ) ( * 1097100 )
NEW met2 ( 407790 1097100 ) ( 410090 * )
NEW met2 ( 411470 1193700 ) ( * 1200185 0 )
NEW met2 ( 410090 1193700 ) ( 411470 * )
NEW met3 ( 499100 2381020 0 ) ( * 2382380 )
NEW met3 ( 499100 2382380 ) ( 511750 * )
NEW met2 ( 511750 2382380 ) ( * 2387310 )
NEW met2 ( 580290 1851980 ) ( * 1990530 )
NEW met2 ( 580290 1990530 ) ( * 2387310 )
NEW met2 ( 573850 2387310 ) ( * 2837810 )
NEW met2 ( 759230 1083070 ) ( * 1849430 )
NEW met1 ( 407790 1083070 ) ( 765670 * )
NEW met1 ( 590410 1849430 ) ( 759230 * )
NEW met2 ( 2370610 897260 ) ( 2372910 * 0 )
NEW met1 ( 765670 1079670 ) ( 2366930 * )
NEW met1 ( 15870 1990530 ) ( 580290 * )
NEW met1 ( 511750 2387310 ) ( 580290 * )
NEW met3 ( 529460 2839340 0 ) ( 544870 * )
NEW met2 ( 544870 2837810 ) ( * 2839340 )
NEW met1 ( 544870 2837810 ) ( 573850 * )
NEW met2 ( 2366930 952200 ) ( 2370610 * )
NEW met2 ( 2370610 897260 ) ( * 952200 )
NEW met2 ( 2366930 952200 ) ( * 1079670 )
NEW met2 ( 410090 1097100 ) ( * 1193700 )
NEW met1 ( 407790 1083070 ) M1M2_PR
NEW met2 ( 590410 1849940 ) M2M3_PR
NEW met1 ( 590410 1849430 ) M1M2_PR
NEW met2 ( 580290 1851980 ) M2M3_PR
NEW met1 ( 765670 1083070 ) M1M2_PR
NEW met1 ( 765670 1079670 ) M1M2_PR
NEW met1 ( 759230 1083070 ) M1M2_PR
NEW met1 ( 759230 1849430 ) M1M2_PR
NEW met2 ( 15870 1987300 ) M2M3_PR
NEW met1 ( 15870 1990530 ) M1M2_PR
NEW met2 ( 511750 2382380 ) M2M3_PR
NEW met1 ( 511750 2387310 ) M1M2_PR
NEW met1 ( 580290 1990530 ) M1M2_PR
NEW met1 ( 580290 2387310 ) M1M2_PR
NEW met1 ( 573850 2387310 ) M1M2_PR
NEW met1 ( 573850 2837810 ) M1M2_PR
NEW met1 ( 2366930 1079670 ) M1M2_PR
NEW met2 ( 544870 2839340 ) M2M3_PR
NEW met1 ( 544870 2837810 ) M1M2_PR
NEW met1 ( 759230 1083070 ) RECT ( 0 -70 595 70 )
NEW met1 ( 573850 2387310 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[2] ( PIN io_oeb[2] ) ( wrapped_vga_clock_1 io_oeb[2] ) ( wrapped_rgb_mixer_3 io_oeb[2] ) ( wrapped_hack_soc_dffram_11 io_oeb[2] ) ( wrapped_function_generator_0 io_oeb[2] ) ( wrapped_frequency_counter_2 io_oeb[2] ) + USE SIGNAL
+ ROUTED met2 ( 496570 2909380 ) ( * 2920430 )
NEW met2 ( 495190 2909380 0 ) ( 496570 * )
NEW met2 ( 579370 1939190 ) ( * 1941740 )
NEW met2 ( 697130 1949390 ) ( * 2466530 )
NEW met2 ( 2052750 662150 ) ( * 1562810 )
NEW met3 ( 2901450 564060 ) ( 2917780 * 0 )
NEW met2 ( 2901450 503370 ) ( * 564060 )
NEW met3 ( 353740 1458940 0 ) ( * 1461660 )
NEW met3 ( 353740 1461660 ) ( 355810 * )
NEW met2 ( 543490 1929500 0 ) ( 544870 * )
NEW met2 ( 544870 1929500 ) ( * 1939190 )
NEW met1 ( 544870 1939190 ) ( 579370 * )
NEW met2 ( 652050 1941740 ) ( * 1949390 )
NEW met3 ( 579370 1941740 ) ( 652050 * )
NEW met1 ( 652050 1949390 ) ( 697130 * )
NEW met1 ( 496570 2920430 ) ( 649290 * )
NEW met1 ( 355810 1562810 ) ( 2052750 * )
NEW met1 ( 2098750 503370 ) ( 2901450 * )
NEW met2 ( 355810 1461660 ) ( * 1562810 )
NEW met2 ( 466210 2449700 0 ) ( * 2466530 )
NEW met2 ( 649290 1562810 ) ( * 1941740 )
NEW met2 ( 649290 2466530 ) ( * 2920430 )
NEW met3 ( 2088170 656540 ) ( 2100820 * 0 )
NEW met2 ( 2088170 656540 ) ( * 662150 )
NEW met1 ( 2052750 662150 ) ( 2088170 * )
NEW met2 ( 2098750 503370 ) ( * 656540 )
NEW met1 ( 466210 2466530 ) ( 697130 * )
NEW met1 ( 496570 2920430 ) M1M2_PR
NEW met1 ( 579370 1939190 ) M1M2_PR
NEW met2 ( 579370 1941740 ) M2M3_PR
NEW met1 ( 697130 1949390 ) M1M2_PR
NEW met1 ( 2052750 1562810 ) M1M2_PR
NEW met1 ( 2901450 503370 ) M1M2_PR
NEW met1 ( 697130 2466530 ) M1M2_PR
NEW met1 ( 2052750 662150 ) M1M2_PR
NEW met2 ( 2901450 564060 ) M2M3_PR
NEW met2 ( 355810 1461660 ) M2M3_PR
NEW met1 ( 355810 1562810 ) M1M2_PR
NEW met1 ( 544870 1939190 ) M1M2_PR
NEW met1 ( 649290 1562810 ) M1M2_PR
NEW met1 ( 652050 1949390 ) M1M2_PR
NEW met2 ( 652050 1941740 ) M2M3_PR
NEW met2 ( 649290 1941740 ) M2M3_PR
NEW met1 ( 649290 2920430 ) M1M2_PR
NEW met1 ( 2098750 503370 ) M1M2_PR
NEW met1 ( 466210 2466530 ) M1M2_PR
NEW met1 ( 649290 2466530 ) M1M2_PR
NEW met2 ( 2088170 656540 ) M2M3_PR
NEW met1 ( 2088170 662150 ) M1M2_PR
NEW met2 ( 2098750 656540 ) M2M3_PR
NEW met1 ( 649290 1562810 ) RECT ( -595 -70 0 70 )
NEW met3 ( 649290 1941740 ) RECT ( -800 -150 0 150 )
NEW met1 ( 649290 2466530 ) RECT ( -595 -70 0 70 )
NEW met3 ( 2098750 656540 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[30] ( PIN io_oeb[30] ) ( wrapped_vga_clock_1 io_oeb[30] ) ( wrapped_rgb_mixer_3 io_oeb[30] ) ( wrapped_hack_soc_dffram_11 io_oeb[30] ) ( wrapped_function_generator_0 io_oeb[30] ) ( wrapped_frequency_counter_2 io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1726860 0 ) ( 14030 * )
NEW met2 ( 14030 1726860 ) ( * 1731450 )
NEW met1 ( 14030 1731450 ) ( 24150 * )
NEW met2 ( 185610 1942250 ) ( * 1945650 )
NEW met2 ( 598230 1459620 0 ) ( * 1473390 )
NEW met2 ( 24150 1731450 ) ( * 1942250 )
NEW met2 ( 185150 2014800 ) ( 185610 * )
NEW met2 ( 185610 1945650 ) ( * 2014800 )
NEW met2 ( 185150 2014800 ) ( * 2415190 )
NEW met2 ( 983710 963050 ) ( * 1519630 )
NEW met1 ( 24150 1942250 ) ( 185610 * )
NEW met1 ( 262430 2414850 ) ( * 2415190 )
NEW met1 ( 185150 2415190 ) ( 262430 * )
NEW met1 ( 185610 1945650 ) ( 324300 * )
NEW met2 ( 362250 1929500 ) ( 363170 * 0 )
NEW met1 ( 324300 1945310 ) ( * 1945650 )
NEW met1 ( 324300 1945310 ) ( 362250 * )
NEW met2 ( 338330 2412980 ) ( * 2414850 )
NEW met3 ( 338330 2412980 ) ( 350980 * )
NEW met3 ( 350980 2411620 0 ) ( * 2412980 )
NEW met1 ( 262430 2414850 ) ( 338330 * )
NEW met1 ( 598230 1473390 ) ( 734850 * )
NEW met2 ( 2360030 897260 ) ( 2361410 * 0 )
NEW met1 ( 983710 963050 ) ( 2360030 * )
NEW met2 ( 265650 2414850 ) ( * 2906150 )
NEW met2 ( 362250 1929500 ) ( * 1980330 )
NEW met1 ( 362250 1980330 ) ( 734850 * )
NEW met2 ( 734850 1473390 ) ( * 1980330 )
NEW met1 ( 734850 1519630 ) ( 983710 * )
NEW met2 ( 2360030 897260 ) ( * 963050 )
NEW met2 ( 338330 2906150 ) ( * 2906660 )
NEW met3 ( 338330 2906660 ) ( 350980 * )
NEW met3 ( 350980 2906660 ) ( * 2907340 0 )
NEW met1 ( 265650 2906150 ) ( 338330 * )
NEW met2 ( 14030 1726860 ) M2M3_PR
NEW met1 ( 14030 1731450 ) M1M2_PR
NEW met1 ( 24150 1731450 ) M1M2_PR
NEW met1 ( 24150 1942250 ) M1M2_PR
NEW met1 ( 185610 1942250 ) M1M2_PR
NEW met1 ( 185610 1945650 ) M1M2_PR
NEW met1 ( 185150 2415190 ) M1M2_PR
NEW met1 ( 598230 1473390 ) M1M2_PR
NEW met1 ( 983710 963050 ) M1M2_PR
NEW met1 ( 983710 1519630 ) M1M2_PR
NEW met1 ( 265650 2414850 ) M1M2_PR
NEW met1 ( 265650 2906150 ) M1M2_PR
NEW met1 ( 362250 1945310 ) M1M2_PR
NEW met1 ( 338330 2414850 ) M1M2_PR
NEW met2 ( 338330 2412980 ) M2M3_PR
NEW met1 ( 734850 1473390 ) M1M2_PR
NEW met1 ( 2360030 963050 ) M1M2_PR
NEW met1 ( 362250 1980330 ) M1M2_PR
NEW met1 ( 734850 1519630 ) M1M2_PR
NEW met1 ( 734850 1980330 ) M1M2_PR
NEW met1 ( 338330 2906150 ) M1M2_PR
NEW met2 ( 338330 2906660 ) M2M3_PR
NEW met1 ( 265650 2414850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 362250 1945310 ) RECT ( -70 -485 70 0 )
NEW met2 ( 734850 1519630 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[31] ( PIN io_oeb[31] ) ( wrapped_vga_clock_1 io_oeb[31] ) ( wrapped_rgb_mixer_3 io_oeb[31] ) ( wrapped_hack_soc_dffram_11 io_oeb[31] ) ( wrapped_function_generator_0 io_oeb[31] ) ( wrapped_frequency_counter_2 io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1465740 0 ) ( 15410 * )
NEW met2 ( 15410 1465740 ) ( * 1469650 )
NEW met2 ( 2347610 897260 ) ( 2349450 * 0 )
NEW met2 ( 2346230 952200 ) ( * 962370 )
NEW met2 ( 2346230 952200 ) ( 2347610 * )
NEW met2 ( 2347610 897260 ) ( * 952200 )
NEW met1 ( 15410 1469650 ) ( 72450 * )
NEW met1 ( 562810 1956530 ) ( 656190 * )
NEW met1 ( 272550 962370 ) ( 2346230 * )
NEW met2 ( 72450 1469650 ) ( * 1621630 )
NEW met1 ( 276000 1214310 ) ( * 1214990 )
NEW met1 ( 240350 1214310 ) ( 276000 * )
NEW met2 ( 272550 962370 ) ( * 1214310 )
NEW met2 ( 240350 1621630 ) ( * 1624690 )
NEW met1 ( 72450 1621630 ) ( 240350 * )
NEW met2 ( 240350 1214310 ) ( * 1621630 )
NEW met2 ( 338330 1214990 ) ( * 1215500 )
NEW met3 ( 338330 1215500 ) ( 350980 * )
NEW met3 ( 350980 1215500 ) ( * 1217540 0 )
NEW met1 ( 276000 1214990 ) ( 338330 * )
NEW met1 ( 240350 1624690 ) ( 538430 * )
NEW met2 ( 538430 1624690 ) ( * 1676700 )
NEW met2 ( 540040 1698980 ) ( * 1700340 0 )
NEW met2 ( 539810 1698980 ) ( 540040 * )
NEW met2 ( 539810 1676700 ) ( * 1698980 )
NEW met2 ( 538430 1676700 ) ( 539810 * )
NEW met2 ( 562810 1956530 ) ( * 2208470 )
NEW met1 ( 497950 2363850 ) ( 553150 * )
NEW met2 ( 553150 2363850 ) ( * 2688550 )
NEW met1 ( 539810 1697790 ) ( 656190 * )
NEW met2 ( 656190 1697790 ) ( * 1956530 )
NEW met3 ( 498180 2212380 ) ( * 2213740 0 )
NEW met3 ( 498180 2212380 ) ( 513590 * )
NEW met2 ( 513590 2208470 ) ( * 2212380 )
NEW met3 ( 497950 2212380 ) ( 498180 * )
NEW met2 ( 497950 2212380 ) ( * 2363850 )
NEW met1 ( 513590 2208470 ) ( 562810 * )
NEW met2 ( 511290 2688550 ) ( * 2700620 )
NEW met2 ( 511135 2700620 0 ) ( 511290 * )
NEW met1 ( 511290 2688550 ) ( 553150 * )
NEW met2 ( 15410 1465740 ) M2M3_PR
NEW met1 ( 15410 1469650 ) M1M2_PR
NEW met1 ( 2346230 962370 ) M1M2_PR
NEW met1 ( 497950 2363850 ) M1M2_PR
NEW met1 ( 72450 1469650 ) M1M2_PR
NEW met1 ( 272550 962370 ) M1M2_PR
NEW met1 ( 562810 1956530 ) M1M2_PR
NEW met1 ( 562810 2208470 ) M1M2_PR
NEW met1 ( 656190 1956530 ) M1M2_PR
NEW met1 ( 72450 1621630 ) M1M2_PR
NEW met1 ( 240350 1214310 ) M1M2_PR
NEW met1 ( 272550 1214310 ) M1M2_PR
NEW met1 ( 240350 1621630 ) M1M2_PR
NEW met1 ( 240350 1624690 ) M1M2_PR
NEW met1 ( 338330 1214990 ) M1M2_PR
NEW met2 ( 338330 1215500 ) M2M3_PR
NEW met1 ( 538430 1624690 ) M1M2_PR
NEW met1 ( 539810 1697790 ) M1M2_PR
NEW met1 ( 553150 2363850 ) M1M2_PR
NEW met1 ( 553150 2688550 ) M1M2_PR
NEW met1 ( 656190 1697790 ) M1M2_PR
NEW met2 ( 513590 2212380 ) M2M3_PR
NEW met1 ( 513590 2208470 ) M1M2_PR
NEW met2 ( 497950 2212380 ) M2M3_PR
NEW met1 ( 511290 2688550 ) M1M2_PR
NEW met1 ( 272550 1214310 ) RECT ( -595 -70 0 70 )
NEW met2 ( 539810 1697790 ) RECT ( -70 -485 70 0 )
NEW met3 ( 497950 2212380 ) RECT ( -570 -150 0 150 ) ;
- io_oeb[32] ( PIN io_oeb[32] ) ( wrapped_vga_clock_1 io_oeb[32] ) ( wrapped_rgb_mixer_3 io_oeb[32] ) ( wrapped_hack_soc_dffram_11 io_oeb[32] ) ( wrapped_function_generator_0 io_oeb[32] ) ( wrapped_frequency_counter_2 io_oeb[32] ) + USE SIGNAL
+ ROUTED met2 ( 2335650 897260 ) ( 2337950 * 0 )
NEW met3 ( 1380 1205300 0 ) ( 15870 * )
NEW met2 ( 15870 1200370 ) ( * 1205300 )
NEW met2 ( 213670 2294490 ) ( * 2794630 )
NEW met2 ( 2335650 897260 ) ( * 903900 )
NEW met2 ( 2332430 903900 ) ( 2335650 * )
NEW met2 ( 2332430 903900 ) ( * 1107210 )
NEW met1 ( 171810 1828010 ) ( 175950 * )
NEW met2 ( 338330 2794630 ) ( * 2797180 )
NEW met3 ( 338330 2797180 ) ( 351900 * )
NEW met3 ( 351900 2795820 0 ) ( * 2797180 )
NEW met1 ( 213670 2794630 ) ( 338330 * )
NEW met1 ( 517730 1187110 ) ( 520950 * )
NEW met1 ( 463450 1192890 ) ( 517730 * )
NEW met2 ( 168130 1196970 ) ( * 1200370 )
NEW met1 ( 15870 1200370 ) ( 168130 * )
NEW met2 ( 171810 1196970 ) ( * 1828010 )
NEW met2 ( 175950 1828010 ) ( * 2294490 )
NEW met1 ( 175950 2294490 ) ( 213670 * )
NEW met2 ( 338330 1817980 ) ( * 1818150 )
NEW met3 ( 338330 1817980 ) ( 350980 * )
NEW met3 ( 350980 1815940 0 ) ( * 1817980 )
NEW met1 ( 171810 1818150 ) ( 338330 * )
NEW met2 ( 338790 2300780 ) ( * 2300950 )
NEW met3 ( 338790 2300780 ) ( 350980 * )
NEW met3 ( 350980 2299420 0 ) ( * 2300780 )
NEW met1 ( 213670 2300950 ) ( 338790 * )
NEW met1 ( 168130 1196970 ) ( 463450 * )
NEW met2 ( 463450 1192890 ) ( * 1196970 )
NEW met2 ( 520950 1107210 ) ( * 1187110 )
NEW met2 ( 517730 1187110 ) ( * 1200185 0 )
NEW met1 ( 520950 1107210 ) ( 2332430 * )
NEW met1 ( 213670 2794630 ) M1M2_PR
NEW met2 ( 15870 1205300 ) M2M3_PR
NEW met1 ( 15870 1200370 ) M1M2_PR
NEW met1 ( 213670 2294490 ) M1M2_PR
NEW met1 ( 213670 2300950 ) M1M2_PR
NEW met1 ( 2332430 1107210 ) M1M2_PR
NEW met1 ( 171810 1828010 ) M1M2_PR
NEW met1 ( 175950 1828010 ) M1M2_PR
NEW met1 ( 338330 2794630 ) M1M2_PR
NEW met2 ( 338330 2797180 ) M2M3_PR
NEW met1 ( 463450 1192890 ) M1M2_PR
NEW met1 ( 517730 1187110 ) M1M2_PR
NEW met1 ( 520950 1187110 ) M1M2_PR
NEW met1 ( 517730 1192890 ) M1M2_PR
NEW met1 ( 168130 1196970 ) M1M2_PR
NEW met1 ( 168130 1200370 ) M1M2_PR
NEW met1 ( 171810 1196970 ) M1M2_PR
NEW met1 ( 171810 1818150 ) M1M2_PR
NEW met1 ( 175950 2294490 ) M1M2_PR
NEW met1 ( 338330 1818150 ) M1M2_PR
NEW met2 ( 338330 1817980 ) M2M3_PR
NEW met1 ( 338790 2300950 ) M1M2_PR
NEW met2 ( 338790 2300780 ) M2M3_PR
NEW met1 ( 463450 1196970 ) M1M2_PR
NEW met1 ( 520950 1107210 ) M1M2_PR
NEW met2 ( 213670 2300950 ) RECT ( -70 -485 70 0 )
NEW met2 ( 517730 1192890 ) RECT ( -70 -485 70 0 )
NEW met1 ( 171810 1196970 ) RECT ( -595 -70 0 70 )
NEW met2 ( 171810 1818150 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[33] ( PIN io_oeb[33] ) ( wrapped_vga_clock_1 io_oeb[33] ) ( wrapped_rgb_mixer_3 io_oeb[33] ) ( wrapped_hack_soc_dffram_11 io_oeb[33] ) ( wrapped_function_generator_0 io_oeb[33] ) ( wrapped_frequency_counter_2 io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2245020 0 ) ( * 2246380 )
NEW met3 ( 499100 2246380 ) ( 510830 * )
NEW met2 ( 510830 2246380 ) ( * 2249270 )
NEW met2 ( 2325530 897260 ) ( 2326450 * 0 )
NEW met3 ( 1380 944180 0 ) ( 17710 * )
NEW met2 ( 17710 944180 ) ( * 944350 )
NEW met2 ( 575690 1645430 ) ( * 1700340 0 )
NEW met2 ( 704030 1689970 ) ( * 2249610 )
NEW met2 ( 2325530 897260 ) ( * 1010650 )
NEW met2 ( 462990 1459815 0 ) ( * 1478490 )
NEW met1 ( 468970 1645430 ) ( 575690 * )
NEW met1 ( 534750 2249270 ) ( * 2249610 )
NEW met1 ( 510830 2249270 ) ( 534750 * )
NEW met1 ( 462990 1478490 ) ( 628590 * )
NEW met1 ( 534750 2249610 ) ( 704030 * )
NEW met1 ( 17710 944350 ) ( 37950 * )
NEW met2 ( 37950 944350 ) ( * 1010650 )
NEW met2 ( 468970 1478490 ) ( * 1645430 )
NEW met2 ( 628590 1010650 ) ( * 1478490 )
NEW met1 ( 575690 1689970 ) ( 704030 * )
NEW met1 ( 37950 1010650 ) ( 2325530 * )
NEW met3 ( 529460 2710140 0 ) ( 534750 * )
NEW met2 ( 534750 2249610 ) ( * 2710140 )
NEW met2 ( 510830 2246380 ) M2M3_PR
NEW met1 ( 510830 2249270 ) M1M2_PR
NEW met1 ( 575690 1645430 ) M1M2_PR
NEW met1 ( 704030 2249610 ) M1M2_PR
NEW met2 ( 17710 944180 ) M2M3_PR
NEW met1 ( 17710 944350 ) M1M2_PR
NEW met1 ( 575690 1689970 ) M1M2_PR
NEW met1 ( 704030 1689970 ) M1M2_PR
NEW met1 ( 2325530 1010650 ) M1M2_PR
NEW met1 ( 462990 1478490 ) M1M2_PR
NEW met1 ( 468970 1478490 ) M1M2_PR
NEW met1 ( 468970 1645430 ) M1M2_PR
NEW met1 ( 534750 2249610 ) M1M2_PR
NEW met1 ( 628590 1478490 ) M1M2_PR
NEW met1 ( 37950 944350 ) M1M2_PR
NEW met1 ( 37950 1010650 ) M1M2_PR
NEW met1 ( 628590 1010650 ) M1M2_PR
NEW met2 ( 534750 2710140 ) M2M3_PR
NEW met2 ( 575690 1689970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 468970 1478490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 628590 1010650 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[34] ( PIN io_oeb[34] ) ( wrapped_vga_clock_1 io_oeb[34] ) ( wrapped_rgb_mixer_3 io_oeb[34] ) ( wrapped_hack_soc_dffram_11 io_oeb[34] ) ( wrapped_function_generator_0 io_oeb[34] ) ( wrapped_frequency_counter_2 io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 683740 0 ) ( 16330 * )
NEW met2 ( 16330 683740 ) ( * 689690 )
NEW met2 ( 182850 1286730 ) ( * 1290130 )
NEW met2 ( 400430 1929500 ) ( 401810 * 0 )
NEW met2 ( 400430 1929500 ) ( * 1953470 )
NEW met2 ( 377430 2908700 ) ( * 2908870 )
NEW met2 ( 377430 2908700 ) ( 379040 * 0 )
NEW met2 ( 2312650 897260 ) ( 2314950 * 0 )
NEW met2 ( 182850 1290130 ) ( * 1953470 )
NEW met2 ( 2311730 952200 ) ( 2312650 * )
NEW met2 ( 2312650 897260 ) ( * 952200 )
NEW met2 ( 2311730 952200 ) ( * 1127780 )
NEW met1 ( 16330 689690 ) ( 79350 * )
NEW met1 ( 79350 1286730 ) ( 182850 * )
NEW met1 ( 171810 2442730 ) ( 179170 * )
NEW met3 ( 350980 1286220 ) ( * 1288940 0 )
NEW met3 ( 346380 1286220 ) ( 350980 * )
NEW met2 ( 339710 1286220 ) ( * 1290130 )
NEW met3 ( 339710 1286220 ) ( 346380 * )
NEW met1 ( 182850 1290130 ) ( 339710 * )
NEW met1 ( 171810 1953470 ) ( 400430 * )
NEW met2 ( 338330 2449020 ) ( * 2449190 )
NEW met3 ( 338330 2449020 ) ( 350060 * )
NEW met1 ( 179170 2449190 ) ( 338330 * )
NEW met2 ( 79350 689690 ) ( * 1286730 )
NEW met2 ( 171810 1953470 ) ( * 2442730 )
NEW met2 ( 179170 2442730 ) ( * 2905130 )
NEW met4 ( 346380 1127780 ) ( * 1286220 )
NEW met3 ( 350060 2449700 ) ( 350980 * )
NEW met3 ( 350060 2449020 ) ( * 2449700 )
NEW met3 ( 350980 2449020 0 ) ( * 2449700 )
NEW met2 ( 327750 2905130 ) ( * 2908870 )
NEW met1 ( 179170 2905130 ) ( 327750 * )
NEW met1 ( 327750 2908870 ) ( 377430 * )
NEW met3 ( 346380 1127780 ) ( 2311730 * )
NEW met2 ( 16330 683740 ) M2M3_PR
NEW met1 ( 16330 689690 ) M1M2_PR
NEW met1 ( 182850 1290130 ) M1M2_PR
NEW met1 ( 182850 1286730 ) M1M2_PR
NEW met1 ( 182850 1953470 ) M1M2_PR
NEW met1 ( 400430 1953470 ) M1M2_PR
NEW met1 ( 377430 2908870 ) M1M2_PR
NEW met2 ( 2311730 1127780 ) M2M3_PR
NEW met1 ( 79350 689690 ) M1M2_PR
NEW met1 ( 79350 1286730 ) M1M2_PR
NEW met1 ( 171810 1953470 ) M1M2_PR
NEW met1 ( 179170 2442730 ) M1M2_PR
NEW met1 ( 171810 2442730 ) M1M2_PR
NEW met1 ( 179170 2449190 ) M1M2_PR
NEW met1 ( 179170 2905130 ) M1M2_PR
NEW met3 ( 346380 1286220 ) M3M4_PR
NEW met1 ( 339710 1290130 ) M1M2_PR
NEW met2 ( 339710 1286220 ) M2M3_PR
NEW met1 ( 338330 2449190 ) M1M2_PR
NEW met2 ( 338330 2449020 ) M2M3_PR
NEW met3 ( 346380 1127780 ) M3M4_PR
NEW met1 ( 327750 2905130 ) M1M2_PR
NEW met1 ( 327750 2908870 ) M1M2_PR
NEW met1 ( 182850 1953470 ) RECT ( -595 -70 0 70 )
NEW met2 ( 179170 2449190 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[35] ( PIN io_oeb[35] ) ( wrapped_vga_clock_1 io_oeb[35] ) ( wrapped_rgb_mixer_3 io_oeb[35] ) ( wrapped_hack_soc_dffram_11 io_oeb[35] ) ( wrapped_function_generator_0 io_oeb[35] ) ( wrapped_frequency_counter_2 io_oeb[35] ) + USE SIGNAL
+ ROUTED met2 ( 281290 1262930 ) ( * 1265990 )
NEW met3 ( 1380 423300 0 ) ( 15870 * )
NEW met2 ( 15870 423300 ) ( * 427550 )
NEW met2 ( 86250 427550 ) ( * 1265990 )
NEW met2 ( 220570 2283610 ) ( * 2287350 )
NEW met1 ( 212290 2283610 ) ( 220570 * )
NEW met1 ( 219650 2287350 ) ( 220570 * )
NEW met2 ( 212290 1811010 ) ( * 2283610 )
NEW met2 ( 219650 2287350 ) ( * 2781030 )
NEW met2 ( 281290 1162630 ) ( * 1262930 )
NEW met2 ( 295550 1807610 ) ( * 1814750 )
NEW met2 ( 2018250 997390 ) ( * 1162630 )
NEW met1 ( 86250 1265990 ) ( 281290 * )
NEW met2 ( 338330 1262930 ) ( * 1265820 )
NEW met3 ( 338330 1265820 ) ( 350980 * )
NEW met3 ( 350980 1265820 ) ( * 1268540 0 )
NEW met1 ( 281290 1262930 ) ( 338330 * )
NEW met2 ( 2300690 897260 ) ( 2302990 * 0 )
NEW met1 ( 2018250 997390 ) ( 2297930 * )
NEW met1 ( 15870 427550 ) ( 86250 * )
NEW met2 ( 178710 1265990 ) ( * 1811010 )
NEW met1 ( 178710 1811010 ) ( 212290 * )
NEW met1 ( 212290 1814750 ) ( 295550 * )
NEW met2 ( 338330 1804380 ) ( * 1807610 )
NEW met3 ( 338330 1804380 ) ( 350980 * )
NEW met3 ( 350980 1802340 0 ) ( * 1804380 )
NEW met1 ( 295550 1807610 ) ( 338330 * )
NEW met2 ( 338330 2287350 ) ( * 2289900 )
NEW met3 ( 338330 2289900 ) ( 350980 * )
NEW met3 ( 350980 2289220 0 ) ( * 2289900 )
NEW met1 ( 220570 2287350 ) ( 338330 * )
NEW met2 ( 338790 2781030 ) ( * 2783580 )
NEW met3 ( 338790 2783580 ) ( 350980 * )
NEW met3 ( 350980 2783580 ) ( * 2784940 0 )
NEW met1 ( 219650 2781030 ) ( 338790 * )
NEW met2 ( 2297930 952200 ) ( * 997390 )
NEW met2 ( 2297930 952200 ) ( 2300690 * )
NEW met2 ( 2300690 897260 ) ( * 952200 )
NEW met1 ( 281290 1162630 ) ( 2018250 * )
NEW met1 ( 86250 1265990 ) M1M2_PR
NEW met1 ( 281290 1162630 ) M1M2_PR
NEW met1 ( 281290 1265990 ) M1M2_PR
NEW met1 ( 281290 1262930 ) M1M2_PR
NEW met1 ( 2018250 997390 ) M1M2_PR
NEW met1 ( 2018250 1162630 ) M1M2_PR
NEW met2 ( 15870 423300 ) M2M3_PR
NEW met1 ( 15870 427550 ) M1M2_PR
NEW met1 ( 86250 427550 ) M1M2_PR
NEW met1 ( 212290 1811010 ) M1M2_PR
NEW met1 ( 212290 1814750 ) M1M2_PR
NEW met1 ( 220570 2287350 ) M1M2_PR
NEW met1 ( 220570 2283610 ) M1M2_PR
NEW met1 ( 212290 2283610 ) M1M2_PR
NEW met1 ( 219650 2287350 ) M1M2_PR
NEW met1 ( 219650 2781030 ) M1M2_PR
NEW met1 ( 295550 1814750 ) M1M2_PR
NEW met1 ( 295550 1807610 ) M1M2_PR
NEW met1 ( 178710 1265990 ) M1M2_PR
NEW met1 ( 338330 1262930 ) M1M2_PR
NEW met2 ( 338330 1265820 ) M2M3_PR
NEW met1 ( 2297930 997390 ) M1M2_PR
NEW met1 ( 178710 1811010 ) M1M2_PR
NEW met1 ( 338330 1807610 ) M1M2_PR
NEW met2 ( 338330 1804380 ) M2M3_PR
NEW met1 ( 338330 2287350 ) M1M2_PR
NEW met2 ( 338330 2289900 ) M2M3_PR
NEW met1 ( 338790 2781030 ) M1M2_PR
NEW met2 ( 338790 2783580 ) M2M3_PR
NEW met2 ( 212290 1814750 ) RECT ( -70 -485 70 0 )
NEW met1 ( 178710 1265990 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[36] ( PIN io_oeb[36] ) ( wrapped_vga_clock_1 io_oeb[36] ) ( wrapped_rgb_mixer_3 io_oeb[36] ) ( wrapped_hack_soc_dffram_11 io_oeb[36] ) ( wrapped_function_generator_0 io_oeb[36] ) ( wrapped_frequency_counter_2 io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 227460 0 ) ( 17250 * )
NEW met2 ( 17250 227460 ) ( * 227630 )
NEW met1 ( 279450 2242810 ) ( 282210 * )
NEW met2 ( 279450 1752530 ) ( * 2242810 )
NEW met2 ( 282210 2242810 ) ( * 2739550 )
NEW met1 ( 17250 227630 ) ( 148350 * )
NEW met1 ( 234370 1752870 ) ( 276000 * )
NEW met1 ( 276000 1752530 ) ( * 1752870 )
NEW met2 ( 338330 1752020 ) ( * 1752530 )
NEW met3 ( 338330 1752020 ) ( 350980 * )
NEW met3 ( 350980 1751340 0 ) ( * 1752020 )
NEW met1 ( 276000 1752530 ) ( 338330 * )
NEW met2 ( 338330 2245700 ) ( * 2245870 )
NEW met3 ( 338330 2245700 ) ( 350980 * )
NEW met3 ( 350980 2245020 0 ) ( * 2245700 )
NEW met1 ( 282210 2245870 ) ( 338330 * )
NEW met1 ( 148350 900830 ) ( 548550 * )
NEW met2 ( 2291030 897260 ) ( 2291490 * 0 )
NEW met2 ( 148350 227630 ) ( * 900830 )
NEW met2 ( 234370 1172830 ) ( * 1752870 )
NEW met2 ( 338790 2739550 ) ( * 2742780 )
NEW met3 ( 338790 2742780 ) ( 350980 * )
NEW met3 ( 350980 2742780 ) ( * 2744140 0 )
NEW met1 ( 282210 2739550 ) ( 338790 * )
NEW met2 ( 548550 900830 ) ( * 1118090 )
NEW met2 ( 548550 1118090 ) ( * 1193700 )
NEW met2 ( 549870 1199180 ) ( * 1200185 0 )
NEW met2 ( 549470 1199180 ) ( 549870 * )
NEW met2 ( 549470 1193700 ) ( * 1199180 )
NEW met2 ( 548550 1193700 ) ( 549470 * )
NEW met1 ( 2287350 910690 ) ( 2291030 * )
NEW met2 ( 2291030 897260 ) ( * 910690 )
NEW met1 ( 548550 1118090 ) ( 2287350 * )
NEW met2 ( 2287350 910690 ) ( * 1118090 )
NEW met1 ( 234370 1172830 ) ( 548550 * )
NEW met2 ( 17250 227460 ) M2M3_PR
NEW met1 ( 17250 227630 ) M1M2_PR
NEW met1 ( 279450 1752530 ) M1M2_PR
NEW met1 ( 282210 2242810 ) M1M2_PR
NEW met1 ( 279450 2242810 ) M1M2_PR
NEW met1 ( 282210 2245870 ) M1M2_PR
NEW met1 ( 282210 2739550 ) M1M2_PR
NEW met1 ( 148350 227630 ) M1M2_PR
NEW met1 ( 148350 900830 ) M1M2_PR
NEW met1 ( 234370 1172830 ) M1M2_PR
NEW met1 ( 234370 1752870 ) M1M2_PR
NEW met1 ( 338330 1752530 ) M1M2_PR
NEW met2 ( 338330 1752020 ) M2M3_PR
NEW met1 ( 338330 2245870 ) M1M2_PR
NEW met2 ( 338330 2245700 ) M2M3_PR
NEW met1 ( 548550 900830 ) M1M2_PR
NEW met1 ( 548550 1172830 ) M1M2_PR
NEW met1 ( 338790 2739550 ) M1M2_PR
NEW met2 ( 338790 2742780 ) M2M3_PR
NEW met1 ( 548550 1118090 ) M1M2_PR
NEW met1 ( 2287350 910690 ) M1M2_PR
NEW met1 ( 2291030 910690 ) M1M2_PR
NEW met1 ( 2287350 1118090 ) M1M2_PR
NEW met1 ( 279450 1752530 ) RECT ( -595 -70 0 70 )
NEW met2 ( 282210 2245870 ) RECT ( -70 -485 70 0 )
NEW met2 ( 548550 1172830 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[37] ( PIN io_oeb[37] ) ( wrapped_vga_clock_1 io_oeb[37] ) ( wrapped_rgb_mixer_3 io_oeb[37] ) ( wrapped_hack_soc_dffram_11 io_oeb[37] ) ( wrapped_function_generator_0 io_oeb[37] ) ( wrapped_frequency_counter_2 io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 32300 0 ) ( 17250 * )
NEW met2 ( 17250 32300 ) ( * 45050 )
NEW met2 ( 221030 1397230 ) ( * 1400630 )
NEW met2 ( 227010 1400630 ) ( * 1787380 )
NEW met2 ( 2277690 897260 ) ( 2279990 * 0 )
NEW met1 ( 17250 45050 ) ( 175950 * )
NEW met2 ( 175950 45050 ) ( * 1397230 )
NEW met1 ( 175950 1397230 ) ( 221030 * )
NEW met3 ( 350980 1397740 0 ) ( * 1398420 )
NEW met3 ( 340170 1398420 ) ( 350980 * )
NEW met2 ( 340170 1398420 ) ( * 1400630 )
NEW met1 ( 221030 1400630 ) ( 340170 * )
NEW met4 ( 345460 1162460 ) ( * 1398420 )
NEW met3 ( 339020 1786700 ) ( * 1787380 )
NEW met3 ( 339020 1786700 ) ( 350980 * )
NEW met3 ( 350980 1785340 0 ) ( * 1786700 )
NEW met3 ( 339020 1787380 ) ( 340860 * )
NEW met3 ( 227010 1787380 ) ( 339020 * )
NEW met3 ( 350980 2272220 0 ) ( * 2273580 )
NEW met3 ( 344540 2273580 ) ( 350980 * )
NEW met3 ( 340860 2273580 ) ( 344540 * )
NEW met4 ( 340860 1787380 ) ( * 2273580 )
NEW met3 ( 344540 2769980 ) ( 350980 * )
NEW met3 ( 350980 2769980 ) ( * 2771340 0 )
NEW met2 ( 2277230 952200 ) ( 2277690 * )
NEW met2 ( 2277690 897260 ) ( * 952200 )
NEW met2 ( 2277230 952200 ) ( * 1162460 )
NEW met3 ( 345460 1162460 ) ( 2277230 * )
NEW met4 ( 344540 2273580 ) ( * 2769980 )
NEW met2 ( 17250 32300 ) M2M3_PR
NEW met1 ( 17250 45050 ) M1M2_PR
NEW met1 ( 221030 1400630 ) M1M2_PR
NEW met1 ( 221030 1397230 ) M1M2_PR
NEW met1 ( 227010 1400630 ) M1M2_PR
NEW met2 ( 227010 1787380 ) M2M3_PR
NEW met3 ( 345460 1162460 ) M3M4_PR
NEW met2 ( 2277230 1162460 ) M2M3_PR
NEW met1 ( 175950 45050 ) M1M2_PR
NEW met1 ( 175950 1397230 ) M1M2_PR
NEW met2 ( 340170 1398420 ) M2M3_PR
NEW met1 ( 340170 1400630 ) M1M2_PR
NEW met3 ( 345460 1398420 ) M3M4_PR
NEW met3 ( 340860 1787380 ) M3M4_PR
NEW met3 ( 344540 2273580 ) M3M4_PR
NEW met3 ( 340860 2273580 ) M3M4_PR
NEW met3 ( 344540 2769980 ) M3M4_PR
NEW met1 ( 227010 1400630 ) RECT ( -595 -70 0 70 )
NEW met3 ( 345460 1398420 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[3] ( PIN io_oeb[3] ) ( wrapped_vga_clock_1 io_oeb[3] ) ( wrapped_rgb_mixer_3 io_oeb[3] ) ( wrapped_hack_soc_dffram_11 io_oeb[3] ) ( wrapped_function_generator_0 io_oeb[3] ) ( wrapped_frequency_counter_2 io_oeb[3] ) + USE SIGNAL
+ ROUTED met2 ( 600990 1648490 ) ( * 1649170 )
NEW met1 ( 2038950 900150 ) ( 2042170 * )
NEW met2 ( 2899150 763300 ) ( * 765850 )
NEW met3 ( 2899150 763300 ) ( 2917780 * 0 )
NEW met2 ( 420670 2487610 ) ( * 2688210 )
NEW met2 ( 482770 2194190 ) ( * 2197590 )
NEW met2 ( 479550 1984410 ) ( * 2194190 )
NEW met2 ( 613870 1404030 ) ( * 1431910 )
NEW met3 ( 599380 1428340 0 ) ( 613870 * )
NEW met2 ( 603750 1649170 ) ( * 1984410 )
NEW met2 ( 601450 2197590 ) ( * 2487610 )
NEW met2 ( 2042170 648550 ) ( * 900150 )
NEW met2 ( 2038950 900150 ) ( * 1404030 )
NEW met2 ( 450110 2700620 ) ( 450145 * 0 )
NEW met1 ( 466210 1648490 ) ( 600990 * )
NEW met1 ( 600990 1649170 ) ( 629050 * )
NEW met1 ( 2873850 765850 ) ( 2899150 * )
NEW met1 ( 2042170 897090 ) ( 2873850 * )
NEW met2 ( 466210 1648490 ) ( * 1700340 0 )
NEW met2 ( 450110 2194190 ) ( * 2200140 0 )
NEW met1 ( 450110 2194190 ) ( 482770 * )
NEW met1 ( 420670 2688210 ) ( 450110 * )
NEW met2 ( 450110 2688210 ) ( * 2700620 )
NEW met1 ( 479550 1984410 ) ( 603750 * )
NEW met1 ( 420670 2487610 ) ( 601450 * )
NEW met1 ( 613870 1431910 ) ( 629050 * )
NEW met2 ( 629050 1431910 ) ( * 1649170 )
NEW met1 ( 613870 1404030 ) ( 2038950 * )
NEW met2 ( 2084030 647700 ) ( * 648550 )
NEW met3 ( 2084030 647700 ) ( 2100820 * 0 )
NEW met1 ( 2042170 648550 ) ( 2084030 * )
NEW met2 ( 2873850 765850 ) ( * 897090 )
NEW met1 ( 482770 2197590 ) ( 601450 * )
NEW met1 ( 600990 1649170 ) M1M2_PR
NEW met1 ( 600990 1648490 ) M1M2_PR
NEW met1 ( 603750 1649170 ) M1M2_PR
NEW met1 ( 2038950 900150 ) M1M2_PR
NEW met1 ( 2042170 900150 ) M1M2_PR
NEW met1 ( 2042170 897090 ) M1M2_PR
NEW met1 ( 2899150 765850 ) M1M2_PR
NEW met2 ( 2899150 763300 ) M2M3_PR
NEW met1 ( 420670 2487610 ) M1M2_PR
NEW met1 ( 420670 2688210 ) M1M2_PR
NEW met1 ( 479550 1984410 ) M1M2_PR
NEW met1 ( 482770 2194190 ) M1M2_PR
NEW met1 ( 482770 2197590 ) M1M2_PR
NEW met1 ( 479550 2194190 ) M1M2_PR
NEW met1 ( 613870 1431910 ) M1M2_PR
NEW met1 ( 613870 1404030 ) M1M2_PR
NEW met2 ( 613870 1428340 ) M2M3_PR
NEW met1 ( 603750 1984410 ) M1M2_PR
NEW met1 ( 601450 2197590 ) M1M2_PR
NEW met1 ( 601450 2487610 ) M1M2_PR
NEW met1 ( 2042170 648550 ) M1M2_PR
NEW met1 ( 2038950 1404030 ) M1M2_PR
NEW met1 ( 466210 1648490 ) M1M2_PR
NEW met1 ( 629050 1649170 ) M1M2_PR
NEW met1 ( 2873850 765850 ) M1M2_PR
NEW met1 ( 2873850 897090 ) M1M2_PR
NEW met1 ( 450110 2194190 ) M1M2_PR
NEW met1 ( 450110 2688210 ) M1M2_PR
NEW met1 ( 629050 1431910 ) M1M2_PR
NEW met1 ( 2084030 648550 ) M1M2_PR
NEW met2 ( 2084030 647700 ) M2M3_PR
NEW met1 ( 603750 1649170 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2042170 897090 ) RECT ( -70 -485 70 0 )
NEW met1 ( 479550 2194190 ) RECT ( -595 -70 0 70 )
NEW met2 ( 613870 1428340 ) RECT ( -70 -485 70 0 ) ;
- io_oeb[4] ( PIN io_oeb[4] ) ( wrapped_vga_clock_1 io_oeb[4] ) ( wrapped_rgb_mixer_3 io_oeb[4] ) ( wrapped_hack_soc_dffram_11 io_oeb[4] ) ( wrapped_function_generator_0 io_oeb[4] ) ( wrapped_frequency_counter_2 io_oeb[4] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2251820 0 ) ( * 2253180 )
NEW met2 ( 2900990 955910 ) ( * 962540 )
NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
NEW met3 ( 579140 1700340 0 ) ( 592250 * )
NEW met2 ( 592250 1700340 ) ( * 1700850 )
NEW met2 ( 586730 1638460 ) ( * 1700340 )
NEW met2 ( 670910 2259470 ) ( * 2712010 )
NEW met3 ( 354660 1638460 ) ( 586730 * )
NEW met3 ( 499100 2253180 ) ( 518190 * )
NEW met1 ( 2098290 955910 ) ( 2900990 * )
NEW met3 ( 352820 1424940 0 ) ( * 1426980 )
NEW met4 ( 352820 1426980 ) ( * 1435200 )
NEW met4 ( 352820 1435200 ) ( 354660 * )
NEW met4 ( 354660 1435200 ) ( * 1638460 )
NEW met2 ( 518190 2253180 ) ( * 2259470 )
NEW met1 ( 518190 2259470 ) ( 738990 * )
NEW met2 ( 738990 1700850 ) ( * 2259470 )
NEW met1 ( 592250 1700850 ) ( 1887150 * )
NEW met2 ( 1887150 641410 ) ( * 1700850 )
NEW met3 ( 2099210 639540 ) ( 2100820 * 0 )
NEW met2 ( 2099210 639540 ) ( * 641410 )
NEW met1 ( 1887150 641410 ) ( 2099210 * )
NEW met2 ( 2098290 641410 ) ( * 955910 )
NEW met3 ( 529460 2716940 0 ) ( 539350 * )
NEW met2 ( 539350 2712010 ) ( * 2716940 )
NEW met1 ( 539350 2712010 ) ( 670910 * )
NEW met2 ( 586730 1638460 ) M2M3_PR
NEW met1 ( 670910 2712010 ) M1M2_PR
NEW met1 ( 2900990 955910 ) M1M2_PR
NEW met2 ( 2900990 962540 ) M2M3_PR
NEW met2 ( 592250 1700340 ) M2M3_PR
NEW met1 ( 592250 1700850 ) M1M2_PR
NEW met2 ( 586730 1700340 ) M2M3_PR
NEW met1 ( 670910 2259470 ) M1M2_PR
NEW met3 ( 354660 1638460 ) M3M4_PR
NEW met2 ( 518190 2253180 ) M2M3_PR
NEW met1 ( 2098290 955910 ) M1M2_PR
NEW met3 ( 352820 1426980 ) M3M4_PR
NEW met1 ( 518190 2259470 ) M1M2_PR
NEW met1 ( 738990 1700850 ) M1M2_PR
NEW met1 ( 738990 2259470 ) M1M2_PR
NEW met1 ( 1887150 641410 ) M1M2_PR
NEW met1 ( 1887150 1700850 ) M1M2_PR
NEW met2 ( 2099210 639540 ) M2M3_PR
NEW met1 ( 2099210 641410 ) M1M2_PR
NEW met1 ( 2098290 641410 ) M1M2_PR
NEW met2 ( 539350 2716940 ) M2M3_PR
NEW met1 ( 539350 2712010 ) M1M2_PR
NEW met3 ( 586730 1700340 ) RECT ( -800 -150 0 150 )
NEW met1 ( 670910 2259470 ) RECT ( -595 -70 0 70 )
NEW met1 ( 738990 1700850 ) RECT ( -595 -70 0 70 )
NEW met1 ( 2098290 641410 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[5] ( PIN io_oeb[5] ) ( wrapped_vga_clock_1 io_oeb[5] ) ( wrapped_rgb_mixer_3 io_oeb[5] ) ( wrapped_hack_soc_dffram_11 io_oeb[5] ) ( wrapped_function_generator_0 io_oeb[5] ) ( wrapped_frequency_counter_2 io_oeb[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1384140 0 ) ( 608810 * )
NEW met3 ( 2901450 1161780 ) ( 2917780 * 0 )
NEW met2 ( 288650 2325090 ) ( * 2822170 )
NEW met2 ( 608350 1435200 ) ( 608810 * )
NEW met2 ( 608810 1376830 ) ( * 1435200 )
NEW met2 ( 608350 1435200 ) ( * 1597150 )
NEW met2 ( 2032050 634610 ) ( * 1376830 )
NEW met2 ( 2901450 934830 ) ( * 1161780 )
NEW met3 ( 350980 1849940 0 ) ( * 1850620 )
NEW met3 ( 342010 1850620 ) ( 350980 * )
NEW met2 ( 342010 1850620 ) ( * 1850790 )
NEW met1 ( 327750 1850790 ) ( 342010 * )
NEW met1 ( 288650 2325090 ) ( 324300 * )
NEW met1 ( 324300 2325090 ) ( * 2325430 )
NEW met1 ( 324300 2325430 ) ( 338790 * )
NEW met2 ( 338790 2325430 ) ( * 2330700 )
NEW met3 ( 338790 2330700 ) ( 350980 * )
NEW met3 ( 350980 2330020 0 ) ( * 2330700 )
NEW met2 ( 338330 2822170 ) ( * 2824380 )
NEW met3 ( 338330 2824380 ) ( 350980 * )
NEW met3 ( 350980 2824380 ) ( * 2825740 0 )
NEW met1 ( 288650 2822170 ) ( 338330 * )
NEW met1 ( 608810 1376830 ) ( 2032050 * )
NEW met2 ( 346150 1597150 ) ( * 1850620 )
NEW met2 ( 327750 1850790 ) ( * 2325430 )
NEW met1 ( 346150 1597150 ) ( 608350 * )
NEW met3 ( 2092770 630700 ) ( 2100820 * 0 )
NEW met2 ( 2092770 630700 ) ( * 634610 )
NEW met1 ( 2092770 634610 ) ( 2096450 * )
NEW met1 ( 2032050 634610 ) ( 2092770 * )
NEW met2 ( 2096450 634610 ) ( * 934830 )
NEW met1 ( 2096450 934830 ) ( 2901450 * )
NEW met1 ( 288650 2325090 ) M1M2_PR
NEW met1 ( 288650 2822170 ) M1M2_PR
NEW met1 ( 608810 1376830 ) M1M2_PR
NEW met2 ( 608810 1384140 ) M2M3_PR
NEW met1 ( 2032050 1376830 ) M1M2_PR
NEW met2 ( 2901450 1161780 ) M2M3_PR
NEW met1 ( 608350 1597150 ) M1M2_PR
NEW met1 ( 2032050 634610 ) M1M2_PR
NEW met1 ( 2901450 934830 ) M1M2_PR
NEW met2 ( 342010 1850620 ) M2M3_PR
NEW met1 ( 342010 1850790 ) M1M2_PR
NEW met1 ( 327750 1850790 ) M1M2_PR
NEW met2 ( 346150 1850620 ) M2M3_PR
NEW met1 ( 338790 2325430 ) M1M2_PR
NEW met2 ( 338790 2330700 ) M2M3_PR
NEW met1 ( 327750 2325430 ) M1M2_PR
NEW met1 ( 338330 2822170 ) M1M2_PR
NEW met2 ( 338330 2824380 ) M2M3_PR
NEW met1 ( 346150 1597150 ) M1M2_PR
NEW met2 ( 2092770 630700 ) M2M3_PR
NEW met1 ( 2092770 634610 ) M1M2_PR
NEW met1 ( 2096450 634610 ) M1M2_PR
NEW met1 ( 2096450 934830 ) M1M2_PR
NEW met2 ( 608810 1384140 ) RECT ( -70 -485 70 0 )
NEW met3 ( 346150 1850620 ) RECT ( -800 -150 0 150 )
NEW met1 ( 327750 2325430 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[6] ( PIN io_oeb[6] ) ( wrapped_vga_clock_1 io_oeb[6] ) ( wrapped_rgb_mixer_3 io_oeb[6] ) ( wrapped_hack_soc_dffram_11 io_oeb[6] ) ( wrapped_function_generator_0 io_oeb[6] ) ( wrapped_frequency_counter_2 io_oeb[6] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2323220 0 ) ( * 2323900 )
NEW met3 ( 499100 2323900 ) ( 510830 * )
NEW met2 ( 510830 2323900 ) ( * 2325090 )
NEW met2 ( 2899150 1359490 ) ( * 1361020 )
NEW met3 ( 2899150 1361020 ) ( 2917780 * 0 )
NEW met3 ( 579140 1781940 0 ) ( 593170 * )
NEW met2 ( 593170 1780750 ) ( * 1781940 )
NEW met2 ( 690690 1783470 ) ( * 2325430 )
NEW met1 ( 638250 2325090 ) ( * 2325430 )
NEW met1 ( 510830 2325090 ) ( 638250 * )
NEW met1 ( 638250 2325430 ) ( 690690 * )
NEW met1 ( 2097370 1359490 ) ( 2899150 * )
NEW met2 ( 455630 1138490 ) ( * 1193700 )
NEW met2 ( 456550 1193700 ) ( * 1200185 0 )
NEW met2 ( 455630 1193700 ) ( 456550 * )
NEW met3 ( 529460 2784940 0 ) ( 544870 * )
NEW met2 ( 544870 2781030 ) ( * 2784940 )
NEW met2 ( 656190 1135090 ) ( * 1138490 )
NEW met1 ( 656190 1138490 ) ( 658950 * )
NEW met1 ( 455630 1138490 ) ( 656190 * )
NEW met2 ( 657110 1780750 ) ( * 1783470 )
NEW met1 ( 657110 1780750 ) ( 658950 * )
NEW met1 ( 593170 1780750 ) ( 657110 * )
NEW met2 ( 658950 1138490 ) ( * 1780750 )
NEW met1 ( 657110 1783470 ) ( 690690 * )
NEW met1 ( 544870 2781030 ) ( 638250 * )
NEW met2 ( 638250 2325430 ) ( * 2781030 )
NEW met1 ( 656190 1135090 ) ( 1715110 * )
NEW met2 ( 1715110 627810 ) ( * 1135090 )
NEW met3 ( 2092770 622540 ) ( 2100820 * 0 )
NEW met2 ( 2092770 622540 ) ( * 627810 )
NEW met1 ( 2092770 627810 ) ( 2097370 * )
NEW met1 ( 1715110 627810 ) ( 2092770 * )
NEW met2 ( 2097370 627810 ) ( * 1359490 )
NEW met2 ( 510830 2323900 ) M2M3_PR
NEW met1 ( 510830 2325090 ) M1M2_PR
NEW met1 ( 690690 2325430 ) M1M2_PR
NEW met1 ( 2899150 1359490 ) M1M2_PR
NEW met2 ( 2899150 1361020 ) M2M3_PR
NEW met2 ( 593170 1781940 ) M2M3_PR
NEW met1 ( 593170 1780750 ) M1M2_PR
NEW met1 ( 690690 1783470 ) M1M2_PR
NEW met1 ( 638250 2325430 ) M1M2_PR
NEW met1 ( 2097370 1359490 ) M1M2_PR
NEW met1 ( 455630 1138490 ) M1M2_PR
NEW met2 ( 544870 2784940 ) M2M3_PR
NEW met1 ( 544870 2781030 ) M1M2_PR
NEW met1 ( 656190 1135090 ) M1M2_PR
NEW met1 ( 656190 1138490 ) M1M2_PR
NEW met1 ( 658950 1138490 ) M1M2_PR
NEW met1 ( 657110 1783470 ) M1M2_PR
NEW met1 ( 657110 1780750 ) M1M2_PR
NEW met1 ( 658950 1780750 ) M1M2_PR
NEW met1 ( 638250 2781030 ) M1M2_PR
NEW met1 ( 1715110 627810 ) M1M2_PR
NEW met1 ( 1715110 1135090 ) M1M2_PR
NEW met2 ( 2092770 622540 ) M2M3_PR
NEW met1 ( 2092770 627810 ) M1M2_PR
NEW met1 ( 2097370 627810 ) M1M2_PR
NEW met1 ( 638250 2325430 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[7] ( PIN io_oeb[7] ) ( wrapped_vga_clock_1 io_oeb[7] ) ( wrapped_rgb_mixer_3 io_oeb[7] ) ( wrapped_hack_soc_dffram_11 io_oeb[7] ) ( wrapped_function_generator_0 io_oeb[7] ) ( wrapped_frequency_counter_2 io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 409170 1929500 ) ( 411470 * 0 )
NEW met2 ( 383870 2909380 ) ( 385480 * 0 )
NEW met2 ( 383870 2909380 ) ( * 2912610 )
NEW met3 ( 599380 1285540 0 ) ( 607890 * )
NEW met2 ( 607890 1283330 ) ( * 1285540 )
NEW met2 ( 409170 1929500 ) ( * 1976930 )
NEW met2 ( 773030 1280270 ) ( * 1973870 )
NEW met3 ( 2901450 1626220 ) ( 2917780 * 0 )
NEW met2 ( 2901450 1493790 ) ( * 1626220 )
NEW met1 ( 272550 2912610 ) ( 383870 * )
NEW met1 ( 607890 1283330 ) ( 773030 * )
NEW met1 ( 773030 1280270 ) ( 1997550 * )
NEW met3 ( 2097830 613700 ) ( 2100820 * 0 )
NEW met2 ( 2097830 613700 ) ( * 613870 )
NEW met1 ( 1997550 613870 ) ( 2097830 * )
NEW met2 ( 269330 2460750 ) ( * 2463810 )
NEW met1 ( 232990 2463810 ) ( 269330 * )
NEW met2 ( 232990 1976930 ) ( * 2463810 )
NEW met2 ( 272550 2460750 ) ( * 2912610 )
NEW met1 ( 232990 1976930 ) ( 409170 * )
NEW met2 ( 352130 2449700 ) ( 353280 * 0 )
NEW met2 ( 352130 2449700 ) ( * 2460750 )
NEW met1 ( 269330 2460750 ) ( 352130 * )
NEW met1 ( 409170 1973870 ) ( 773030 * )
NEW met2 ( 1997550 613870 ) ( * 1280270 )
NEW met2 ( 2097830 613870 ) ( * 1493790 )
NEW met1 ( 2097830 1493790 ) ( 2901450 * )
NEW met1 ( 383870 2912610 ) M1M2_PR
NEW met2 ( 607890 1285540 ) M2M3_PR
NEW met1 ( 607890 1283330 ) M1M2_PR
NEW met1 ( 773030 1280270 ) M1M2_PR
NEW met1 ( 773030 1283330 ) M1M2_PR
NEW met1 ( 409170 1976930 ) M1M2_PR
NEW met1 ( 409170 1973870 ) M1M2_PR
NEW met1 ( 773030 1973870 ) M1M2_PR
NEW met1 ( 2901450 1493790 ) M1M2_PR
NEW met2 ( 2901450 1626220 ) M2M3_PR
NEW met1 ( 272550 2912610 ) M1M2_PR
NEW met1 ( 1997550 613870 ) M1M2_PR
NEW met1 ( 1997550 1280270 ) M1M2_PR
NEW met1 ( 2097830 613870 ) M1M2_PR
NEW met2 ( 2097830 613700 ) M2M3_PR
NEW met1 ( 232990 1976930 ) M1M2_PR
NEW met1 ( 269330 2460750 ) M1M2_PR
NEW met1 ( 269330 2463810 ) M1M2_PR
NEW met1 ( 232990 2463810 ) M1M2_PR
NEW met1 ( 272550 2460750 ) M1M2_PR
NEW met1 ( 352130 2460750 ) M1M2_PR
NEW met1 ( 2097830 1493790 ) M1M2_PR
NEW met2 ( 773030 1283330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 409170 1973870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 272550 2460750 ) RECT ( -595 -70 0 70 ) ;
- io_oeb[8] ( PIN io_oeb[8] ) ( wrapped_vga_clock_1 io_oeb[8] ) ( wrapped_rgb_mixer_3 io_oeb[8] ) ( wrapped_hack_soc_dffram_11 io_oeb[8] ) ( wrapped_function_generator_0 io_oeb[8] ) ( wrapped_frequency_counter_2 io_oeb[8] ) + USE SIGNAL
+ ROUTED met3 ( 596620 1447380 ) ( * 1448740 0 )
NEW met2 ( 612030 1442450 ) ( * 1447380 )
NEW met3 ( 596620 1447380 ) ( 612030 * )
NEW met1 ( 199870 2173450 ) ( 203550 * )
NEW met2 ( 203550 2173450 ) ( * 2176850 )
NEW met2 ( 203550 1696770 ) ( * 2173450 )
NEW met2 ( 199870 2173450 ) ( * 2673590 )
NEW met4 ( 593860 1531800 ) ( * 1576580 )
NEW met4 ( 593860 1531800 ) ( 596620 * )
NEW met4 ( 596620 1447380 ) ( * 1531800 )
NEW met2 ( 596850 1690140 ) ( * 1769870 )
NEW met3 ( 2901910 1892100 ) ( 2917780 * 0 )
NEW met2 ( 2901910 1769870 ) ( * 1892100 )
NEW met2 ( 366390 2700620 ) ( 366425 * 0 )
NEW met3 ( 372370 1576580 ) ( 593860 * )
NEW met1 ( 612030 1442450 ) ( 1114350 * )
NEW met3 ( 2087710 605540 ) ( 2100820 * 0 )
NEW met3 ( 1114350 886380 ) ( 2087710 * )
NEW met1 ( 596850 1769870 ) ( 2901910 * )
NEW met2 ( 369610 1696770 ) ( * 1700340 0 )
NEW met2 ( 369610 1690140 ) ( * 1696770 )
NEW met1 ( 203550 1696770 ) ( 369610 * )
NEW met2 ( 372370 1576580 ) ( * 1690140 )
NEW met2 ( 366390 2176850 ) ( * 2200140 0 )
NEW met1 ( 203550 2176850 ) ( 366390 * )
NEW met1 ( 199870 2673590 ) ( 366390 * )
NEW met2 ( 366390 2673590 ) ( * 2700620 )
NEW met3 ( 369610 1690140 ) ( 596850 * )
NEW met2 ( 1114350 886380 ) ( * 1442450 )
NEW met2 ( 2087710 605540 ) ( * 886380 )
NEW met3 ( 596620 1447380 ) M3M4_PR
NEW met1 ( 612030 1442450 ) M1M2_PR
NEW met2 ( 612030 1447380 ) M2M3_PR
NEW met3 ( 593860 1576580 ) M3M4_PR
NEW met1 ( 596850 1769870 ) M1M2_PR
NEW met1 ( 2901910 1769870 ) M1M2_PR
NEW met1 ( 203550 1696770 ) M1M2_PR
NEW met1 ( 203550 2173450 ) M1M2_PR
NEW met1 ( 199870 2173450 ) M1M2_PR
NEW met1 ( 203550 2176850 ) M1M2_PR
NEW met1 ( 199870 2673590 ) M1M2_PR
NEW met2 ( 596850 1690140 ) M2M3_PR
NEW met2 ( 2901910 1892100 ) M2M3_PR
NEW met2 ( 372370 1576580 ) M2M3_PR
NEW met2 ( 1114350 886380 ) M2M3_PR
NEW met1 ( 1114350 1442450 ) M1M2_PR
NEW met2 ( 2087710 605540 ) M2M3_PR
NEW met2 ( 2087710 886380 ) M2M3_PR
NEW met1 ( 369610 1696770 ) M1M2_PR
NEW met2 ( 369610 1690140 ) M2M3_PR
NEW met2 ( 372370 1690140 ) M2M3_PR
NEW met1 ( 366390 2176850 ) M1M2_PR
NEW met1 ( 366390 2673590 ) M1M2_PR
NEW met3 ( 372370 1690140 ) RECT ( -800 -150 0 150 ) ;
- io_oeb[9] ( PIN io_oeb[9] ) ( wrapped_vga_clock_1 io_oeb[9] ) ( wrapped_rgb_mixer_3 io_oeb[9] ) ( wrapped_hack_soc_dffram_11 io_oeb[9] ) ( wrapped_function_generator_0 io_oeb[9] ) ( wrapped_frequency_counter_2 io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 392150 2700620 ) ( 392185 * 0 )
NEW met3 ( 599380 1282140 0 ) ( 608350 * )
NEW met2 ( 608350 1265990 ) ( * 1282140 )
NEW met2 ( 2899150 2157980 ) ( * 2159510 )
NEW met3 ( 2899150 2157980 ) ( 2917780 * 0 )
NEW met2 ( 281750 1682150 ) ( * 1852660 )
NEW met1 ( 396750 1683510 ) ( 398590 * )
NEW met2 ( 398590 1683510 ) ( * 1700340 0 )
NEW met2 ( 396750 1556690 ) ( * 1683510 )
NEW met2 ( 393070 2173450 ) ( * 2173620 )
NEW met1 ( 393070 2173450 ) ( 414690 * )
NEW met2 ( 392150 2200140 0 ) ( 393070 * )
NEW met2 ( 393070 2173620 ) ( * 2200140 )
NEW met2 ( 414690 2159510 ) ( * 2173450 )
NEW met2 ( 392150 2674610 ) ( * 2700620 )
NEW met2 ( 600990 1282140 ) ( * 1556690 )
NEW met3 ( 281750 1852660 ) ( 345460 * )
NEW met1 ( 396750 1556690 ) ( 600990 * )
NEW met1 ( 608350 1265990 ) ( 2004450 * )
NEW met2 ( 2084030 596700 ) ( * 600270 )
NEW met3 ( 2084030 596700 ) ( 2100820 * 0 )
NEW met1 ( 2004450 600270 ) ( 2084030 * )
NEW met1 ( 414690 2159510 ) ( 2899150 * )
NEW met2 ( 260130 2173450 ) ( * 2674610 )
NEW met1 ( 281750 1682150 ) ( 396750 * )
NEW met3 ( 345230 2168860 ) ( 345460 * )
NEW met2 ( 345230 2168860 ) ( * 2173450 )
NEW met2 ( 345230 2173450 ) ( * 2173620 )
NEW met1 ( 260130 2173450 ) ( 345230 * )
NEW met4 ( 345460 1852660 ) ( * 2168860 )
NEW met3 ( 345230 2173620 ) ( 393070 * )
NEW met1 ( 260130 2674610 ) ( 392150 * )
NEW met2 ( 2004450 600270 ) ( * 1265990 )
NEW met2 ( 281750 1852660 ) M2M3_PR
NEW met1 ( 396750 1556690 ) M1M2_PR
NEW met1 ( 414690 2159510 ) M1M2_PR
NEW met2 ( 608350 1282140 ) M2M3_PR
NEW met1 ( 608350 1265990 ) M1M2_PR
NEW met2 ( 600990 1282140 ) M2M3_PR
NEW met1 ( 600990 1556690 ) M1M2_PR
NEW met1 ( 2899150 2159510 ) M1M2_PR
NEW met2 ( 2899150 2157980 ) M2M3_PR
NEW met1 ( 281750 1682150 ) M1M2_PR
NEW met1 ( 396750 1683510 ) M1M2_PR
NEW met1 ( 398590 1683510 ) M1M2_PR
NEW met1 ( 396750 1682150 ) M1M2_PR
NEW met2 ( 393070 2173620 ) M2M3_PR
NEW met1 ( 393070 2173450 ) M1M2_PR
NEW met1 ( 414690 2173450 ) M1M2_PR
NEW met1 ( 392150 2674610 ) M1M2_PR
NEW met3 ( 345460 1852660 ) M3M4_PR
NEW met1 ( 2004450 600270 ) M1M2_PR
NEW met1 ( 2004450 1265990 ) M1M2_PR
NEW met1 ( 2084030 600270 ) M1M2_PR
NEW met2 ( 2084030 596700 ) M2M3_PR
NEW met1 ( 260130 2173450 ) M1M2_PR
NEW met1 ( 260130 2674610 ) M1M2_PR
NEW met3 ( 345460 2168860 ) M3M4_PR
NEW met2 ( 345230 2168860 ) M2M3_PR
NEW met1 ( 345230 2173450 ) M1M2_PR
NEW met2 ( 345230 2173620 ) M2M3_PR
NEW met3 ( 600990 1282140 ) RECT ( -800 -150 0 150 )
NEW met2 ( 396750 1682150 ) RECT ( -70 -485 70 0 )
NEW met3 ( 345460 2168860 ) RECT ( 0 -150 390 150 ) ;
- io_out[0] ( PIN io_out[0] ) ( wrapped_vga_clock_1 io_out[0] ) ( wrapped_rgb_mixer_3 io_out[0] ) ( wrapped_hack_soc_dffram_11 io_out[0] ) ( wrapped_function_generator_0 io_out[0] ) ( wrapped_frequency_counter_2 io_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 98940 ) ( * 103190 )
NEW met3 ( 2900070 98940 ) ( 2917780 * 0 )
NEW met3 ( 579140 1917940 0 ) ( 593170 * )
NEW met2 ( 593170 1917940 ) ( * 1918110 )
NEW met2 ( 683790 1914710 ) ( * 2438990 )
NEW met2 ( 665850 2438990 ) ( * 2891530 )
NEW met2 ( 1190250 1066070 ) ( * 1507390 )
NEW met2 ( 2540350 717910 ) ( * 720970 )
NEW met2 ( 2545870 103190 ) ( * 720970 )
NEW met2 ( 528310 1459815 ) ( 530610 * 0 )
NEW met3 ( 529460 2897140 0 ) ( 544410 * )
NEW met2 ( 544410 2891530 ) ( * 2897140 )
NEW met1 ( 544410 2891530 ) ( 665850 * )
NEW met1 ( 1190250 1066070 ) ( 2560590 * )
NEW met1 ( 2545870 103190 ) ( 2900070 * )
NEW met2 ( 528310 1459815 ) ( * 1507730 )
NEW met1 ( 593170 1918110 ) ( 683790 * )
NEW met1 ( 528310 1507730 ) ( 710700 * )
NEW met1 ( 710700 1507390 ) ( * 1507730 )
NEW met1 ( 683790 1914710 ) ( 725650 * )
NEW met2 ( 725650 1507390 ) ( * 1914710 )
NEW met1 ( 710700 1507390 ) ( 1190250 * )
NEW met3 ( 2471580 721140 0 ) ( 2485610 * )
NEW met2 ( 2485610 717910 ) ( * 721140 )
NEW met1 ( 2485610 717910 ) ( 2540350 * )
NEW met1 ( 2540350 720970 ) ( 2560590 * )
NEW met2 ( 2560590 720970 ) ( * 1066070 )
NEW met3 ( 499100 2438820 0 ) ( * 2439500 )
NEW met3 ( 499100 2439500 ) ( 517270 * )
NEW met2 ( 517270 2438990 ) ( * 2439500 )
NEW met1 ( 517270 2438990 ) ( 683790 * )
NEW met1 ( 683790 2438990 ) M1M2_PR
NEW met1 ( 665850 2438990 ) M1M2_PR
NEW met1 ( 665850 2891530 ) M1M2_PR
NEW met1 ( 1190250 1066070 ) M1M2_PR
NEW met1 ( 2545870 103190 ) M1M2_PR
NEW met1 ( 2900070 103190 ) M1M2_PR
NEW met2 ( 2900070 98940 ) M2M3_PR
NEW met2 ( 593170 1917940 ) M2M3_PR
NEW met1 ( 593170 1918110 ) M1M2_PR
NEW met1 ( 683790 1914710 ) M1M2_PR
NEW met1 ( 683790 1918110 ) M1M2_PR
NEW met1 ( 1190250 1507390 ) M1M2_PR
NEW met1 ( 2540350 720970 ) M1M2_PR
NEW met1 ( 2540350 717910 ) M1M2_PR
NEW met1 ( 2545870 720970 ) M1M2_PR
NEW met2 ( 544410 2897140 ) M2M3_PR
NEW met1 ( 544410 2891530 ) M1M2_PR
NEW met1 ( 2560590 1066070 ) M1M2_PR
NEW met1 ( 528310 1507730 ) M1M2_PR
NEW met1 ( 725650 1507390 ) M1M2_PR
NEW met1 ( 725650 1914710 ) M1M2_PR
NEW met2 ( 2485610 721140 ) M2M3_PR
NEW met1 ( 2485610 717910 ) M1M2_PR
NEW met1 ( 2560590 720970 ) M1M2_PR
NEW met2 ( 517270 2439500 ) M2M3_PR
NEW met1 ( 517270 2438990 ) M1M2_PR
NEW met1 ( 665850 2438990 ) RECT ( -595 -70 0 70 )
NEW met2 ( 683790 1918110 ) RECT ( -70 -485 70 0 )
NEW met1 ( 2545870 720970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 725650 1507390 ) RECT ( -595 -70 0 70 ) ;
- io_out[10] ( PIN io_out[10] ) ( wrapped_vga_clock_1 io_out[10] ) ( wrapped_rgb_mixer_3 io_out[10] ) ( wrapped_hack_soc_dffram_11 io_out[10] ) ( wrapped_function_generator_0 io_out[10] ) ( wrapped_frequency_counter_2 io_out[10] ) + USE SIGNAL
+ ROUTED met3 ( 599610 1477980 ) ( 608580 * )
NEW met2 ( 599610 1824270 ) ( * 1825290 )
NEW met3 ( 499100 2360620 0 ) ( * 2361980 )
NEW met3 ( 499100 2361980 ) ( 511750 * )
NEW met2 ( 511750 2361980 ) ( * 2366570 )
NEW met3 ( 599380 1421540 0 ) ( 608350 * )
NEW met2 ( 608350 1421540 ) ( * 1421710 )
NEW met3 ( 608350 1421540 ) ( 608580 * )
NEW met4 ( 608580 1421540 ) ( * 1477980 )
NEW met2 ( 599610 1477980 ) ( * 1824270 )
NEW met2 ( 594550 2356710 ) ( * 2363510 )
NEW met2 ( 2899610 2352970 ) ( * 2357220 )
NEW met3 ( 2899610 2357220 ) ( 2917780 * 0 )
NEW met3 ( 529460 2822340 0 ) ( 531990 * )
NEW met1 ( 599610 1825290 ) ( 732090 * )
NEW met3 ( 2471580 664700 0 ) ( 2477330 * )
NEW met2 ( 537970 2363510 ) ( * 2366570 )
NEW met1 ( 511750 2366570 ) ( 537970 * )
NEW met1 ( 537970 2363510 ) ( 594550 * )
NEW met2 ( 732090 2352970 ) ( * 2356710 )
NEW met1 ( 594550 2356710 ) ( 732090 * )
NEW met2 ( 732090 1825290 ) ( * 2352970 )
NEW met1 ( 608350 1421710 ) ( 2477330 * )
NEW met2 ( 2477330 664700 ) ( * 1421710 )
NEW met1 ( 732090 2352970 ) ( 2899610 * )
NEW met3 ( 579140 1826140 0 ) ( 586730 * )
NEW met2 ( 586730 1824270 ) ( * 1826140 )
NEW met1 ( 586730 1824270 ) ( 599610 * )
NEW met2 ( 531990 2366570 ) ( * 2822340 )
NEW met2 ( 599610 1477980 ) M2M3_PR
NEW met3 ( 608580 1477980 ) M3M4_PR
NEW met1 ( 599610 1824270 ) M1M2_PR
NEW met1 ( 599610 1825290 ) M1M2_PR
NEW met2 ( 511750 2361980 ) M2M3_PR
NEW met1 ( 511750 2366570 ) M1M2_PR
NEW met2 ( 608350 1421540 ) M2M3_PR
NEW met1 ( 608350 1421710 ) M1M2_PR
NEW met3 ( 608580 1421540 ) M3M4_PR
NEW met1 ( 594550 2363510 ) M1M2_PR
NEW met1 ( 594550 2356710 ) M1M2_PR
NEW met1 ( 2899610 2352970 ) M1M2_PR
NEW met2 ( 2899610 2357220 ) M2M3_PR
NEW met2 ( 531990 2822340 ) M2M3_PR
NEW met1 ( 732090 1825290 ) M1M2_PR
NEW met2 ( 2477330 664700 ) M2M3_PR
NEW met1 ( 537970 2366570 ) M1M2_PR
NEW met1 ( 537970 2363510 ) M1M2_PR
NEW met1 ( 531990 2366570 ) M1M2_PR
NEW met1 ( 732090 2352970 ) M1M2_PR
NEW met1 ( 732090 2356710 ) M1M2_PR
NEW met1 ( 2477330 1421710 ) M1M2_PR
NEW met2 ( 586730 1826140 ) M2M3_PR
NEW met1 ( 586730 1824270 ) M1M2_PR
NEW met3 ( 608580 1421540 ) RECT ( 0 -150 570 150 )
NEW met1 ( 531990 2366570 ) RECT ( -595 -70 0 70 ) ;
- io_out[11] ( PIN io_out[11] ) ( wrapped_vga_clock_1 io_out[11] ) ( wrapped_rgb_mixer_3 io_out[11] ) ( wrapped_hack_soc_dffram_11 io_out[11] ) ( wrapped_function_generator_0 io_out[11] ) ( wrapped_frequency_counter_2 io_out[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1248140 0 ) ( 613180 * )
NEW met2 ( 2899150 2622420 ) ( * 2628710 )
NEW met3 ( 2899150 2622420 ) ( 2917780 * 0 )
NEW met2 ( 192970 1707650 ) ( * 2629220 )
NEW met4 ( 613180 1242000 ) ( * 1507220 )
NEW met2 ( 338330 2211190 ) ( * 2211700 )
NEW met3 ( 338330 2211700 ) ( 350980 * )
NEW met3 ( 350980 2211020 0 ) ( * 2211700 )
NEW met1 ( 192970 2211190 ) ( 338330 * )
NEW met3 ( 192970 2629220 ) ( 324300 * )
NEW met3 ( 324300 2628540 ) ( * 2629220 )
NEW met3 ( 324300 2628540 ) ( 346150 * )
NEW met2 ( 346150 2628540 ) ( * 2628710 )
NEW met3 ( 346150 2708780 ) ( 350980 * )
NEW met3 ( 350980 2708780 ) ( * 2710140 0 )
NEW met1 ( 346150 2628710 ) ( 2899150 * )
NEW met3 ( 351900 1708500 ) ( * 1710540 0 )
NEW met3 ( 351900 1708500 ) ( 352130 * )
NEW met2 ( 352130 1699830 ) ( * 1708500 )
NEW met1 ( 352130 1699830 ) ( 355350 * )
NEW met2 ( 343390 1707650 ) ( * 1708500 )
NEW met3 ( 343390 1708500 ) ( 351900 * )
NEW met1 ( 192970 1707650 ) ( 343390 * )
NEW met2 ( 355350 1507220 ) ( * 1699830 )
NEW met2 ( 346150 2628710 ) ( * 2708780 )
NEW met3 ( 355350 1507220 ) ( 613180 * )
NEW met4 ( 613180 1242000 ) ( 614100 * )
NEW met4 ( 614100 1241340 ) ( * 1242000 )
NEW met3 ( 614100 1241340 ) ( 620310 * )
NEW met2 ( 620310 1235390 ) ( * 1241340 )
NEW met3 ( 2471350 660620 ) ( 2471580 * )
NEW met3 ( 2471580 659260 0 ) ( * 660620 )
NEW met1 ( 620310 1235390 ) ( 2471350 * )
NEW met2 ( 2471350 660620 ) ( * 1235390 )
NEW met1 ( 192970 2211190 ) M1M2_PR
NEW met2 ( 192970 2629220 ) M2M3_PR
NEW met3 ( 613180 1248140 ) M3M4_PR
NEW met1 ( 2899150 2628710 ) M1M2_PR
NEW met2 ( 2899150 2622420 ) M2M3_PR
NEW met1 ( 192970 1707650 ) M1M2_PR
NEW met3 ( 613180 1507220 ) M3M4_PR
NEW met1 ( 338330 2211190 ) M1M2_PR
NEW met2 ( 338330 2211700 ) M2M3_PR
NEW met1 ( 346150 2628710 ) M1M2_PR
NEW met2 ( 346150 2628540 ) M2M3_PR
NEW met2 ( 346150 2708780 ) M2M3_PR
NEW met2 ( 355350 1507220 ) M2M3_PR
NEW met2 ( 352130 1708500 ) M2M3_PR
NEW met1 ( 352130 1699830 ) M1M2_PR
NEW met1 ( 355350 1699830 ) M1M2_PR
NEW met1 ( 343390 1707650 ) M1M2_PR
NEW met2 ( 343390 1708500 ) M2M3_PR
NEW met3 ( 614100 1241340 ) M3M4_PR
NEW met2 ( 620310 1241340 ) M2M3_PR
NEW met1 ( 620310 1235390 ) M1M2_PR
NEW met2 ( 2471350 660620 ) M2M3_PR
NEW met1 ( 2471350 1235390 ) M1M2_PR
NEW met2 ( 192970 2211190 ) RECT ( -70 -485 70 0 )
NEW met4 ( 613180 1248140 ) RECT ( -150 -800 150 0 ) ;
- io_out[12] ( PIN io_out[12] ) ( wrapped_vga_clock_1 io_out[12] ) ( wrapped_rgb_mixer_3 io_out[12] ) ( wrapped_hack_soc_dffram_11 io_out[12] ) ( wrapped_function_generator_0 io_out[12] ) ( wrapped_frequency_counter_2 io_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 289570 1738420 ) ( * 1738930 )
NEW met2 ( 2900990 2888300 ) ( * 2912100 )
NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
NEW met4 ( 285660 1179460 ) ( * 1738420 )
NEW met2 ( 596850 955570 ) ( * 1193700 )
NEW met2 ( 595010 1193700 ) ( 596850 * )
NEW met2 ( 595010 1193700 ) ( * 1200185 0 )
NEW met3 ( 165370 1738420 ) ( 289570 * )
NEW met1 ( 289570 1738930 ) ( 324300 * )
NEW met1 ( 324300 1738590 ) ( * 1738930 )
NEW met1 ( 324300 1738590 ) ( 338790 * )
NEW met2 ( 338790 1735020 ) ( * 1738590 )
NEW met3 ( 338790 1735020 ) ( 350980 * )
NEW met3 ( 350980 1734340 0 ) ( * 1735020 )
NEW met2 ( 338330 2231930 ) ( * 2232100 )
NEW met3 ( 338330 2232100 ) ( 350980 * )
NEW met3 ( 350980 2231420 0 ) ( * 2232100 )
NEW met1 ( 165370 2231930 ) ( 338330 * )
NEW met3 ( 350980 2726460 ) ( * 2727140 0 )
NEW met3 ( 340630 2726460 ) ( 350980 * )
NEW met2 ( 340630 2725610 ) ( * 2726460 )
NEW met1 ( 165370 2725610 ) ( 340630 * )
NEW met3 ( 285660 1179460 ) ( 596850 * )
NEW met1 ( 596850 955570 ) ( 2471810 * )
NEW met3 ( 346150 2912100 ) ( 2900990 * )
NEW met2 ( 165370 1738420 ) ( * 2231930 )
NEW met2 ( 165370 2231930 ) ( * 2725610 )
NEW met3 ( 2471580 653820 0 ) ( * 655180 )
NEW met3 ( 2471580 655180 ) ( 2471810 * )
NEW met2 ( 2471810 655180 ) ( * 955570 )
NEW met2 ( 346150 2726460 ) ( * 2912100 )
NEW met3 ( 285660 1179460 ) M3M4_PR
NEW met2 ( 289570 1738420 ) M2M3_PR
NEW met1 ( 289570 1738930 ) M1M2_PR
NEW met3 ( 285660 1738420 ) M3M4_PR
NEW met1 ( 596850 955570 ) M1M2_PR
NEW met2 ( 596850 1179460 ) M2M3_PR
NEW met2 ( 2900990 2912100 ) M2M3_PR
NEW met2 ( 2900990 2888300 ) M2M3_PR
NEW met2 ( 165370 1738420 ) M2M3_PR
NEW met1 ( 165370 2231930 ) M1M2_PR
NEW met1 ( 165370 2725610 ) M1M2_PR
NEW met1 ( 338790 1738590 ) M1M2_PR
NEW met2 ( 338790 1735020 ) M2M3_PR
NEW met1 ( 338330 2231930 ) M1M2_PR
NEW met2 ( 338330 2232100 ) M2M3_PR
NEW met2 ( 340630 2726460 ) M2M3_PR
NEW met1 ( 340630 2725610 ) M1M2_PR
NEW met2 ( 346150 2726460 ) M2M3_PR
NEW met2 ( 346150 2912100 ) M2M3_PR
NEW met1 ( 2471810 955570 ) M1M2_PR
NEW met2 ( 2471810 655180 ) M2M3_PR
NEW met3 ( 285660 1738420 ) RECT ( -800 -150 0 150 )
NEW met2 ( 596850 1179460 ) RECT ( -70 -485 70 0 )
NEW met3 ( 346150 2726460 ) RECT ( -800 -150 0 150 ) ;
- io_out[13] ( PIN io_out[13] ) ( wrapped_vga_clock_1 io_out[13] ) ( wrapped_rgb_mixer_3 io_out[13] ) ( wrapped_hack_soc_dffram_11 io_out[13] ) ( wrapped_function_generator_0 io_out[13] ) ( wrapped_frequency_counter_2 io_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 585350 1192380 ) ( * 1200185 0 )
NEW met2 ( 596850 2690590 ) ( * 3153330 )
NEW met2 ( 664470 1697110 ) ( * 2208130 )
NEW met2 ( 1156670 989910 ) ( * 1214650 )
NEW met2 ( 2900990 3153330 ) ( * 3154180 )
NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
NEW met3 ( 585350 1192380 ) ( 638250 * )
NEW met1 ( 1156670 989910 ) ( 2553230 * )
NEW met2 ( 537050 1697110 ) ( * 1700340 0 )
NEW met2 ( 537970 1521670 ) ( * 1697110 )
NEW met1 ( 537970 1521670 ) ( 638250 * )
NEW met2 ( 638250 1192380 ) ( * 1521670 )
NEW met1 ( 537050 1697110 ) ( 664470 * )
NEW met1 ( 638250 1214650 ) ( 1156670 * )
NEW met3 ( 2471580 648380 0 ) ( 2484230 * )
NEW met2 ( 2484230 648380 ) ( * 648550 )
NEW met1 ( 2484230 648550 ) ( 2553230 * )
NEW met2 ( 2553230 648550 ) ( * 989910 )
NEW met1 ( 596850 3153330 ) ( 2900990 * )
NEW met3 ( 498180 2208980 ) ( * 2210340 0 )
NEW met3 ( 498180 2208980 ) ( 515890 * )
NEW met2 ( 515890 2208130 ) ( * 2208980 )
NEW met1 ( 515890 2208130 ) ( 664470 * )
NEW met2 ( 504850 2700620 ) ( 504885 * 0 )
NEW met2 ( 504850 2687020 ) ( * 2700620 )
NEW met3 ( 504850 2687020 ) ( 506460 * )
NEW met1 ( 504850 2690590 ) ( 596850 * )
NEW met4 ( 506460 2208980 ) ( * 2687020 )
NEW met2 ( 585350 1192380 ) M2M3_PR
NEW met1 ( 664470 2208130 ) M1M2_PR
NEW met1 ( 1156670 989910 ) M1M2_PR
NEW met1 ( 596850 2690590 ) M1M2_PR
NEW met1 ( 596850 3153330 ) M1M2_PR
NEW met1 ( 664470 1697110 ) M1M2_PR
NEW met1 ( 1156670 1214650 ) M1M2_PR
NEW met1 ( 2900990 3153330 ) M1M2_PR
NEW met2 ( 2900990 3154180 ) M2M3_PR
NEW met2 ( 638250 1192380 ) M2M3_PR
NEW met1 ( 2553230 989910 ) M1M2_PR
NEW met1 ( 537970 1521670 ) M1M2_PR
NEW met1 ( 537050 1697110 ) M1M2_PR
NEW met1 ( 537970 1697110 ) M1M2_PR
NEW met1 ( 638250 1214650 ) M1M2_PR
NEW met1 ( 638250 1521670 ) M1M2_PR
NEW met2 ( 2484230 648380 ) M2M3_PR
NEW met1 ( 2484230 648550 ) M1M2_PR
NEW met1 ( 2553230 648550 ) M1M2_PR
NEW met2 ( 515890 2208980 ) M2M3_PR
NEW met1 ( 515890 2208130 ) M1M2_PR
NEW met3 ( 506460 2208980 ) M3M4_PR
NEW met2 ( 504850 2687020 ) M2M3_PR
NEW met3 ( 506460 2687020 ) M3M4_PR
NEW met1 ( 504850 2690590 ) M1M2_PR
NEW met1 ( 537970 1697110 ) RECT ( -595 -70 0 70 )
NEW met2 ( 638250 1214650 ) RECT ( -70 -485 70 0 )
NEW met3 ( 506460 2208980 ) RECT ( -800 -150 0 150 )
NEW met2 ( 504850 2690590 ) RECT ( -70 -485 70 0 ) ;
- io_out[14] ( PIN io_out[14] ) ( wrapped_vga_clock_1 io_out[14] ) ( wrapped_rgb_mixer_3 io_out[14] ) ( wrapped_hack_soc_dffram_11 io_out[14] ) ( wrapped_function_generator_0 io_out[14] ) ( wrapped_frequency_counter_2 io_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2408390 ) ( * 2408900 )
NEW met3 ( 499100 2408900 ) ( 510830 * )
NEW met3 ( 499100 2408900 ) ( * 2410940 0 )
NEW met3 ( 599380 1261740 0 ) ( 607890 * )
NEW met2 ( 607890 1261740 ) ( * 1262590 )
NEW met3 ( 585580 2407540 ) ( 608580 * )
NEW met2 ( 585350 2407540 ) ( * 2408390 )
NEW met3 ( 585350 2407540 ) ( 585580 * )
NEW met3 ( 579140 1883940 0 ) ( 592250 * )
NEW met2 ( 592250 1883770 ) ( * 1883940 )
NEW met4 ( 585580 1883940 ) ( * 2407540 )
NEW met2 ( 610650 2866710 ) ( * 2870110 )
NEW met3 ( 608580 2863820 ) ( 610650 * )
NEW met2 ( 610650 2863820 ) ( * 2866710 )
NEW met4 ( 608580 2407540 ) ( * 2863820 )
NEW met2 ( 796950 2870110 ) ( * 3415810 )
NEW met2 ( 2533450 648210 ) ( * 921230 )
NEW met2 ( 2900990 3415810 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met1 ( 510830 2408390 ) ( 585350 * )
NEW met2 ( 724270 1259190 ) ( * 1262590 )
NEW met1 ( 607890 1262590 ) ( 724270 * )
NEW met1 ( 724270 1259190 ) ( 2363250 * )
NEW met3 ( 529460 2869940 0 ) ( 544870 * )
NEW met2 ( 544870 2866710 ) ( * 2869940 )
NEW met1 ( 544870 2866710 ) ( 610650 * )
NEW met2 ( 718290 1338600 ) ( 718750 * )
NEW met2 ( 718750 1262590 ) ( * 1338600 )
NEW met1 ( 592250 1883770 ) ( 718290 * )
NEW met2 ( 718290 1338600 ) ( * 1883770 )
NEW met1 ( 610650 2870110 ) ( 796950 * )
NEW met2 ( 2363250 921230 ) ( * 1259190 )
NEW met3 ( 2471580 642260 0 ) ( 2484690 * )
NEW met2 ( 2484690 642260 ) ( * 648210 )
NEW met1 ( 2484690 648210 ) ( 2533450 * )
NEW met1 ( 2363250 921230 ) ( 2533450 * )
NEW met1 ( 796950 3415810 ) ( 2900990 * )
NEW met1 ( 510830 2408390 ) M1M2_PR
NEW met2 ( 510830 2408900 ) M2M3_PR
NEW met2 ( 607890 1261740 ) M2M3_PR
NEW met1 ( 607890 1262590 ) M1M2_PR
NEW met3 ( 585580 2407540 ) M3M4_PR
NEW met3 ( 608580 2407540 ) M3M4_PR
NEW met1 ( 585350 2408390 ) M1M2_PR
NEW met2 ( 585350 2407540 ) M2M3_PR
NEW met2 ( 592250 1883940 ) M2M3_PR
NEW met1 ( 592250 1883770 ) M1M2_PR
NEW met3 ( 585580 1883940 ) M3M4_PR
NEW met1 ( 610650 2870110 ) M1M2_PR
NEW met1 ( 610650 2866710 ) M1M2_PR
NEW met3 ( 608580 2863820 ) M3M4_PR
NEW met2 ( 610650 2863820 ) M2M3_PR
NEW met1 ( 796950 2870110 ) M1M2_PR
NEW met1 ( 796950 3415810 ) M1M2_PR
NEW met1 ( 2533450 648210 ) M1M2_PR
NEW met1 ( 2533450 921230 ) M1M2_PR
NEW met1 ( 2900990 3415810 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR
NEW met1 ( 724270 1262590 ) M1M2_PR
NEW met1 ( 724270 1259190 ) M1M2_PR
NEW met1 ( 718750 1262590 ) M1M2_PR
NEW met1 ( 2363250 1259190 ) M1M2_PR
NEW met2 ( 544870 2869940 ) M2M3_PR
NEW met1 ( 544870 2866710 ) M1M2_PR
NEW met1 ( 718290 1883770 ) M1M2_PR
NEW met1 ( 2363250 921230 ) M1M2_PR
NEW met2 ( 2484690 642260 ) M2M3_PR
NEW met1 ( 2484690 648210 ) M1M2_PR
NEW met3 ( 585350 2407540 ) RECT ( -570 -150 0 150 )
NEW met3 ( 585580 1883940 ) RECT ( -800 -150 0 150 )
NEW met1 ( 718750 1262590 ) RECT ( -595 -70 0 70 ) ;
- io_out[15] ( PIN io_out[15] ) ( wrapped_vga_clock_1 io_out[15] ) ( wrapped_rgb_mixer_3 io_out[15] ) ( wrapped_hack_soc_dffram_11 io_out[15] ) ( wrapped_function_generator_0 io_out[15] ) ( wrapped_frequency_counter_2 io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 324070 1890740 ) ( * 1900940 )
NEW met2 ( 2717450 3512370 ) ( * 3517980 0 )
NEW met3 ( 534750 989740 ) ( 2470660 * )
NEW met2 ( 248170 1158890 ) ( * 1900940 )
NEW met3 ( 248170 1900940 ) ( 324070 * )
NEW met4 ( 275540 1900940 ) ( * 2370140 )
NEW met3 ( 324070 1890740 ) ( 324300 * )
NEW met3 ( 324300 1890740 ) ( * 1891420 )
NEW met3 ( 324300 1891420 ) ( 350980 * )
NEW met3 ( 350980 1890740 0 ) ( * 1891420 )
NEW met2 ( 534750 989740 ) ( * 1097100 )
NEW met2 ( 534750 1097100 ) ( 536590 * )
NEW met2 ( 536990 1199180 ) ( * 1200185 0 )
NEW met2 ( 536590 1199180 ) ( 536990 * )
NEW met2 ( 536590 1097100 ) ( * 1199180 )
NEW met3 ( 2470660 636820 0 ) ( * 639540 )
NEW met4 ( 2470660 639540 ) ( * 989740 )
NEW met1 ( 344770 3512370 ) ( 2717450 * )
NEW met1 ( 248170 1158890 ) ( 536590 * )
NEW met3 ( 332580 2368780 ) ( 350980 * )
NEW met3 ( 350980 2367420 0 ) ( * 2368780 )
NEW met3 ( 275540 2370140 ) ( 332580 * )
NEW met4 ( 332580 2368780 ) ( * 2859060 )
NEW met3 ( 350980 2860420 0 ) ( * 2862460 )
NEW met3 ( 344770 2862460 ) ( 350980 * )
NEW met2 ( 344770 2859060 ) ( * 2862460 )
NEW met3 ( 332580 2859060 ) ( 344770 * )
NEW met2 ( 344770 2862460 ) ( * 3512370 )
NEW met2 ( 324070 1900940 ) M2M3_PR
NEW met2 ( 324070 1890740 ) M2M3_PR
NEW met1 ( 2717450 3512370 ) M1M2_PR
NEW met1 ( 248170 1158890 ) M1M2_PR
NEW met2 ( 534750 989740 ) M2M3_PR
NEW met1 ( 536590 1158890 ) M1M2_PR
NEW met3 ( 2470660 989740 ) M3M4_PR
NEW met2 ( 248170 1900940 ) M2M3_PR
NEW met3 ( 275540 1900940 ) M3M4_PR
NEW met3 ( 275540 2370140 ) M3M4_PR
NEW met3 ( 332580 2859060 ) M3M4_PR
NEW met1 ( 344770 3512370 ) M1M2_PR
NEW met3 ( 2470660 639540 ) M3M4_PR
NEW met3 ( 332580 2368780 ) M3M4_PR
NEW met3 ( 332580 2370140 ) M3M4_PR
NEW met2 ( 344770 2862460 ) M2M3_PR
NEW met2 ( 344770 2859060 ) M2M3_PR
NEW met2 ( 536590 1158890 ) RECT ( -70 -485 70 0 )
NEW met3 ( 275540 1900940 ) RECT ( -800 -150 0 150 )
NEW met4 ( 332580 2370140 ) RECT ( -150 -800 150 0 ) ;
- io_out[16] ( PIN io_out[16] ) ( wrapped_vga_clock_1 io_out[16] ) ( wrapped_rgb_mixer_3 io_out[16] ) ( wrapped_hack_soc_dffram_11 io_out[16] ) ( wrapped_function_generator_0 io_out[16] ) ( wrapped_frequency_counter_2 io_out[16] ) + USE SIGNAL
+ ROUTED met3 ( 594550 1538500 ) ( 594780 * )
NEW met2 ( 594550 1531870 ) ( * 1538500 )
NEW met3 ( 594780 1824100 ) ( 595470 * )
NEW met2 ( 595470 1824100 ) ( * 1828350 )
NEW met3 ( 499100 2357220 0 ) ( * 2357900 )
NEW met3 ( 499100 2357900 ) ( 510830 * )
NEW met2 ( 510830 2357900 ) ( * 2359770 )
NEW met4 ( 594780 1538500 ) ( * 1824100 )
NEW met2 ( 586270 2821830 ) ( * 3513730 )
NEW met2 ( 534290 1459815 ) ( 537050 * 0 )
NEW met3 ( 529460 2819620 0 ) ( 544870 * )
NEW met2 ( 544870 2819620 ) ( * 2821830 )
NEW met1 ( 544870 2821830 ) ( 586270 * )
NEW met1 ( 595470 1828350 ) ( 648830 * )
NEW met1 ( 586270 2821830 ) ( 621690 * )
NEW met1 ( 531530 1531870 ) ( 2573930 * )
NEW met2 ( 531530 1531800 ) ( * 1531870 )
NEW met2 ( 531530 1531800 ) ( 534290 * )
NEW met2 ( 534290 1459815 ) ( * 1531800 )
NEW met1 ( 510830 2359770 ) ( 648830 * )
NEW met2 ( 648830 1828350 ) ( * 2359770 )
NEW met2 ( 621690 2359770 ) ( * 2821830 )
NEW met2 ( 2392690 3513730 ) ( * 3517980 0 )
NEW met1 ( 586270 3513730 ) ( 2392690 * )
NEW met3 ( 2471580 631380 0 ) ( 2484230 * )
NEW met2 ( 2484230 631380 ) ( * 634610 )
NEW met1 ( 2484230 634610 ) ( 2573930 * )
NEW met2 ( 2573930 634610 ) ( * 1531870 )
NEW met3 ( 579140 1822740 0 ) ( * 1824100 )
NEW met3 ( 579140 1824100 ) ( 594780 * )
NEW met3 ( 594780 1538500 ) M3M4_PR
NEW met2 ( 594550 1538500 ) M2M3_PR
NEW met1 ( 594550 1531870 ) M1M2_PR
NEW met3 ( 594780 1824100 ) M3M4_PR
NEW met2 ( 595470 1824100 ) M2M3_PR
NEW met1 ( 595470 1828350 ) M1M2_PR
NEW met1 ( 586270 2821830 ) M1M2_PR
NEW met2 ( 510830 2357900 ) M2M3_PR
NEW met1 ( 510830 2359770 ) M1M2_PR
NEW met1 ( 586270 3513730 ) M1M2_PR
NEW met1 ( 531530 1531870 ) M1M2_PR
NEW met2 ( 544870 2819620 ) M2M3_PR
NEW met1 ( 544870 2821830 ) M1M2_PR
NEW met1 ( 648830 1828350 ) M1M2_PR
NEW met1 ( 621690 2821830 ) M1M2_PR
NEW met1 ( 2573930 1531870 ) M1M2_PR
NEW met1 ( 648830 2359770 ) M1M2_PR
NEW met1 ( 621690 2359770 ) M1M2_PR
NEW met1 ( 2392690 3513730 ) M1M2_PR
NEW met2 ( 2484230 631380 ) M2M3_PR
NEW met1 ( 2484230 634610 ) M1M2_PR
NEW met1 ( 2573930 634610 ) M1M2_PR
NEW met3 ( 594780 1538500 ) RECT ( 0 -150 390 150 )
NEW met1 ( 594550 1531870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 621690 2359770 ) RECT ( -595 -70 0 70 ) ;
- io_out[17] ( PIN io_out[17] ) ( wrapped_vga_clock_1 io_out[17] ) ( wrapped_rgb_mixer_3 io_out[17] ) ( wrapped_hack_soc_dffram_11 io_out[17] ) ( wrapped_function_generator_0 io_out[17] ) ( wrapped_frequency_counter_2 io_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 516810 2909380 ) ( * 2925020 )
NEW met2 ( 514510 2909380 0 ) ( 516810 * )
NEW met2 ( 675970 1548870 ) ( * 1549550 )
NEW met2 ( 485530 2449700 0 ) ( * 2459730 )
NEW met2 ( 672750 1549550 ) ( * 1928140 )
NEW met2 ( 2608430 627810 ) ( * 1548870 )
NEW met2 ( 541650 1459815 ) ( 543490 * 0 )
NEW met2 ( 562810 1926780 0 ) ( 564190 * )
NEW met2 ( 516810 2925020 ) ( 517730 * )
NEW met1 ( 538430 1549550 ) ( 675970 * )
NEW met3 ( 564190 1926780 ) ( 614100 * )
NEW met3 ( 614100 1926780 ) ( * 1928140 )
NEW met3 ( 614100 1928140 ) ( 717830 * )
NEW met1 ( 675970 1548870 ) ( 2608430 * )
NEW met2 ( 538430 1531800 ) ( * 1549550 )
NEW met2 ( 538430 1531800 ) ( 541650 * )
NEW met2 ( 541650 1459815 ) ( * 1531800 )
NEW met2 ( 517730 2925020 ) ( * 2933690 )
NEW met2 ( 524170 2933690 ) ( * 3514750 )
NEW met1 ( 517730 2933690 ) ( 656650 * )
NEW met2 ( 656650 2459730 ) ( * 2933690 )
NEW met2 ( 717830 1928140 ) ( * 2459730 )
NEW met2 ( 2068390 3514750 ) ( * 3517980 0 )
NEW met1 ( 524170 3514750 ) ( 2068390 * )
NEW met3 ( 2471580 625940 0 ) ( 2484230 * )
NEW met2 ( 2484230 625940 ) ( * 627810 )
NEW met1 ( 2484230 627810 ) ( 2608430 * )
NEW met1 ( 485530 2459730 ) ( 717830 * )
NEW met1 ( 675970 1549550 ) M1M2_PR
NEW met1 ( 675970 1548870 ) M1M2_PR
NEW met1 ( 672750 1549550 ) M1M2_PR
NEW met2 ( 672750 1928140 ) M2M3_PR
NEW met1 ( 2608430 1548870 ) M1M2_PR
NEW met1 ( 485530 2459730 ) M1M2_PR
NEW met1 ( 2608430 627810 ) M1M2_PR
NEW met1 ( 538430 1549550 ) M1M2_PR
NEW met2 ( 564190 1926780 ) M2M3_PR
NEW met2 ( 717830 1928140 ) M2M3_PR
NEW met1 ( 517730 2933690 ) M1M2_PR
NEW met1 ( 524170 2933690 ) M1M2_PR
NEW met1 ( 524170 3514750 ) M1M2_PR
NEW met1 ( 656650 2459730 ) M1M2_PR
NEW met1 ( 656650 2933690 ) M1M2_PR
NEW met1 ( 717830 2459730 ) M1M2_PR
NEW met1 ( 2068390 3514750 ) M1M2_PR
NEW met2 ( 2484230 625940 ) M2M3_PR
NEW met1 ( 2484230 627810 ) M1M2_PR
NEW met1 ( 672750 1549550 ) RECT ( -595 -70 0 70 )
NEW met3 ( 672750 1928140 ) RECT ( -800 -150 0 150 )
NEW met1 ( 524170 2933690 ) RECT ( -595 -70 0 70 )
NEW met1 ( 656650 2459730 ) RECT ( -595 -70 0 70 ) ;
- io_out[18] ( PIN io_out[18] ) ( wrapped_vga_clock_1 io_out[18] ) ( wrapped_rgb_mixer_3 io_out[18] ) ( wrapped_hack_soc_dffram_11 io_out[18] ) ( wrapped_function_generator_0 io_out[18] ) ( wrapped_frequency_counter_2 io_out[18] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2238220 0 ) ( * 2239580 )
NEW met3 ( 499100 2239580 ) ( 511290 * )
NEW met2 ( 511290 2239580 ) ( * 2242470 )
NEW met1 ( 566030 1660390 ) ( 569710 * )
NEW met2 ( 569710 1660390 ) ( * 1662770 )
NEW met2 ( 569710 1583550 ) ( * 1660390 )
NEW met2 ( 566030 1660390 ) ( * 1700340 0 )
NEW met2 ( 1744090 3515770 ) ( * 3517980 0 )
NEW met2 ( 2498030 620670 ) ( * 1659370 )
NEW met1 ( 421590 1471690 ) ( 426190 * )
NEW met2 ( 426190 1459815 ) ( * 1471690 )
NEW met2 ( 426190 1459815 ) ( 427570 * 0 )
NEW met3 ( 537510 2247060 ) ( 537740 * )
NEW met2 ( 537510 2242470 ) ( * 2247060 )
NEW met1 ( 511290 2242470 ) ( 537510 * )
NEW met2 ( 731170 1659370 ) ( * 1662770 )
NEW met1 ( 569710 1662770 ) ( 731170 * )
NEW met3 ( 537510 2242980 ) ( 724730 * )
NEW met1 ( 731170 1659370 ) ( 2498030 * )
NEW met2 ( 421590 1471690 ) ( * 1583550 )
NEW met1 ( 421590 1583550 ) ( 569710 * )
NEW met2 ( 548550 2703850 ) ( * 3515770 )
NEW met2 ( 724730 1662770 ) ( * 2242980 )
NEW met1 ( 548550 3515770 ) ( 1744090 * )
NEW met3 ( 2471580 620500 0 ) ( 2484230 * )
NEW met2 ( 2484230 620500 ) ( * 620670 )
NEW met1 ( 2484230 620670 ) ( 2498030 * )
NEW met3 ( 529460 2699940 0 ) ( 537740 * )
NEW met2 ( 541650 2699940 ) ( * 2703850 )
NEW met3 ( 537740 2699940 ) ( 541650 * )
NEW met1 ( 541650 2703850 ) ( 548550 * )
NEW met4 ( 537740 2247060 ) ( * 2699940 )
NEW met2 ( 511290 2239580 ) M2M3_PR
NEW met1 ( 511290 2242470 ) M1M2_PR
NEW met1 ( 566030 1660390 ) M1M2_PR
NEW met1 ( 569710 1660390 ) M1M2_PR
NEW met1 ( 569710 1662770 ) M1M2_PR
NEW met1 ( 2498030 1659370 ) M1M2_PR
NEW met1 ( 569710 1583550 ) M1M2_PR
NEW met1 ( 1744090 3515770 ) M1M2_PR
NEW met1 ( 2498030 620670 ) M1M2_PR
NEW met1 ( 421590 1471690 ) M1M2_PR
NEW met1 ( 426190 1471690 ) M1M2_PR
NEW met3 ( 537740 2247060 ) M3M4_PR
NEW met2 ( 537510 2247060 ) M2M3_PR
NEW met1 ( 537510 2242470 ) M1M2_PR
NEW met2 ( 537510 2242980 ) M2M3_PR
NEW met1 ( 548550 2703850 ) M1M2_PR
NEW met1 ( 731170 1662770 ) M1M2_PR
NEW met1 ( 731170 1659370 ) M1M2_PR
NEW met1 ( 724730 1662770 ) M1M2_PR
NEW met2 ( 724730 2242980 ) M2M3_PR
NEW met1 ( 421590 1583550 ) M1M2_PR
NEW met1 ( 548550 3515770 ) M1M2_PR
NEW met2 ( 2484230 620500 ) M2M3_PR
NEW met1 ( 2484230 620670 ) M1M2_PR
NEW met3 ( 537740 2699940 ) M3M4_PR
NEW met1 ( 541650 2703850 ) M1M2_PR
NEW met2 ( 541650 2699940 ) M2M3_PR
NEW met3 ( 537740 2247060 ) RECT ( 0 -150 390 150 )
NEW met2 ( 537510 2242980 ) RECT ( -70 -485 70 0 )
NEW met1 ( 724730 1662770 ) RECT ( -595 -70 0 70 ) ;
- io_out[19] ( PIN io_out[19] ) ( wrapped_vga_clock_1 io_out[19] ) ( wrapped_rgb_mixer_3 io_out[19] ) ( wrapped_hack_soc_dffram_11 io_out[19] ) ( wrapped_function_generator_0 io_out[19] ) ( wrapped_frequency_counter_2 io_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 185610 2294150 ) ( * 2790890 )
NEW met2 ( 608810 1217540 ) ( * 1217710 )
NEW met3 ( 599380 1217540 0 ) ( 608810 * )
NEW met1 ( 607430 1241850 ) ( 608810 * )
NEW met2 ( 608810 1217710 ) ( * 1241850 )
NEW met2 ( 607430 1241850 ) ( * 1618570 )
NEW met2 ( 1190250 2984010 ) ( * 3501490 )
NEW met3 ( 350980 2792420 0 ) ( * 2794460 )
NEW met3 ( 344310 2794460 ) ( 350980 * )
NEW met2 ( 344310 2790890 ) ( * 2794460 )
NEW met1 ( 185610 2790890 ) ( 344310 * )
NEW met1 ( 344310 2984010 ) ( 1190250 * )
NEW met1 ( 1190250 3501490 ) ( 1419330 * )
NEW met3 ( 350980 1811860 ) ( * 1812540 0 )
NEW met3 ( 337410 1811860 ) ( 350980 * )
NEW met2 ( 338330 2293980 ) ( * 2294150 )
NEW met3 ( 338330 2293980 ) ( 351900 * )
NEW met3 ( 351900 2293980 ) ( * 2295340 0 )
NEW met1 ( 185610 2294150 ) ( 338330 * )
NEW met2 ( 337410 1618570 ) ( * 2294150 )
NEW met1 ( 337410 1618570 ) ( 607430 * )
NEW met2 ( 1419330 3501490 ) ( * 3517980 0 )
NEW met3 ( 2471580 614380 0 ) ( 2484690 * )
NEW met2 ( 2484690 614380 ) ( * 634950 )
NEW met1 ( 2484690 634950 ) ( 2491590 * )
NEW met1 ( 608810 1217710 ) ( 2491590 * )
NEW met2 ( 2491590 634950 ) ( * 1217710 )
NEW met2 ( 344310 2794460 ) ( * 2849700 )
NEW met2 ( 344310 2898000 ) ( * 2984010 )
NEW met2 ( 343850 2849700 ) ( 344310 * )
NEW met2 ( 343850 2849700 ) ( * 2898000 )
NEW met2 ( 343850 2898000 ) ( 344310 * )
NEW met1 ( 185610 2790890 ) M1M2_PR
NEW met1 ( 1190250 2984010 ) M1M2_PR
NEW met1 ( 1190250 3501490 ) M1M2_PR
NEW met1 ( 185610 2294150 ) M1M2_PR
NEW met1 ( 608810 1217710 ) M1M2_PR
NEW met2 ( 608810 1217540 ) M2M3_PR
NEW met1 ( 607430 1241850 ) M1M2_PR
NEW met1 ( 608810 1241850 ) M1M2_PR
NEW met1 ( 607430 1618570 ) M1M2_PR
NEW met2 ( 344310 2794460 ) M2M3_PR
NEW met1 ( 344310 2790890 ) M1M2_PR
NEW met1 ( 344310 2984010 ) M1M2_PR
NEW met1 ( 1419330 3501490 ) M1M2_PR
NEW met1 ( 337410 1618570 ) M1M2_PR
NEW met2 ( 337410 1811860 ) M2M3_PR
NEW met1 ( 338330 2294150 ) M1M2_PR
NEW met2 ( 338330 2293980 ) M2M3_PR
NEW met1 ( 337410 2294150 ) M1M2_PR
NEW met2 ( 2484690 614380 ) M2M3_PR
NEW met1 ( 2484690 634950 ) M1M2_PR
NEW met1 ( 2491590 634950 ) M1M2_PR
NEW met1 ( 2491590 1217710 ) M1M2_PR
NEW met2 ( 337410 1811860 ) RECT ( -70 -485 70 0 )
NEW met1 ( 337410 2294150 ) RECT ( -595 -70 0 70 ) ;
- io_out[1] ( PIN io_out[1] ) ( wrapped_vga_clock_1 io_out[1] ) ( wrapped_rgb_mixer_3 io_out[1] ) ( wrapped_hack_soc_dffram_11 io_out[1] ) ( wrapped_function_generator_0 io_out[1] ) ( wrapped_frequency_counter_2 io_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 505310 1929500 ) ( * 1946330 )
NEW met2 ( 504850 1929500 0 ) ( 505310 * )
NEW met2 ( 2900990 298180 ) ( * 303450 )
NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
NEW met2 ( 414630 1199180 ) ( * 1200185 0 )
NEW met2 ( 414230 1199180 ) ( 414630 * )
NEW met2 ( 482310 2457180 ) ( * 2457350 )
NEW met2 ( 464370 2909380 ) ( * 2913290 )
NEW met2 ( 462990 2909380 0 ) ( 464370 * )
NEW met1 ( 464370 2913290 ) ( 545330 * )
NEW met1 ( 505310 1946330 ) ( 641930 * )
NEW met1 ( 2577150 303450 ) ( 2900990 * )
NEW met2 ( 434010 2449700 0 ) ( * 2457350 )
NEW met1 ( 434010 2457350 ) ( 482310 * )
NEW met2 ( 545330 2449190 ) ( * 2913290 )
NEW met2 ( 641930 1141890 ) ( * 1144950 )
NEW met2 ( 641930 1144950 ) ( * 1946330 )
NEW met3 ( 2471580 715700 0 ) ( 2485150 * )
NEW met2 ( 2485150 715700 ) ( * 717570 )
NEW met1 ( 2567030 716890 ) ( 2577150 * )
NEW met1 ( 2485150 717570 ) ( 2567030 * )
NEW met2 ( 2577150 303450 ) ( * 716890 )
NEW met1 ( 641930 1141890 ) ( 2567030 * )
NEW met2 ( 2567030 716890 ) ( * 1141890 )
NEW met2 ( 414230 1144950 ) ( * 1199180 )
NEW met1 ( 414230 1144950 ) ( 641930 * )
NEW met3 ( 482310 2457180 ) ( 510370 * )
NEW met2 ( 510370 1946330 ) ( * 2457180 )
NEW met1 ( 510370 2449190 ) ( 545330 * )
NEW met1 ( 505310 1946330 ) M1M2_PR
NEW met1 ( 510370 1946330 ) M1M2_PR
NEW met1 ( 2900990 303450 ) M1M2_PR
NEW met2 ( 2900990 298180 ) M2M3_PR
NEW met1 ( 482310 2457350 ) M1M2_PR
NEW met2 ( 482310 2457180 ) M2M3_PR
NEW met1 ( 464370 2913290 ) M1M2_PR
NEW met1 ( 545330 2449190 ) M1M2_PR
NEW met1 ( 545330 2913290 ) M1M2_PR
NEW met1 ( 641930 1946330 ) M1M2_PR
NEW met1 ( 2577150 303450 ) M1M2_PR
NEW met1 ( 434010 2457350 ) M1M2_PR
NEW met1 ( 641930 1144950 ) M1M2_PR
NEW met1 ( 641930 1141890 ) M1M2_PR
NEW met2 ( 2485150 715700 ) M2M3_PR
NEW met1 ( 2485150 717570 ) M1M2_PR
NEW met1 ( 2577150 716890 ) M1M2_PR
NEW met1 ( 2567030 716890 ) M1M2_PR
NEW met1 ( 2567030 717570 ) M1M2_PR
NEW met1 ( 2567030 1141890 ) M1M2_PR
NEW met1 ( 414230 1144950 ) M1M2_PR
NEW met2 ( 510370 2457180 ) M2M3_PR
NEW met1 ( 510370 2449190 ) M1M2_PR
NEW met1 ( 510370 1946330 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2567030 717570 ) RECT ( -70 -485 70 0 )
NEW met2 ( 510370 2449190 ) RECT ( -70 -485 70 0 ) ;
- io_out[20] ( PIN io_out[20] ) ( wrapped_vga_clock_1 io_out[20] ) ( wrapped_rgb_mixer_3 io_out[20] ) ( wrapped_hack_soc_dffram_11 io_out[20] ) ( wrapped_function_generator_0 io_out[20] ) ( wrapped_frequency_counter_2 io_out[20] ) + USE SIGNAL
+ ROUTED met1 ( 408250 1179970 ) ( 410550 * )
NEW met2 ( 507150 2920770 ) ( * 2921620 )
NEW met1 ( 493810 2920770 ) ( 507150 * )
NEW met2 ( 493810 2909380 ) ( * 2920770 )
NEW met2 ( 491970 2909380 0 ) ( 493810 * )
NEW met2 ( 408250 1179970 ) ( * 1200185 0 )
NEW met2 ( 474950 2458370 ) ( * 2475030 )
NEW met2 ( 574310 1994270 ) ( * 2475030 )
NEW met2 ( 1095030 3501830 ) ( * 3517980 0 )
NEW met1 ( 255070 1186090 ) ( 408250 * )
NEW met2 ( 535210 1929500 ) ( 537050 * 0 )
NEW met2 ( 560510 2921620 ) ( * 2928930 )
NEW met3 ( 507150 2921620 ) ( 560510 * )
NEW met1 ( 560510 2928930 ) ( 810750 * )
NEW met1 ( 810750 3501830 ) ( 1095030 * )
NEW met3 ( 2471580 608940 0 ) ( 2478250 * )
NEW met1 ( 410550 900490 ) ( 2478250 * )
NEW met2 ( 255070 1186090 ) ( * 1994270 )
NEW met2 ( 462990 2449700 0 ) ( * 2458370 )
NEW met1 ( 462990 2458370 ) ( 474950 * )
NEW met2 ( 535210 1929500 ) ( * 1994270 )
NEW met1 ( 255070 1994270 ) ( 574310 * )
NEW met2 ( 559590 2475030 ) ( * 2921620 )
NEW met2 ( 810750 2928930 ) ( * 3501830 )
NEW met2 ( 2478250 608940 ) ( * 900490 )
NEW met2 ( 410550 900490 ) ( * 1179970 )
NEW met1 ( 474950 2475030 ) ( 574310 * )
NEW met1 ( 410550 900490 ) M1M2_PR
NEW met1 ( 408250 1179970 ) M1M2_PR
NEW met1 ( 410550 1179970 ) M1M2_PR
NEW met1 ( 408250 1186090 ) M1M2_PR
NEW met2 ( 507150 2921620 ) M2M3_PR
NEW met1 ( 507150 2920770 ) M1M2_PR
NEW met1 ( 493810 2920770 ) M1M2_PR
NEW met1 ( 1095030 3501830 ) M1M2_PR
NEW met1 ( 474950 2458370 ) M1M2_PR
NEW met1 ( 474950 2475030 ) M1M2_PR
NEW met1 ( 574310 1994270 ) M1M2_PR
NEW met1 ( 574310 2475030 ) M1M2_PR
NEW met1 ( 255070 1186090 ) M1M2_PR
NEW met1 ( 560510 2928930 ) M1M2_PR
NEW met2 ( 560510 2921620 ) M2M3_PR
NEW met2 ( 559590 2921620 ) M2M3_PR
NEW met1 ( 810750 2928930 ) M1M2_PR
NEW met1 ( 810750 3501830 ) M1M2_PR
NEW met2 ( 2478250 608940 ) M2M3_PR
NEW met1 ( 2478250 900490 ) M1M2_PR
NEW met1 ( 255070 1994270 ) M1M2_PR
NEW met1 ( 462990 2458370 ) M1M2_PR
NEW met1 ( 535210 1994270 ) M1M2_PR
NEW met1 ( 559590 2475030 ) M1M2_PR
NEW met2 ( 408250 1186090 ) RECT ( -70 -485 70 0 )
NEW met3 ( 559590 2921620 ) RECT ( -800 -150 0 150 )
NEW met1 ( 535210 1994270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 559590 2475030 ) RECT ( -595 -70 0 70 ) ;
- io_out[21] ( PIN io_out[21] ) ( wrapped_vga_clock_1 io_out[21] ) ( wrapped_rgb_mixer_3 io_out[21] ) ( wrapped_hack_soc_dffram_11 io_out[21] ) ( wrapped_function_generator_0 io_out[21] ) ( wrapped_frequency_counter_2 io_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 213210 1510620 ) ( * 1876970 )
NEW met2 ( 770730 3501830 ) ( * 3517980 0 )
NEW met1 ( 328210 1441770 ) ( 339250 * )
NEW met2 ( 339250 1440580 ) ( * 1441770 )
NEW met3 ( 339250 1440580 ) ( 351900 * )
NEW met3 ( 351900 1440580 ) ( * 1441940 0 )
NEW met1 ( 355350 3501830 ) ( 770730 * )
NEW met3 ( 2471580 603500 0 ) ( 2484230 * )
NEW met2 ( 2484230 603500 ) ( * 607070 )
NEW met1 ( 2484230 607070 ) ( 2587730 * )
NEW met1 ( 845250 1245250 ) ( 2587730 * )
NEW met1 ( 213210 1876970 ) ( 241270 * )
NEW met2 ( 241270 1876970 ) ( * 2363170 )
NEW met2 ( 330970 1510620 ) ( * 1512150 )
NEW met3 ( 213210 1510620 ) ( 330970 * )
NEW met2 ( 328210 1441770 ) ( * 1510620 )
NEW met2 ( 338330 1886660 ) ( * 1887170 )
NEW met3 ( 338330 1886660 ) ( 350980 * )
NEW met3 ( 350980 1886660 ) ( * 1887340 0 )
NEW met1 ( 241270 1887170 ) ( 338330 * )
NEW met3 ( 351900 2857020 0 ) ( * 2858380 )
NEW met3 ( 341550 2858380 ) ( 351900 * )
NEW met2 ( 341550 2857870 ) ( * 2858380 )
NEW met1 ( 330510 2857870 ) ( 341550 * )
NEW met2 ( 355350 2909890 ) ( * 3501830 )
NEW met1 ( 330970 1512150 ) ( 845250 * )
NEW met2 ( 845250 1245250 ) ( * 1512150 )
NEW met2 ( 2587730 607070 ) ( * 1245250 )
NEW met2 ( 342470 2858380 ) ( * 2909890 )
NEW met1 ( 342470 2909890 ) ( 355350 * )
NEW met1 ( 330510 2366570 ) ( 338330 * )
NEW met2 ( 338330 2363340 ) ( * 2366570 )
NEW met3 ( 338330 2363340 ) ( 350980 * )
NEW met3 ( 350980 2360620 0 ) ( * 2363340 )
NEW met2 ( 330510 2363170 ) ( * 2366570 )
NEW met1 ( 241270 2363170 ) ( 330510 * )
NEW met2 ( 330510 2366570 ) ( * 2857870 )
NEW met1 ( 770730 3501830 ) M1M2_PR
NEW met2 ( 213210 1510620 ) M2M3_PR
NEW met1 ( 213210 1876970 ) M1M2_PR
NEW met1 ( 328210 1441770 ) M1M2_PR
NEW met1 ( 339250 1441770 ) M1M2_PR
NEW met2 ( 339250 1440580 ) M2M3_PR
NEW met1 ( 355350 2909890 ) M1M2_PR
NEW met1 ( 355350 3501830 ) M1M2_PR
NEW met1 ( 845250 1245250 ) M1M2_PR
NEW met2 ( 2484230 603500 ) M2M3_PR
NEW met1 ( 2484230 607070 ) M1M2_PR
NEW met1 ( 2587730 607070 ) M1M2_PR
NEW met1 ( 2587730 1245250 ) M1M2_PR
NEW met1 ( 241270 1876970 ) M1M2_PR
NEW met1 ( 241270 1887170 ) M1M2_PR
NEW met1 ( 241270 2363170 ) M1M2_PR
NEW met2 ( 330970 1510620 ) M2M3_PR
NEW met1 ( 330970 1512150 ) M1M2_PR
NEW met2 ( 328210 1510620 ) M2M3_PR
NEW met1 ( 338330 1887170 ) M1M2_PR
NEW met2 ( 338330 1886660 ) M2M3_PR
NEW met2 ( 341550 2858380 ) M2M3_PR
NEW met1 ( 341550 2857870 ) M1M2_PR
NEW met1 ( 330510 2857870 ) M1M2_PR
NEW met2 ( 342470 2858380 ) M2M3_PR
NEW met1 ( 845250 1512150 ) M1M2_PR
NEW met1 ( 342470 2909890 ) M1M2_PR
NEW met1 ( 330510 2366570 ) M1M2_PR
NEW met1 ( 338330 2366570 ) M1M2_PR
NEW met2 ( 338330 2363340 ) M2M3_PR
NEW met1 ( 330510 2363170 ) M1M2_PR
NEW met2 ( 241270 1887170 ) RECT ( -70 -485 70 0 )
NEW met3 ( 328210 1510620 ) RECT ( -800 -150 0 150 )
NEW met3 ( 342470 2858380 ) RECT ( -800 -150 0 150 ) ;
- io_out[22] ( PIN io_out[22] ) ( wrapped_vga_clock_1 io_out[22] ) ( wrapped_rgb_mixer_3 io_out[22] ) ( wrapped_hack_soc_dffram_11 io_out[22] ) ( wrapped_function_generator_0 io_out[22] ) ( wrapped_frequency_counter_2 io_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 472650 2700620 ) ( 472685 * 0 )
NEW met2 ( 498410 1687250 ) ( * 1700340 0 )
NEW met2 ( 510370 1539010 ) ( * 1687250 )
NEW met2 ( 475870 1983730 ) ( * 2200140 0 )
NEW met2 ( 472650 2684130 ) ( * 2700620 )
NEW met1 ( 587190 2677330 ) ( 593630 * )
NEW met2 ( 593630 2186710 ) ( * 2677330 )
NEW met2 ( 587190 2677330 ) ( * 2942870 )
NEW met2 ( 2335650 921570 ) ( * 1539010 )
NEW met2 ( 2498950 598910 ) ( * 921570 )
NEW met2 ( 521410 1459815 ) ( 524170 * 0 )
NEW met1 ( 510370 1539010 ) ( 2335650 * )
NEW met3 ( 2471580 598060 0 ) ( 2484230 * )
NEW met2 ( 2484230 598060 ) ( * 598910 )
NEW met1 ( 2484230 598910 ) ( 2498950 * )
NEW met2 ( 441830 2942870 ) ( * 3512100 )
NEW met2 ( 441830 3512100 ) ( 445970 * )
NEW met2 ( 445970 3512100 ) ( * 3517980 0 )
NEW met2 ( 518650 1531800 ) ( * 1539010 )
NEW met2 ( 518650 1531800 ) ( 521410 * )
NEW met2 ( 521410 1459815 ) ( * 1531800 )
NEW met1 ( 475870 2186710 ) ( 593630 * )
NEW met1 ( 441830 2942870 ) ( 587190 * )
NEW met1 ( 498410 1687250 ) ( 628590 * )
NEW met1 ( 475870 1983730 ) ( 628590 * )
NEW met2 ( 628590 1687250 ) ( * 1983730 )
NEW met1 ( 2335650 921570 ) ( 2498950 * )
NEW met1 ( 510370 2683790 ) ( * 2684130 )
NEW met1 ( 472650 2684130 ) ( 510370 * )
NEW met1 ( 510370 2683790 ) ( 587190 * )
NEW met1 ( 510370 1539010 ) M1M2_PR
NEW met1 ( 2335650 1539010 ) M1M2_PR
NEW met1 ( 2498950 598910 ) M1M2_PR
NEW met1 ( 498410 1687250 ) M1M2_PR
NEW met1 ( 510370 1687250 ) M1M2_PR
NEW met1 ( 475870 1983730 ) M1M2_PR
NEW met1 ( 475870 2186710 ) M1M2_PR
NEW met1 ( 472650 2684130 ) M1M2_PR
NEW met1 ( 593630 2186710 ) M1M2_PR
NEW met1 ( 587190 2677330 ) M1M2_PR
NEW met1 ( 593630 2677330 ) M1M2_PR
NEW met1 ( 587190 2683790 ) M1M2_PR
NEW met1 ( 587190 2942870 ) M1M2_PR
NEW met1 ( 2335650 921570 ) M1M2_PR
NEW met1 ( 2498950 921570 ) M1M2_PR
NEW met1 ( 518650 1539010 ) M1M2_PR
NEW met2 ( 2484230 598060 ) M2M3_PR
NEW met1 ( 2484230 598910 ) M1M2_PR
NEW met1 ( 441830 2942870 ) M1M2_PR
NEW met1 ( 628590 1687250 ) M1M2_PR
NEW met1 ( 628590 1983730 ) M1M2_PR
NEW met1 ( 510370 1687250 ) RECT ( -595 -70 0 70 )
NEW met2 ( 475870 2186710 ) RECT ( -70 -485 70 0 )
NEW met2 ( 587190 2683790 ) RECT ( -70 -485 70 0 )
NEW met1 ( 518650 1539010 ) RECT ( -595 -70 0 70 ) ;
- io_out[23] ( PIN io_out[23] ) ( wrapped_vga_clock_1 io_out[23] ) ( wrapped_rgb_mixer_3 io_out[23] ) ( wrapped_hack_soc_dffram_11 io_out[23] ) ( wrapped_function_generator_0 io_out[23] ) ( wrapped_frequency_counter_2 io_out[23] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2343620 0 ) ( * 2344980 )
NEW met2 ( 572470 1542070 ) ( * 1555670 )
NEW met2 ( 121670 3494690 ) ( * 3517980 0 )
NEW met2 ( 709090 1801150 ) ( * 1807610 )
NEW met2 ( 707250 1555670 ) ( * 1801150 )
NEW met2 ( 690690 2349230 ) ( * 2801770 )
NEW met2 ( 2321850 920890 ) ( * 1555670 )
NEW met2 ( 422050 1459620 ) ( 424290 * 0 )
NEW met1 ( 422050 1542070 ) ( 572470 * )
NEW met2 ( 518190 2344980 ) ( * 2349230 )
NEW met3 ( 499100 2344980 ) ( 518190 * )
NEW met3 ( 529460 2801940 0 ) ( 538430 * )
NEW met2 ( 538430 2801770 ) ( * 2801940 )
NEW met1 ( 121670 3494690 ) ( 531530 * )
NEW met1 ( 518190 2349230 ) ( 690690 * )
NEW met1 ( 538430 2801770 ) ( 690690 * )
NEW met1 ( 690690 2349230 ) ( 711390 * )
NEW met1 ( 572470 1555670 ) ( 2321850 * )
NEW met3 ( 2471580 591940 0 ) ( 2484230 * )
NEW met2 ( 2484230 591940 ) ( * 593130 )
NEW met1 ( 2484230 593130 ) ( 2561050 * )
NEW met2 ( 422050 1459620 ) ( * 1542070 )
NEW met2 ( 531530 2801940 ) ( * 3494690 )
NEW met1 ( 709090 1807610 ) ( 711390 * )
NEW met2 ( 711390 1807610 ) ( * 2349230 )
NEW met1 ( 2321850 920890 ) ( 2561050 * )
NEW met2 ( 2561050 593130 ) ( * 920890 )
NEW met3 ( 579140 1805740 0 ) ( 586730 * )
NEW met2 ( 586730 1801150 ) ( * 1805740 )
NEW met1 ( 586730 1801150 ) ( 709090 * )
NEW met1 ( 121670 3494690 ) M1M2_PR
NEW met1 ( 572470 1542070 ) M1M2_PR
NEW met1 ( 572470 1555670 ) M1M2_PR
NEW met1 ( 707250 1555670 ) M1M2_PR
NEW met1 ( 690690 2349230 ) M1M2_PR
NEW met1 ( 690690 2801770 ) M1M2_PR
NEW met1 ( 2321850 1555670 ) M1M2_PR
NEW met1 ( 709090 1807610 ) M1M2_PR
NEW met1 ( 709090 1801150 ) M1M2_PR
NEW met1 ( 707250 1801150 ) M1M2_PR
NEW met1 ( 2321850 920890 ) M1M2_PR
NEW met1 ( 422050 1542070 ) M1M2_PR
NEW met2 ( 518190 2344980 ) M2M3_PR
NEW met1 ( 518190 2349230 ) M1M2_PR
NEW met2 ( 538430 2801940 ) M2M3_PR
NEW met1 ( 538430 2801770 ) M1M2_PR
NEW met2 ( 531530 2801940 ) M2M3_PR
NEW met1 ( 531530 3494690 ) M1M2_PR
NEW met1 ( 711390 2349230 ) M1M2_PR
NEW met2 ( 2484230 591940 ) M2M3_PR
NEW met1 ( 2484230 593130 ) M1M2_PR
NEW met1 ( 2561050 593130 ) M1M2_PR
NEW met1 ( 711390 1807610 ) M1M2_PR
NEW met1 ( 2561050 920890 ) M1M2_PR
NEW met2 ( 586730 1805740 ) M2M3_PR
NEW met1 ( 586730 1801150 ) M1M2_PR
NEW met1 ( 707250 1555670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 707250 1801150 ) RECT ( -595 -70 0 70 )
NEW met3 ( 531530 2801940 ) RECT ( -800 -150 0 150 ) ;
- io_out[24] ( PIN io_out[24] ) ( wrapped_vga_clock_1 io_out[24] ) ( wrapped_rgb_mixer_3 io_out[24] ) ( wrapped_hack_soc_dffram_11 io_out[24] ) ( wrapped_function_generator_0 io_out[24] ) ( wrapped_frequency_counter_2 io_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3356140 0 ) ( 17710 * )
NEW met2 ( 17710 3353590 ) ( * 3356140 )
NEW met2 ( 287730 2180250 ) ( * 2687530 )
NEW met1 ( 472650 1518950 ) ( 475410 * )
NEW met1 ( 475410 1518950 ) ( * 1519290 )
NEW met2 ( 472650 1479850 ) ( * 1518950 )
NEW met2 ( 475410 1698980 ) ( 475640 * )
NEW met2 ( 475640 1698980 ) ( * 1700340 0 )
NEW met2 ( 475410 1518950 ) ( * 1698980 )
NEW met2 ( 1169550 997050 ) ( * 1519290 )
NEW met1 ( 345690 1479850 ) ( 472650 * )
NEW met2 ( 456550 2700620 ) ( 456585 * 0 )
NEW met1 ( 475410 1669570 ) ( 621690 * )
NEW met3 ( 2471580 586500 0 ) ( 2484690 * )
NEW met2 ( 2484690 586500 ) ( * 592790 )
NEW met1 ( 2484690 592790 ) ( 2546330 * )
NEW met1 ( 1169550 997050 ) ( 2546330 * )
NEW met1 ( 17710 3353590 ) ( 79350 * )
NEW met2 ( 79350 2687530 ) ( * 3353590 )
NEW met3 ( 345690 1433780 ) ( 350980 * )
NEW met3 ( 350980 1431740 0 ) ( * 1433780 )
NEW met2 ( 345690 1433780 ) ( * 1479850 )
NEW met2 ( 458850 2200140 ) ( 459770 * 0 )
NEW met1 ( 287730 2180250 ) ( 458850 * )
NEW met2 ( 458850 1997330 ) ( * 2200140 )
NEW met1 ( 79350 2687530 ) ( 456550 * )
NEW met2 ( 456550 2687530 ) ( * 2700620 )
NEW met1 ( 458850 1997330 ) ( 621690 * )
NEW met2 ( 621690 1669570 ) ( * 1997330 )
NEW met1 ( 475410 1519290 ) ( 1169550 * )
NEW met2 ( 2546330 592790 ) ( * 997050 )
NEW met1 ( 472650 1479850 ) M1M2_PR
NEW met1 ( 475410 1669570 ) M1M2_PR
NEW met1 ( 1169550 997050 ) M1M2_PR
NEW met2 ( 17710 3356140 ) M2M3_PR
NEW met1 ( 17710 3353590 ) M1M2_PR
NEW met1 ( 287730 2180250 ) M1M2_PR
NEW met1 ( 287730 2687530 ) M1M2_PR
NEW met1 ( 475410 1518950 ) M1M2_PR
NEW met1 ( 472650 1518950 ) M1M2_PR
NEW met1 ( 1169550 1519290 ) M1M2_PR
NEW met1 ( 345690 1479850 ) M1M2_PR
NEW met1 ( 621690 1669570 ) M1M2_PR
NEW met2 ( 2484690 586500 ) M2M3_PR
NEW met1 ( 2484690 592790 ) M1M2_PR
NEW met1 ( 2546330 592790 ) M1M2_PR
NEW met1 ( 2546330 997050 ) M1M2_PR
NEW met1 ( 79350 2687530 ) M1M2_PR
NEW met1 ( 79350 3353590 ) M1M2_PR
NEW met2 ( 345690 1433780 ) M2M3_PR
NEW met1 ( 458850 1997330 ) M1M2_PR
NEW met1 ( 458850 2180250 ) M1M2_PR
NEW met1 ( 456550 2687530 ) M1M2_PR
NEW met1 ( 621690 1997330 ) M1M2_PR
NEW met2 ( 475410 1669570 ) RECT ( -70 -485 70 0 )
NEW met1 ( 287730 2687530 ) RECT ( -595 -70 0 70 )
NEW met2 ( 458850 2180250 ) RECT ( -70 -485 70 0 ) ;
- io_out[25] ( PIN io_out[25] ) ( wrapped_vga_clock_1 io_out[25] ) ( wrapped_rgb_mixer_3 io_out[25] ) ( wrapped_hack_soc_dffram_11 io_out[25] ) ( wrapped_function_generator_0 io_out[25] ) ( wrapped_frequency_counter_2 io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3095700 0 ) ( 17710 * )
NEW met2 ( 17710 3091450 ) ( * 3095700 )
NEW met2 ( 479090 2909380 0 ) ( 479550 * )
NEW met2 ( 479550 2909380 ) ( * 2926890 )
NEW met2 ( 198950 1373090 ) ( * 1932390 )
NEW met2 ( 579830 2673930 ) ( * 2926890 )
NEW met3 ( 350980 1370540 0 ) ( * 1371220 )
NEW met3 ( 340170 1371220 ) ( 350980 * )
NEW met2 ( 340170 1371220 ) ( * 1373090 )
NEW met1 ( 198950 1373090 ) ( 340170 * )
NEW met1 ( 17710 3091450 ) ( 465750 * )
NEW met2 ( 520030 1929500 ) ( 520950 * 0 )
NEW met2 ( 520030 1929500 ) ( * 1932390 )
NEW met1 ( 519110 1932390 ) ( 520030 * )
NEW met1 ( 198950 1932390 ) ( 519110 * )
NEW met1 ( 499790 2421650 ) ( 517730 * )
NEW met1 ( 465750 2926890 ) ( 579830 * )
NEW met3 ( 2471580 581060 0 ) ( 2485150 * )
NEW met1 ( 345230 983110 ) ( 2484690 * )
NEW met2 ( 345230 983110 ) ( * 1371220 )
NEW met2 ( 450110 2449700 0 ) ( * 2459050 )
NEW met1 ( 450110 2459050 ) ( 455170 * )
NEW met2 ( 455170 2457690 ) ( * 2459050 )
NEW met2 ( 455170 2459050 ) ( * 2673930 )
NEW met2 ( 465750 2926890 ) ( * 3091450 )
NEW met2 ( 517730 2014800 ) ( 519110 * )
NEW met2 ( 519110 1932390 ) ( * 2014800 )
NEW met2 ( 2484690 662400 ) ( 2485150 * )
NEW met2 ( 2485150 581060 ) ( * 662400 )
NEW met2 ( 2484690 662400 ) ( * 983110 )
NEW met2 ( 517730 2014800 ) ( * 2421650 )
NEW met1 ( 455170 2673930 ) ( 579830 * )
NEW met1 ( 455170 2457690 ) ( 499790 * )
NEW met2 ( 499790 2421650 ) ( * 2457690 )
NEW met2 ( 17710 3095700 ) M2M3_PR
NEW met1 ( 17710 3091450 ) M1M2_PR
NEW met1 ( 198950 1373090 ) M1M2_PR
NEW met1 ( 198950 1932390 ) M1M2_PR
NEW met1 ( 499790 2421650 ) M1M2_PR
NEW met1 ( 479550 2926890 ) M1M2_PR
NEW met1 ( 579830 2926890 ) M1M2_PR
NEW met1 ( 579830 2673930 ) M1M2_PR
NEW met1 ( 345230 983110 ) M1M2_PR
NEW met2 ( 340170 1371220 ) M2M3_PR
NEW met1 ( 340170 1373090 ) M1M2_PR
NEW met2 ( 345230 1371220 ) M2M3_PR
NEW met1 ( 465750 2926890 ) M1M2_PR
NEW met1 ( 465750 3091450 ) M1M2_PR
NEW met1 ( 519110 1932390 ) M1M2_PR
NEW met1 ( 520030 1932390 ) M1M2_PR
NEW met1 ( 517730 2421650 ) M1M2_PR
NEW met2 ( 2485150 581060 ) M2M3_PR
NEW met1 ( 2484690 983110 ) M1M2_PR
NEW met1 ( 450110 2459050 ) M1M2_PR
NEW met1 ( 455170 2459050 ) M1M2_PR
NEW met1 ( 455170 2457690 ) M1M2_PR
NEW met1 ( 455170 2673930 ) M1M2_PR
NEW met1 ( 499790 2457690 ) M1M2_PR
NEW met1 ( 479550 2926890 ) RECT ( -595 -70 0 70 )
NEW met3 ( 345230 1371220 ) RECT ( -800 -150 0 150 ) ;
- io_out[26] ( PIN io_out[26] ) ( wrapped_vga_clock_1 io_out[26] ) ( wrapped_rgb_mixer_3 io_out[26] ) ( wrapped_hack_soc_dffram_11 io_out[26] ) ( wrapped_function_generator_0 io_out[26] ) ( wrapped_frequency_counter_2 io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2834580 0 ) ( 16330 * )
NEW met2 ( 16330 2829310 ) ( * 2834580 )
NEW met2 ( 127650 2721870 ) ( * 2829310 )
NEW met2 ( 2518730 579530 ) ( * 1093610 )
NEW met1 ( 16330 2829310 ) ( 127650 * )
NEW met1 ( 268410 1345210 ) ( 274850 * )
NEW met1 ( 268410 1725330 ) ( 343390 * )
NEW met3 ( 350980 2221220 0 ) ( * 2221900 )
NEW met3 ( 350060 2221900 ) ( 350980 * )
NEW met3 ( 350060 2221220 ) ( * 2221900 )
NEW met3 ( 344770 2221220 ) ( 350060 * )
NEW met3 ( 341550 2221220 ) ( 344770 * )
NEW met3 ( 350980 2719660 ) ( * 2720340 0 )
NEW met3 ( 340170 2719660 ) ( 350980 * )
NEW met2 ( 340170 2719660 ) ( * 2721870 )
NEW met1 ( 127650 2721870 ) ( 340170 * )
NEW met3 ( 2471580 575620 0 ) ( 2484230 * )
NEW met2 ( 2484230 575620 ) ( * 579530 )
NEW met1 ( 2484230 579530 ) ( 2518730 * )
NEW met1 ( 274850 1093610 ) ( 2518730 * )
NEW met2 ( 274850 1093610 ) ( * 1335010 )
NEW met2 ( 274850 1335010 ) ( * 1345210 )
NEW met2 ( 268410 1345210 ) ( * 1725330 )
NEW met2 ( 338330 1335010 ) ( * 1335180 )
NEW met3 ( 338330 1335180 ) ( 350980 * )
NEW met3 ( 350980 1335180 ) ( * 1336540 0 )
NEW met1 ( 274850 1335010 ) ( 338330 * )
NEW met3 ( 343390 1724820 ) ( 350980 * )
NEW met3 ( 350980 1724140 0 ) ( * 1724820 )
NEW met2 ( 341550 1821600 ) ( 343390 * )
NEW met2 ( 343390 1724820 ) ( * 1821600 )
NEW met2 ( 341550 1821600 ) ( * 2221220 )
NEW met2 ( 344770 2221220 ) ( * 2719660 )
NEW met2 ( 16330 2834580 ) M2M3_PR
NEW met1 ( 16330 2829310 ) M1M2_PR
NEW met1 ( 127650 2721870 ) M1M2_PR
NEW met1 ( 127650 2829310 ) M1M2_PR
NEW met1 ( 2518730 579530 ) M1M2_PR
NEW met1 ( 2518730 1093610 ) M1M2_PR
NEW met1 ( 274850 1093610 ) M1M2_PR
NEW met1 ( 268410 1345210 ) M1M2_PR
NEW met1 ( 274850 1345210 ) M1M2_PR
NEW met1 ( 268410 1725330 ) M1M2_PR
NEW met1 ( 343390 1725330 ) M1M2_PR
NEW met2 ( 344770 2221220 ) M2M3_PR
NEW met2 ( 341550 2221220 ) M2M3_PR
NEW met2 ( 340170 2719660 ) M2M3_PR
NEW met1 ( 340170 2721870 ) M1M2_PR
NEW met2 ( 344770 2719660 ) M2M3_PR
NEW met2 ( 2484230 575620 ) M2M3_PR
NEW met1 ( 2484230 579530 ) M1M2_PR
NEW met1 ( 274850 1335010 ) M1M2_PR
NEW met1 ( 338330 1335010 ) M1M2_PR
NEW met2 ( 338330 1335180 ) M2M3_PR
NEW met2 ( 343390 1724820 ) M2M3_PR
NEW met2 ( 343390 1725330 ) RECT ( -70 0 70 485 )
NEW met3 ( 344770 2719660 ) RECT ( -800 -150 0 150 ) ;
- io_out[27] ( PIN io_out[27] ) ( wrapped_vga_clock_1 io_out[27] ) ( wrapped_rgb_mixer_3 io_out[27] ) ( wrapped_hack_soc_dffram_11 io_out[27] ) ( wrapped_function_generator_0 io_out[27] ) ( wrapped_frequency_counter_2 io_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 483690 2909380 ) ( 485300 * 0 )
NEW met2 ( 483690 2909380 ) ( * 2919070 )
NEW met3 ( 1380 2574140 0 ) ( 14030 * )
NEW met2 ( 14030 2573970 ) ( * 2574140 )
NEW met1 ( 14030 2573970 ) ( 24150 * )
NEW met2 ( 24150 2490670 ) ( * 2573970 )
NEW met2 ( 296010 2488290 ) ( * 2919070 )
NEW met3 ( 599380 1237940 0 ) ( 608350 * )
NEW met2 ( 608350 1231650 ) ( * 1237940 )
NEW met2 ( 600530 1237940 ) ( * 1925930 )
NEW met2 ( 2498490 572390 ) ( * 1231650 )
NEW met2 ( 456550 2449020 0 ) ( 457930 * )
NEW met2 ( 457930 2449020 ) ( * 2449190 )
NEW met2 ( 455630 2449020 ) ( 456550 * 0 )
NEW met1 ( 296010 2919070 ) ( 483690 * )
NEW met1 ( 565800 1925930 ) ( 600530 * )
NEW met2 ( 529690 1928820 ) ( 530610 * 0 )
NEW met2 ( 529690 1928820 ) ( * 1931710 )
NEW met1 ( 524630 1931710 ) ( 529690 * )
NEW met1 ( 565800 1925930 ) ( * 1926610 )
NEW met1 ( 531530 1926610 ) ( 565800 * )
NEW met2 ( 531530 1926610 ) ( * 1926780 )
NEW met2 ( 531070 1926780 ) ( 531530 * )
NEW met2 ( 531070 1926780 ) ( * 1928820 )
NEW met2 ( 530610 1928820 0 ) ( 531070 * )
NEW met3 ( 2471580 569500 0 ) ( 2484230 * )
NEW met2 ( 2484230 569500 ) ( * 572390 )
NEW met1 ( 2484230 572390 ) ( 2498490 * )
NEW met1 ( 24150 2490670 ) ( 296010 * )
NEW met1 ( 296010 2488290 ) ( 455630 * )
NEW met2 ( 455630 2449020 ) ( * 2488290 )
NEW met1 ( 457930 2449190 ) ( * 2449530 )
NEW met1 ( 608350 1231650 ) ( 2498490 * )
NEW met1 ( 457930 2449530 ) ( 524630 * )
NEW met2 ( 524630 1931710 ) ( * 2449530 )
NEW met1 ( 296010 2919070 ) M1M2_PR
NEW met1 ( 483690 2919070 ) M1M2_PR
NEW met1 ( 600530 1925930 ) M1M2_PR
NEW met1 ( 2498490 572390 ) M1M2_PR
NEW met1 ( 24150 2490670 ) M1M2_PR
NEW met2 ( 14030 2574140 ) M2M3_PR
NEW met1 ( 14030 2573970 ) M1M2_PR
NEW met1 ( 24150 2573970 ) M1M2_PR
NEW met1 ( 296010 2488290 ) M1M2_PR
NEW met1 ( 296010 2490670 ) M1M2_PR
NEW met2 ( 608350 1237940 ) M2M3_PR
NEW met1 ( 608350 1231650 ) M1M2_PR
NEW met2 ( 600530 1237940 ) M2M3_PR
NEW met1 ( 2498490 1231650 ) M1M2_PR
NEW met1 ( 457930 2449190 ) M1M2_PR
NEW met1 ( 529690 1931710 ) M1M2_PR
NEW met1 ( 524630 1931710 ) M1M2_PR
NEW met1 ( 531530 1926610 ) M1M2_PR
NEW met2 ( 2484230 569500 ) M2M3_PR
NEW met1 ( 2484230 572390 ) M1M2_PR
NEW met1 ( 455630 2488290 ) M1M2_PR
NEW met1 ( 524630 2449530 ) M1M2_PR
NEW met2 ( 296010 2490670 ) RECT ( -70 -485 70 0 )
NEW met3 ( 600530 1237940 ) RECT ( -800 -150 0 150 ) ;
- io_out[28] ( PIN io_out[28] ) ( wrapped_vga_clock_1 io_out[28] ) ( wrapped_rgb_mixer_3 io_out[28] ) ( wrapped_hack_soc_dffram_11 io_out[28] ) ( wrapped_function_generator_0 io_out[28] ) ( wrapped_frequency_counter_2 io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2313020 0 ) ( 14030 * )
NEW met2 ( 14030 2312850 ) ( * 2313020 )
NEW met1 ( 14030 2312850 ) ( 24150 * )
NEW met2 ( 417910 2700620 ) ( 417945 * 0 )
NEW met2 ( 24150 2194190 ) ( * 2312850 )
NEW met2 ( 417910 2191130 ) ( * 2200140 0 )
NEW met2 ( 417910 2674270 ) ( * 2700620 )
NEW met2 ( 572470 1196630 ) ( * 1200185 0 )
NEW met1 ( 566030 1196630 ) ( 572470 * )
NEW met2 ( 566030 1076270 ) ( * 1196630 )
NEW met2 ( 2505390 565590 ) ( * 1073210 )
NEW met1 ( 566030 1076270 ) ( 738990 * )
NEW met1 ( 738990 1073210 ) ( 2505390 * )
NEW met1 ( 254610 2190790 ) ( 260590 * )
NEW met1 ( 24150 2194190 ) ( 254610 * )
NEW met2 ( 260590 1697110 ) ( * 2190790 )
NEW met2 ( 254610 2190790 ) ( * 2674270 )
NEW met1 ( 372600 2191130 ) ( 417910 * )
NEW met1 ( 372600 2190790 ) ( * 2191130 )
NEW met1 ( 260590 2190790 ) ( 372600 * )
NEW met1 ( 254610 2674270 ) ( 417910 * )
NEW met2 ( 430870 1698980 ) ( * 1700340 0 )
NEW met2 ( 430870 1698980 ) ( 431250 * )
NEW met1 ( 260590 1697110 ) ( 431250 * )
NEW met2 ( 431250 1611430 ) ( * 1698980 )
NEW met1 ( 431250 1611430 ) ( 738990 * )
NEW met2 ( 738990 1073210 ) ( * 1611430 )
NEW met3 ( 2471580 564060 0 ) ( 2484230 * )
NEW met2 ( 2484230 564060 ) ( * 565590 )
NEW met1 ( 2484230 565590 ) ( 2505390 * )
NEW met2 ( 14030 2313020 ) M2M3_PR
NEW met1 ( 14030 2312850 ) M1M2_PR
NEW met1 ( 24150 2312850 ) M1M2_PR
NEW met1 ( 566030 1076270 ) M1M2_PR
NEW met1 ( 2505390 1073210 ) M1M2_PR
NEW met1 ( 24150 2194190 ) M1M2_PR
NEW met1 ( 417910 2191130 ) M1M2_PR
NEW met1 ( 417910 2674270 ) M1M2_PR
NEW met1 ( 572470 1196630 ) M1M2_PR
NEW met1 ( 566030 1196630 ) M1M2_PR
NEW met1 ( 2505390 565590 ) M1M2_PR
NEW met1 ( 738990 1073210 ) M1M2_PR
NEW met1 ( 738990 1076270 ) M1M2_PR
NEW met1 ( 260590 1697110 ) M1M2_PR
NEW met1 ( 260590 2190790 ) M1M2_PR
NEW met1 ( 254610 2190790 ) M1M2_PR
NEW met1 ( 254610 2194190 ) M1M2_PR
NEW met1 ( 254610 2674270 ) M1M2_PR
NEW met1 ( 431250 1611430 ) M1M2_PR
NEW met1 ( 431250 1697110 ) M1M2_PR
NEW met1 ( 738990 1611430 ) M1M2_PR
NEW met2 ( 2484230 564060 ) M2M3_PR
NEW met1 ( 2484230 565590 ) M1M2_PR
NEW met2 ( 738990 1076270 ) RECT ( -70 -485 70 0 )
NEW met2 ( 254610 2194190 ) RECT ( -70 -485 70 0 )
NEW met2 ( 431250 1697110 ) RECT ( -70 -485 70 0 ) ;
- io_out[29] ( PIN io_out[29] ) ( wrapped_vga_clock_1 io_out[29] ) ( wrapped_rgb_mixer_3 io_out[29] ) ( wrapped_hack_soc_dffram_11 io_out[29] ) ( wrapped_function_generator_0 io_out[29] ) ( wrapped_frequency_counter_2 io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2052580 0 ) ( 17250 * )
NEW met3 ( 499100 2255900 ) ( 507610 * )
NEW met3 ( 499100 2255220 0 ) ( * 2255900 )
NEW met2 ( 704490 2156110 ) ( * 2159170 )
NEW met2 ( 17250 2052580 ) ( * 2149310 )
NEW met3 ( 579140 1703740 0 ) ( 587650 * )
NEW met2 ( 587650 1701190 ) ( * 1703740 )
NEW met2 ( 704490 2159170 ) ( * 2718810 )
NEW met1 ( 17250 2149310 ) ( 507610 * )
NEW met3 ( 529460 2720340 0 ) ( 544870 * )
NEW met2 ( 544870 2718810 ) ( * 2720340 )
NEW met1 ( 507610 2159170 ) ( 704490 * )
NEW met1 ( 544870 2718810 ) ( 704490 * )
NEW met2 ( 752790 1183370 ) ( * 1186770 )
NEW met1 ( 346150 1186770 ) ( 752790 * )
NEW met1 ( 704490 2156110 ) ( 753710 * )
NEW met2 ( 2265730 897260 ) ( 2268030 * 0 )
NEW met1 ( 752790 1183370 ) ( 2263430 * )
NEW met3 ( 346150 1225020 ) ( 350980 * )
NEW met3 ( 350980 1225020 ) ( * 1227740 0 )
NEW met2 ( 346150 1186770 ) ( * 1225020 )
NEW met2 ( 752790 1186770 ) ( * 1676700 )
NEW met2 ( 752790 1676700 ) ( 753710 * )
NEW met1 ( 587650 1701190 ) ( 753710 * )
NEW met2 ( 753710 1676700 ) ( * 2156110 )
NEW met2 ( 2263430 952200 ) ( 2265730 * )
NEW met2 ( 2265730 897260 ) ( * 952200 )
NEW met2 ( 2263430 952200 ) ( * 1183370 )
NEW met2 ( 507610 2149310 ) ( * 2255900 )
NEW met2 ( 17250 2052580 ) M2M3_PR
NEW met1 ( 17250 2149310 ) M1M2_PR
NEW met1 ( 507610 2149310 ) M1M2_PR
NEW met1 ( 507610 2159170 ) M1M2_PR
NEW met2 ( 507610 2255900 ) M2M3_PR
NEW met1 ( 704490 2159170 ) M1M2_PR
NEW met1 ( 704490 2156110 ) M1M2_PR
NEW met1 ( 704490 2718810 ) M1M2_PR
NEW met2 ( 587650 1703740 ) M2M3_PR
NEW met1 ( 587650 1701190 ) M1M2_PR
NEW met1 ( 346150 1186770 ) M1M2_PR
NEW met2 ( 544870 2720340 ) M2M3_PR
NEW met1 ( 544870 2718810 ) M1M2_PR
NEW met1 ( 752790 1186770 ) M1M2_PR
NEW met1 ( 752790 1183370 ) M1M2_PR
NEW met1 ( 753710 2156110 ) M1M2_PR
NEW met1 ( 2263430 1183370 ) M1M2_PR
NEW met2 ( 346150 1225020 ) M2M3_PR
NEW met1 ( 753710 1701190 ) M1M2_PR
NEW met2 ( 507610 2159170 ) RECT ( -70 -485 70 0 )
NEW met2 ( 753710 1701190 ) RECT ( -70 -485 70 0 ) ;
- io_out[2] ( PIN io_out[2] ) ( wrapped_vga_clock_1 io_out[2] ) ( wrapped_rgb_mixer_3 io_out[2] ) ( wrapped_hack_soc_dffram_11 io_out[2] ) ( wrapped_function_generator_0 io_out[2] ) ( wrapped_frequency_counter_2 io_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 376050 2700620 ) ( 376085 * 0 )
NEW met3 ( 599380 1377340 0 ) ( 608350 * )
NEW met2 ( 608350 1377340 ) ( * 1379890 )
NEW met2 ( 2539890 703970 ) ( * 710430 )
NEW met2 ( 2900990 497420 ) ( * 507110 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met2 ( 227010 2179910 ) ( * 2660330 )
NEW met2 ( 382490 1689970 ) ( * 1700340 0 )
NEW met2 ( 382490 1659710 ) ( * 1689970 )
NEW met2 ( 379270 2179910 ) ( * 2200140 0 )
NEW met2 ( 373290 1935450 ) ( * 2179910 )
NEW met2 ( 376050 2691000 ) ( * 2700620 )
NEW met2 ( 375590 2660330 ) ( * 2691000 )
NEW met2 ( 375590 2691000 ) ( 376050 * )
NEW met2 ( 583050 1466590 ) ( * 1659710 )
NEW met2 ( 2539890 710430 ) ( * 901170 )
NEW met1 ( 240350 1935450 ) ( 373290 * )
NEW met1 ( 382490 1659710 ) ( 583050 * )
NEW met2 ( 655730 1376490 ) ( * 1379890 )
NEW met1 ( 608350 1379890 ) ( 655730 * )
NEW met1 ( 583050 1466590 ) ( 655730 * )
NEW met3 ( 2471580 709580 0 ) ( 2485150 * )
NEW met2 ( 2485150 709580 ) ( * 710430 )
NEW met1 ( 2485150 710430 ) ( 2539890 * )
NEW met1 ( 2466750 901170 ) ( 2539890 * )
NEW met1 ( 655730 1376490 ) ( 2466750 * )
NEW met1 ( 2539890 703970 ) ( 2556450 * )
NEW met1 ( 2556450 507110 ) ( 2900990 * )
NEW met2 ( 240350 1689970 ) ( * 1935450 )
NEW met1 ( 240350 1689970 ) ( 382490 * )
NEW met1 ( 227010 2179910 ) ( 379270 * )
NEW met1 ( 227010 2660330 ) ( 375590 * )
NEW met2 ( 655730 1379890 ) ( * 1466590 )
NEW met2 ( 2466750 901170 ) ( * 1376490 )
NEW met2 ( 2556450 507110 ) ( * 703970 )
NEW met1 ( 382490 1659710 ) M1M2_PR
NEW met1 ( 373290 1935450 ) M1M2_PR
NEW met2 ( 608350 1377340 ) M2M3_PR
NEW met1 ( 608350 1379890 ) M1M2_PR
NEW met1 ( 583050 1466590 ) M1M2_PR
NEW met1 ( 583050 1659710 ) M1M2_PR
NEW met1 ( 2539890 710430 ) M1M2_PR
NEW met1 ( 2539890 703970 ) M1M2_PR
NEW met1 ( 2539890 901170 ) M1M2_PR
NEW met1 ( 2900990 507110 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR
NEW met1 ( 227010 2179910 ) M1M2_PR
NEW met1 ( 227010 2660330 ) M1M2_PR
NEW met1 ( 382490 1689970 ) M1M2_PR
NEW met1 ( 379270 2179910 ) M1M2_PR
NEW met1 ( 373290 2179910 ) M1M2_PR
NEW met1 ( 375590 2660330 ) M1M2_PR
NEW met1 ( 240350 1935450 ) M1M2_PR
NEW met1 ( 655730 1379890 ) M1M2_PR
NEW met1 ( 655730 1376490 ) M1M2_PR
NEW met1 ( 655730 1466590 ) M1M2_PR
NEW met2 ( 2485150 709580 ) M2M3_PR
NEW met1 ( 2485150 710430 ) M1M2_PR
NEW met1 ( 2466750 901170 ) M1M2_PR
NEW met1 ( 2466750 1376490 ) M1M2_PR
NEW met1 ( 2556450 507110 ) M1M2_PR
NEW met1 ( 2556450 703970 ) M1M2_PR
NEW met1 ( 240350 1689970 ) M1M2_PR
NEW met1 ( 373290 2179910 ) RECT ( -595 -70 0 70 ) ;
- io_out[30] ( PIN io_out[30] ) ( wrapped_vga_clock_1 io_out[30] ) ( wrapped_rgb_mixer_3 io_out[30] ) ( wrapped_hack_soc_dffram_11 io_out[30] ) ( wrapped_function_generator_0 io_out[30] ) ( wrapped_frequency_counter_2 io_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 491970 1459815 0 ) ( 493350 * )
NEW met3 ( 1380 1792140 0 ) ( 15870 * )
NEW met2 ( 15870 1787210 ) ( * 1792140 )
NEW met2 ( 217350 1731790 ) ( * 1787210 )
NEW met2 ( 493350 1459815 ) ( * 1694390 )
NEW met2 ( 804310 1355750 ) ( * 1484950 )
NEW met2 ( 2239050 906610 ) ( * 1355750 )
NEW met3 ( 350980 1730940 0 ) ( * 1731620 )
NEW met3 ( 341090 1731620 ) ( 350980 * )
NEW met3 ( 350980 1729580 ) ( 351670 * )
NEW met3 ( 350980 1729580 ) ( * 1730940 0 )
NEW met1 ( 217350 1731790 ) ( 341090 * )
NEW met3 ( 350980 2224620 0 ) ( * 2227340 )
NEW met3 ( 342930 2227340 ) ( 350980 * )
NEW met3 ( 341090 2227340 ) ( 342930 * )
NEW met3 ( 343850 2722380 ) ( 350980 * )
NEW met3 ( 350980 2722380 ) ( * 2723740 0 )
NEW met1 ( 804310 1355750 ) ( 2239050 * )
NEW met2 ( 2256530 897260 0 ) ( 2256990 * )
NEW met1 ( 15870 1787210 ) ( 217350 * )
NEW met2 ( 351670 1694390 ) ( * 1729580 )
NEW met2 ( 341090 1731620 ) ( * 2227340 )
NEW met2 ( 342930 2304600 ) ( 343850 * )
NEW met2 ( 342930 2227340 ) ( * 2304600 )
NEW met1 ( 351670 1694390 ) ( 493350 * )
NEW met1 ( 493350 1484950 ) ( 804310 * )
NEW met1 ( 2239050 906610 ) ( 2256990 * )
NEW met2 ( 2256990 897260 ) ( * 906610 )
NEW met2 ( 343850 2304600 ) ( * 2722380 )
NEW met1 ( 217350 1731790 ) M1M2_PR
NEW met1 ( 804310 1355750 ) M1M2_PR
NEW met1 ( 2239050 1355750 ) M1M2_PR
NEW met2 ( 15870 1792140 ) M2M3_PR
NEW met1 ( 15870 1787210 ) M1M2_PR
NEW met1 ( 217350 1787210 ) M1M2_PR
NEW met1 ( 493350 1484950 ) M1M2_PR
NEW met1 ( 493350 1694390 ) M1M2_PR
NEW met1 ( 804310 1484950 ) M1M2_PR
NEW met1 ( 2239050 906610 ) M1M2_PR
NEW met2 ( 341090 1731620 ) M2M3_PR
NEW met2 ( 351670 1729580 ) M2M3_PR
NEW met1 ( 341090 1731790 ) M1M2_PR
NEW met2 ( 342930 2227340 ) M2M3_PR
NEW met2 ( 341090 2227340 ) M2M3_PR
NEW met2 ( 343850 2722380 ) M2M3_PR
NEW met1 ( 351670 1694390 ) M1M2_PR
NEW met1 ( 2256990 906610 ) M1M2_PR
NEW met2 ( 493350 1484950 ) RECT ( -70 -485 70 0 )
NEW met2 ( 341090 1731790 ) RECT ( -70 -485 70 0 ) ;
- io_out[31] ( PIN io_out[31] ) ( wrapped_vga_clock_1 io_out[31] ) ( wrapped_rgb_mixer_3 io_out[31] ) ( wrapped_hack_soc_dffram_11 io_out[31] ) ( wrapped_function_generator_0 io_out[31] ) ( wrapped_frequency_counter_2 io_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 214130 1759670 ) ( * 1762730 )
NEW met2 ( 2242730 897260 ) ( 2245030 * 0 )
NEW met3 ( 1380 1531020 0 ) ( 17250 * )
NEW met2 ( 17250 1531020 ) ( * 1531190 )
NEW met2 ( 219650 1507390 ) ( * 1762730 )
NEW met3 ( 599380 1431740 0 ) ( 607890 * )
NEW met2 ( 607890 1431570 ) ( * 1431740 )
NEW met2 ( 2242730 897260 ) ( * 1410830 )
NEW met1 ( 37950 1759670 ) ( 214130 * )
NEW met3 ( 350980 1764940 0 ) ( * 1765620 )
NEW met3 ( 344770 1765620 ) ( 350980 * )
NEW met1 ( 214130 1762730 ) ( 344770 * )
NEW met1 ( 17250 1531190 ) ( 37950 * )
NEW met2 ( 37950 1531190 ) ( * 1759670 )
NEW met2 ( 344310 1821600 ) ( 344770 * )
NEW met2 ( 344770 1762730 ) ( * 1821600 )
NEW met2 ( 343850 2208000 ) ( 344310 * )
NEW met2 ( 344310 1821600 ) ( * 2208000 )
NEW met2 ( 343850 2272900 ) ( 344310 * )
NEW met3 ( 351900 2256580 ) ( * 2257940 0 )
NEW met3 ( 343850 2256580 ) ( 351900 * )
NEW met2 ( 343850 2208000 ) ( * 2272900 )
NEW met3 ( 344310 2753660 ) ( 350980 * )
NEW met3 ( 350980 2753660 ) ( * 2754340 0 )
NEW met1 ( 622150 1433950 ) ( 642850 * )
NEW met2 ( 642850 1410830 ) ( * 1433950 )
NEW met2 ( 622150 1431570 ) ( * 1433950 )
NEW met1 ( 607890 1431570 ) ( 622150 * )
NEW met1 ( 219650 1507390 ) ( 622150 * )
NEW met2 ( 622150 1433950 ) ( * 1507390 )
NEW met1 ( 642850 1410830 ) ( 2242730 * )
NEW met2 ( 344310 2272900 ) ( * 2753660 )
NEW met1 ( 214130 1762730 ) M1M2_PR
NEW met1 ( 214130 1759670 ) M1M2_PR
NEW met1 ( 219650 1762730 ) M1M2_PR
NEW met2 ( 17250 1531020 ) M2M3_PR
NEW met1 ( 17250 1531190 ) M1M2_PR
NEW met1 ( 219650 1507390 ) M1M2_PR
NEW met2 ( 607890 1431740 ) M2M3_PR
NEW met1 ( 607890 1431570 ) M1M2_PR
NEW met1 ( 2242730 1410830 ) M1M2_PR
NEW met1 ( 37950 1759670 ) M1M2_PR
NEW met1 ( 344770 1762730 ) M1M2_PR
NEW met2 ( 344770 1765620 ) M2M3_PR
NEW met1 ( 37950 1531190 ) M1M2_PR
NEW met2 ( 343850 2256580 ) M2M3_PR
NEW met2 ( 344310 2753660 ) M2M3_PR
NEW met1 ( 622150 1433950 ) M1M2_PR
NEW met1 ( 642850 1433950 ) M1M2_PR
NEW met1 ( 642850 1410830 ) M1M2_PR
NEW met1 ( 622150 1431570 ) M1M2_PR
NEW met1 ( 622150 1507390 ) M1M2_PR
NEW met1 ( 219650 1762730 ) RECT ( -595 -70 0 70 )
NEW met2 ( 344770 1765620 ) RECT ( -70 -485 70 0 )
NEW met2 ( 343850 2256580 ) RECT ( -70 0 70 485 ) ;
- io_out[32] ( PIN io_out[32] ) ( wrapped_vga_clock_1 io_out[32] ) ( wrapped_rgb_mixer_3 io_out[32] ) ( wrapped_hack_soc_dffram_11 io_out[32] ) ( wrapped_function_generator_0 io_out[32] ) ( wrapped_frequency_counter_2 io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1270580 0 ) ( 17250 * )
NEW met2 ( 17250 1270580 ) ( * 1276190 )
NEW met2 ( 2231690 897260 ) ( 2233530 * 0 )
NEW met2 ( 293250 1193910 ) ( * 1272790 )
NEW met2 ( 2228930 952200 ) ( 2231690 * )
NEW met2 ( 2231690 897260 ) ( * 952200 )
NEW met2 ( 2228930 952200 ) ( * 1169770 )
NEW met2 ( 165830 1272790 ) ( * 1276190 )
NEW met1 ( 17250 1276190 ) ( 165830 * )
NEW met1 ( 165830 1272790 ) ( 293250 * )
NEW met1 ( 172270 1918450 ) ( 343850 * )
NEW met1 ( 562810 1169770 ) ( 2228930 * )
NEW met2 ( 172270 1272790 ) ( * 1918450 )
NEW met3 ( 343850 1917260 ) ( 350980 * )
NEW met3 ( 350980 1917260 ) ( * 1917940 0 )
NEW met2 ( 342010 2014800 ) ( 343850 * )
NEW met2 ( 343850 1917260 ) ( * 2014800 )
NEW met3 ( 350980 2385100 ) ( * 2387140 0 )
NEW met3 ( 342010 2385100 ) ( 350980 * )
NEW met2 ( 342930 2385100 ) ( * 2449500 )
NEW met2 ( 342930 2449500 ) ( 343390 * )
NEW met2 ( 562810 1193910 ) ( * 1200185 0 )
NEW met1 ( 293250 1193910 ) ( 562810 * )
NEW met2 ( 562810 1169770 ) ( * 1193910 )
NEW met3 ( 343390 2882180 ) ( 350980 * )
NEW met3 ( 350980 2882180 ) ( * 2883540 0 )
NEW met2 ( 343390 2449500 ) ( * 2882180 )
NEW met2 ( 342010 2014800 ) ( * 2385100 )
NEW met2 ( 17250 1270580 ) M2M3_PR
NEW met1 ( 17250 1276190 ) M1M2_PR
NEW met1 ( 293250 1272790 ) M1M2_PR
NEW met1 ( 2228930 1169770 ) M1M2_PR
NEW met1 ( 293250 1193910 ) M1M2_PR
NEW met1 ( 165830 1272790 ) M1M2_PR
NEW met1 ( 165830 1276190 ) M1M2_PR
NEW met1 ( 172270 1272790 ) M1M2_PR
NEW met1 ( 172270 1918450 ) M1M2_PR
NEW met1 ( 343850 1918450 ) M1M2_PR
NEW met1 ( 562810 1169770 ) M1M2_PR
NEW met2 ( 343850 1917260 ) M2M3_PR
NEW met2 ( 342010 2385100 ) M2M3_PR
NEW met2 ( 342930 2385100 ) M2M3_PR
NEW met1 ( 562810 1193910 ) M1M2_PR
NEW met2 ( 343390 2882180 ) M2M3_PR
NEW met1 ( 172270 1272790 ) RECT ( -595 -70 0 70 )
NEW met2 ( 343850 1918450 ) RECT ( -70 0 70 485 )
NEW met3 ( 342930 2385100 ) RECT ( -800 -150 0 150 ) ;
- io_out[33] ( PIN io_out[33] ) ( wrapped_vga_clock_1 io_out[33] ) ( wrapped_rgb_mixer_3 io_out[33] ) ( wrapped_hack_soc_dffram_11 io_out[33] ) ( wrapped_function_generator_0 io_out[33] ) ( wrapped_frequency_counter_2 io_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1254940 0 ) ( 610650 * )
NEW met1 ( 592710 1728390 ) ( 610650 * )
NEW met2 ( 592710 1728390 ) ( * 1730940 )
NEW met3 ( 579140 1730940 0 ) ( 592710 * )
NEW met2 ( 2219730 897260 ) ( 2221570 * 0 )
NEW met3 ( 1380 1009460 0 ) ( 16330 * )
NEW met2 ( 16330 1009460 ) ( * 1024930 )
NEW met3 ( 499100 2279020 0 ) ( * 2280380 )
NEW met3 ( 499100 2280380 ) ( 511290 * )
NEW met2 ( 511290 2280380 ) ( * 2283270 )
NEW met2 ( 600990 1024930 ) ( * 1254940 )
NEW met2 ( 610650 1249330 ) ( * 1728390 )
NEW met2 ( 759690 1728730 ) ( * 2283950 )
NEW met2 ( 2215130 952200 ) ( 2219730 * )
NEW met2 ( 2219730 897260 ) ( * 952200 )
NEW met2 ( 2215130 952200 ) ( * 1231990 )
NEW met1 ( 610650 1249330 ) ( 621690 * )
NEW met1 ( 610650 1728390 ) ( 614100 * )
NEW met1 ( 614100 1728390 ) ( * 1728730 )
NEW met1 ( 614100 1728730 ) ( 759690 * )
NEW met1 ( 16330 1024930 ) ( 600990 * )
NEW met2 ( 531070 2283270 ) ( * 2283950 )
NEW met1 ( 511290 2283270 ) ( 531070 * )
NEW met3 ( 528540 2742100 ) ( 528770 * )
NEW met3 ( 528540 2742100 ) ( * 2744140 0 )
NEW met2 ( 621690 1231990 ) ( * 1249330 )
NEW met1 ( 531070 2283950 ) ( 759690 * )
NEW met1 ( 621690 1231990 ) ( 2215130 * )
NEW met2 ( 527850 2670300 ) ( 528770 * )
NEW met2 ( 528770 2670300 ) ( * 2742100 )
NEW met2 ( 527850 2283270 ) ( * 2670300 )
NEW met1 ( 610650 1249330 ) M1M2_PR
NEW met2 ( 610650 1254940 ) M2M3_PR
NEW met2 ( 600990 1254940 ) M2M3_PR
NEW met1 ( 610650 1728390 ) M1M2_PR
NEW met1 ( 592710 1728390 ) M1M2_PR
NEW met2 ( 592710 1730940 ) M2M3_PR
NEW met1 ( 759690 1728730 ) M1M2_PR
NEW met2 ( 16330 1009460 ) M2M3_PR
NEW met1 ( 16330 1024930 ) M1M2_PR
NEW met2 ( 511290 2280380 ) M2M3_PR
NEW met1 ( 511290 2283270 ) M1M2_PR
NEW met1 ( 600990 1024930 ) M1M2_PR
NEW met1 ( 759690 2283950 ) M1M2_PR
NEW met1 ( 2215130 1231990 ) M1M2_PR
NEW met1 ( 621690 1249330 ) M1M2_PR
NEW met1 ( 531070 2283270 ) M1M2_PR
NEW met1 ( 531070 2283950 ) M1M2_PR
NEW met1 ( 527850 2283270 ) M1M2_PR
NEW met2 ( 528770 2742100 ) M2M3_PR
NEW met1 ( 621690 1231990 ) M1M2_PR
NEW met2 ( 610650 1254940 ) RECT ( -70 -485 70 0 )
NEW met3 ( 600990 1254940 ) RECT ( -800 -150 0 150 )
NEW met1 ( 527850 2283270 ) RECT ( -595 -70 0 70 ) ;
- io_out[34] ( PIN io_out[34] ) ( wrapped_vga_clock_1 io_out[34] ) ( wrapped_rgb_mixer_3 io_out[34] ) ( wrapped_hack_soc_dffram_11 io_out[34] ) ( wrapped_function_generator_0 io_out[34] ) ( wrapped_frequency_counter_2 io_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2412980 ) ( * 2414850 )
NEW met3 ( 498180 2412980 ) ( 510830 * )
NEW met3 ( 498180 2412980 ) ( * 2414340 0 )
NEW met1 ( 686550 2414850 ) ( * 2415190 )
NEW met2 ( 2208230 897260 ) ( 2210070 * 0 )
NEW met3 ( 1380 749020 0 ) ( 17250 * )
NEW met2 ( 17250 749020 ) ( * 751910 )
NEW met2 ( 113850 751910 ) ( * 1452310 )
NEW met2 ( 593170 1890740 ) ( * 1890910 )
NEW met3 ( 579140 1890740 0 ) ( 593170 * )
NEW met2 ( 611110 1694390 ) ( * 1890910 )
NEW met2 ( 686550 2415190 ) ( * 2870450 )
NEW met2 ( 2208230 897260 ) ( * 1887170 )
NEW met2 ( 338790 1452310 ) ( * 1455540 )
NEW met3 ( 338790 1455540 ) ( 350060 * )
NEW met3 ( 350060 1455540 ) ( * 1456220 )
NEW met3 ( 350060 1456220 ) ( 350980 * )
NEW met3 ( 350980 1455540 0 ) ( * 1456220 )
NEW met1 ( 113850 1452310 ) ( 338790 * )
NEW met1 ( 510830 2414850 ) ( 686550 * )
NEW met1 ( 686550 2415190 ) ( 739910 * )
NEW met1 ( 17250 751910 ) ( 113850 * )
NEW met2 ( 330050 1452310 ) ( * 1604290 )
NEW met1 ( 330050 1604290 ) ( 562350 * )
NEW met2 ( 562350 1604290 ) ( * 1694390 )
NEW met1 ( 562350 1694390 ) ( 611110 * )
NEW met3 ( 529460 2873340 0 ) ( 544870 * )
NEW met2 ( 544870 2870450 ) ( * 2873340 )
NEW met1 ( 593170 1890910 ) ( 614100 * )
NEW met1 ( 614100 1890570 ) ( * 1890910 )
NEW met1 ( 544870 2870450 ) ( 686550 * )
NEW met2 ( 739910 1887170 ) ( * 1890570 )
NEW met1 ( 614100 1890570 ) ( 739910 * )
NEW met2 ( 739910 1890570 ) ( * 2415190 )
NEW met1 ( 739910 1887170 ) ( 2208230 * )
NEW met1 ( 113850 1452310 ) M1M2_PR
NEW met1 ( 510830 2414850 ) M1M2_PR
NEW met2 ( 510830 2412980 ) M2M3_PR
NEW met1 ( 686550 2415190 ) M1M2_PR
NEW met2 ( 17250 749020 ) M2M3_PR
NEW met1 ( 17250 751910 ) M1M2_PR
NEW met1 ( 113850 751910 ) M1M2_PR
NEW met1 ( 611110 1694390 ) M1M2_PR
NEW met1 ( 593170 1890910 ) M1M2_PR
NEW met2 ( 593170 1890740 ) M2M3_PR
NEW met1 ( 611110 1890910 ) M1M2_PR
NEW met1 ( 686550 2870450 ) M1M2_PR
NEW met1 ( 2208230 1887170 ) M1M2_PR
NEW met1 ( 338790 1452310 ) M1M2_PR
NEW met2 ( 338790 1455540 ) M2M3_PR
NEW met1 ( 330050 1452310 ) M1M2_PR
NEW met1 ( 739910 2415190 ) M1M2_PR
NEW met1 ( 330050 1604290 ) M1M2_PR
NEW met1 ( 562350 1604290 ) M1M2_PR
NEW met1 ( 562350 1694390 ) M1M2_PR
NEW met2 ( 544870 2873340 ) M2M3_PR
NEW met1 ( 544870 2870450 ) M1M2_PR
NEW met1 ( 739910 1890570 ) M1M2_PR
NEW met1 ( 739910 1887170 ) M1M2_PR
NEW met1 ( 686550 2415190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 611110 1890910 ) RECT ( -595 -70 0 70 )
NEW met1 ( 330050 1452310 ) RECT ( -595 -70 0 70 ) ;
- io_out[35] ( PIN io_out[35] ) ( wrapped_vga_clock_1 io_out[35] ) ( wrapped_rgb_mixer_3 io_out[35] ) ( wrapped_hack_soc_dffram_11 io_out[35] ) ( wrapped_function_generator_0 io_out[35] ) ( wrapped_frequency_counter_2 io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 487900 0 ) ( 14030 * )
NEW met2 ( 14030 487900 ) ( * 488750 )
NEW met1 ( 14030 488750 ) ( 24150 * )
NEW met3 ( 499100 2404820 0 ) ( * 2405500 )
NEW met3 ( 499100 2405500 ) ( 511750 * )
NEW met2 ( 511750 2405500 ) ( * 2408050 )
NEW met2 ( 24150 488750 ) ( * 1107210 )
NEW met2 ( 417850 1199180 ) ( * 1200185 0 )
NEW met2 ( 417450 1199180 ) ( 417850 * )
NEW met3 ( 579140 1877140 0 ) ( 593170 * )
NEW met2 ( 593170 1877140 ) ( * 1883430 )
NEW met2 ( 859050 907970 ) ( * 1142910 )
NEW met2 ( 643310 2404650 ) ( * 2408050 )
NEW met1 ( 511750 2408050 ) ( 643310 * )
NEW met1 ( 643310 2404650 ) ( 731630 * )
NEW met2 ( 2197190 897260 ) ( 2198570 * 0 )
NEW met1 ( 24150 1107210 ) ( 417450 * )
NEW met3 ( 529460 2863820 0 ) ( 544870 * )
NEW met2 ( 544870 2863650 ) ( * 2863820 )
NEW met1 ( 544870 2863650 ) ( 643310 * )
NEW met2 ( 643310 2408050 ) ( * 2863650 )
NEW met2 ( 745430 1142910 ) ( * 1145290 )
NEW met1 ( 593170 1883430 ) ( 745430 * )
NEW met2 ( 745430 1145290 ) ( * 1883430 )
NEW met2 ( 731630 1883430 ) ( * 2404650 )
NEW met1 ( 745430 1142910 ) ( 859050 * )
NEW met1 ( 859050 907970 ) ( 2197190 * )
NEW met2 ( 2197190 897260 ) ( * 907970 )
NEW met2 ( 417450 1107210 ) ( * 1199180 )
NEW met1 ( 417450 1145290 ) ( 745430 * )
NEW met2 ( 14030 487900 ) M2M3_PR
NEW met1 ( 14030 488750 ) M1M2_PR
NEW met1 ( 24150 488750 ) M1M2_PR
NEW met2 ( 511750 2405500 ) M2M3_PR
NEW met1 ( 511750 2408050 ) M1M2_PR
NEW met1 ( 24150 1107210 ) M1M2_PR
NEW met1 ( 417450 1107210 ) M1M2_PR
NEW met2 ( 593170 1877140 ) M2M3_PR
NEW met1 ( 593170 1883430 ) M1M2_PR
NEW met1 ( 859050 907970 ) M1M2_PR
NEW met1 ( 859050 1142910 ) M1M2_PR
NEW met1 ( 643310 2408050 ) M1M2_PR
NEW met1 ( 643310 2404650 ) M1M2_PR
NEW met1 ( 731630 2404650 ) M1M2_PR
NEW met2 ( 544870 2863820 ) M2M3_PR
NEW met1 ( 544870 2863650 ) M1M2_PR
NEW met1 ( 643310 2863650 ) M1M2_PR
NEW met1 ( 745430 1145290 ) M1M2_PR
NEW met1 ( 745430 1142910 ) M1M2_PR
NEW met1 ( 745430 1883430 ) M1M2_PR
NEW met1 ( 731630 1883430 ) M1M2_PR
NEW met1 ( 2197190 907970 ) M1M2_PR
NEW met1 ( 417450 1145290 ) M1M2_PR
NEW met1 ( 731630 1883430 ) RECT ( -595 -70 0 70 )
NEW met2 ( 417450 1145290 ) RECT ( -70 -485 70 0 ) ;
- io_out[36] ( PIN io_out[36] ) ( wrapped_vga_clock_1 io_out[36] ) ( wrapped_rgb_mixer_3 io_out[36] ) ( wrapped_hack_soc_dffram_11 io_out[36] ) ( wrapped_function_generator_0 io_out[36] ) ( wrapped_frequency_counter_2 io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 292740 0 ) ( 15410 * )
NEW met2 ( 15410 292740 ) ( * 296650 )
NEW met2 ( 393530 2908530 ) ( * 2908700 )
NEW met2 ( 393530 2908700 ) ( 395140 * 0 )
NEW met2 ( 485530 1459815 0 ) ( * 1463020 )
NEW met2 ( 485530 1463020 ) ( * 1466250 )
NEW met2 ( 106950 296650 ) ( * 1466250 )
NEW met2 ( 198950 1956530 ) ( * 2460070 )
NEW met1 ( 15410 296650 ) ( 106950 * )
NEW met2 ( 262430 1953810 ) ( * 1956530 )
NEW met1 ( 198950 1956530 ) ( 262430 * )
NEW met1 ( 372600 2908530 ) ( 393530 * )
NEW met1 ( 372600 2905470 ) ( * 2908530 )
NEW met1 ( 106950 1466250 ) ( 485530 * )
NEW met2 ( 422510 1929500 ) ( 424350 * 0 )
NEW met2 ( 422510 1929500 ) ( * 1953810 )
NEW met1 ( 262430 1953810 ) ( 422510 * )
NEW met2 ( 2184770 897260 ) ( 2186610 * 0 )
NEW met3 ( 485530 1463020 ) ( 2180630 * )
NEW met2 ( 266110 1466250 ) ( * 1953810 )
NEW met2 ( 240350 2460070 ) ( * 2905470 )
NEW met2 ( 361330 2449700 ) ( 362940 * 0 )
NEW met2 ( 361330 2449700 ) ( * 2460070 )
NEW met1 ( 198950 2460070 ) ( 361330 * )
NEW met2 ( 2180630 952200 ) ( 2184770 * )
NEW met2 ( 2184770 897260 ) ( * 952200 )
NEW met2 ( 2180630 952200 ) ( * 1463020 )
NEW met1 ( 240350 2905470 ) ( 372600 * )
NEW met2 ( 15410 292740 ) M2M3_PR
NEW met1 ( 15410 296650 ) M1M2_PR
NEW met1 ( 106950 296650 ) M1M2_PR
NEW met1 ( 106950 1466250 ) M1M2_PR
NEW met1 ( 198950 1956530 ) M1M2_PR
NEW met1 ( 393530 2908530 ) M1M2_PR
NEW met2 ( 485530 1463020 ) M2M3_PR
NEW met1 ( 485530 1466250 ) M1M2_PR
NEW met1 ( 198950 2460070 ) M1M2_PR
NEW met1 ( 266110 1466250 ) M1M2_PR
NEW met1 ( 262430 1953810 ) M1M2_PR
NEW met1 ( 262430 1956530 ) M1M2_PR
NEW met1 ( 266110 1953810 ) M1M2_PR
NEW met1 ( 240350 2905470 ) M1M2_PR
NEW met1 ( 422510 1953810 ) M1M2_PR
NEW met2 ( 2180630 1463020 ) M2M3_PR
NEW met1 ( 240350 2460070 ) M1M2_PR
NEW met1 ( 361330 2460070 ) M1M2_PR
NEW met1 ( 266110 1466250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 266110 1953810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 240350 2460070 ) RECT ( -595 -70 0 70 ) ;
- io_out[37] ( PIN io_out[37] ) ( wrapped_vga_clock_1 io_out[37] ) ( wrapped_rgb_mixer_3 io_out[37] ) ( wrapped_hack_soc_dffram_11 io_out[37] ) ( wrapped_function_generator_0 io_out[37] ) ( wrapped_frequency_counter_2 io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 96900 0 ) ( 17250 * )
NEW met2 ( 17250 96900 ) ( * 103190 )
NEW met2 ( 591790 1459815 0 ) ( * 1464380 )
NEW met2 ( 286350 103190 ) ( * 1464380 )
NEW met2 ( 599150 1435200 ) ( * 1462510 )
NEW met2 ( 599150 1435200 ) ( 600070 * )
NEW met2 ( 600070 1341810 ) ( * 1435200 )
NEW met3 ( 579140 1907740 0 ) ( 593170 * )
NEW met2 ( 593170 1907570 ) ( * 1907740 )
NEW met2 ( 676890 1462510 ) ( * 2432190 )
NEW met1 ( 17250 103190 ) ( 286350 * )
NEW met3 ( 286350 1464380 ) ( 591790 * )
NEW met3 ( 529460 2888980 ) ( * 2890340 0 )
NEW met3 ( 529460 2888980 ) ( 531070 * )
NEW met1 ( 591790 1462510 ) ( 676890 * )
NEW met2 ( 2173730 897260 ) ( 2175110 * 0 )
NEW met1 ( 600070 1341810 ) ( 2173730 * )
NEW met1 ( 593170 1907570 ) ( 676890 * )
NEW met2 ( 2173730 897260 ) ( * 1341810 )
NEW met2 ( 517270 2432190 ) ( * 2432700 )
NEW met3 ( 499100 2432700 ) ( 517270 * )
NEW met3 ( 499100 2432020 0 ) ( * 2432700 )
NEW met2 ( 531070 2432190 ) ( * 2888980 )
NEW met1 ( 517270 2432190 ) ( 676890 * )
NEW met2 ( 17250 96900 ) M2M3_PR
NEW met1 ( 17250 103190 ) M1M2_PR
NEW met1 ( 286350 103190 ) M1M2_PR
NEW met2 ( 286350 1464380 ) M2M3_PR
NEW met1 ( 600070 1341810 ) M1M2_PR
NEW met2 ( 591790 1464380 ) M2M3_PR
NEW met1 ( 591790 1462510 ) M1M2_PR
NEW met1 ( 599150 1462510 ) M1M2_PR
NEW met1 ( 676890 1462510 ) M1M2_PR
NEW met1 ( 676890 2432190 ) M1M2_PR
NEW met2 ( 593170 1907740 ) M2M3_PR
NEW met1 ( 593170 1907570 ) M1M2_PR
NEW met1 ( 676890 1907570 ) M1M2_PR
NEW met2 ( 531070 2888980 ) M2M3_PR
NEW met1 ( 2173730 1341810 ) M1M2_PR
NEW met1 ( 517270 2432190 ) M1M2_PR
NEW met2 ( 517270 2432700 ) M2M3_PR
NEW met1 ( 531070 2432190 ) M1M2_PR
NEW met2 ( 591790 1462510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 599150 1462510 ) RECT ( -595 -70 0 70 )
NEW met2 ( 676890 1907570 ) RECT ( -70 -485 70 0 )
NEW met1 ( 531070 2432190 ) RECT ( -595 -70 0 70 ) ;
- io_out[3] ( PIN io_out[3] ) ( wrapped_vga_clock_1 io_out[3] ) ( wrapped_rgb_mixer_3 io_out[3] ) ( wrapped_hack_soc_dffram_11 io_out[3] ) ( wrapped_function_generator_0 io_out[3] ) ( wrapped_frequency_counter_2 io_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 576610 1459620 ) ( 578850 * 0 )
NEW met2 ( 2516430 700230 ) ( * 707030 )
NEW met2 ( 2900990 696660 ) ( * 700230 )
NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
NEW met2 ( 576610 1459620 ) ( * 1631830 )
NEW met1 ( 330050 1631830 ) ( 576610 * )
NEW met1 ( 576610 1470670 ) ( 618930 * )
NEW met3 ( 2471580 704140 0 ) ( 2484230 * )
NEW met1 ( 2484230 707030 ) ( 2516430 * )
NEW met1 ( 2516430 700230 ) ( 2900990 * )
NEW met2 ( 172270 2373370 ) ( * 2863990 )
NEW met2 ( 338330 1897710 ) ( * 1898900 )
NEW met3 ( 338330 1898900 ) ( 350980 * )
NEW met3 ( 350980 1898900 ) ( * 1900940 0 )
NEW met1 ( 321310 1897710 ) ( 338330 * )
NEW met2 ( 330050 1631830 ) ( * 1897710 )
NEW met2 ( 618930 1390090 ) ( * 1470670 )
NEW met1 ( 618930 1390090 ) ( 2484230 * )
NEW met2 ( 2484230 704140 ) ( * 1390090 )
NEW met2 ( 338330 2863990 ) ( * 2867220 )
NEW met3 ( 338330 2867220 ) ( 350980 * )
NEW met3 ( 350980 2867220 ) ( * 2869940 0 )
NEW met1 ( 172270 2863990 ) ( 338330 * )
NEW met1 ( 324070 2373370 ) ( * 2373710 )
NEW met1 ( 324070 2373710 ) ( 338330 * )
NEW met2 ( 338330 2373710 ) ( * 2374900 )
NEW met3 ( 338330 2374900 ) ( 350980 * )
NEW met3 ( 350980 2374220 0 ) ( * 2374900 )
NEW met1 ( 172270 2373370 ) ( 324070 * )
NEW met2 ( 321310 1897710 ) ( * 2373370 )
NEW met1 ( 576610 1470670 ) M1M2_PR
NEW met1 ( 576610 1631830 ) M1M2_PR
NEW met1 ( 2516430 707030 ) M1M2_PR
NEW met1 ( 2516430 700230 ) M1M2_PR
NEW met1 ( 2900990 700230 ) M1M2_PR
NEW met2 ( 2900990 696660 ) M2M3_PR
NEW met1 ( 321310 1897710 ) M1M2_PR
NEW met1 ( 330050 1631830 ) M1M2_PR
NEW met1 ( 618930 1470670 ) M1M2_PR
NEW met2 ( 2484230 704140 ) M2M3_PR
NEW met1 ( 2484230 707030 ) M1M2_PR
NEW met1 ( 172270 2373370 ) M1M2_PR
NEW met1 ( 172270 2863990 ) M1M2_PR
NEW met1 ( 338330 1897710 ) M1M2_PR
NEW met2 ( 338330 1898900 ) M2M3_PR
NEW met1 ( 330050 1897710 ) M1M2_PR
NEW met1 ( 618930 1390090 ) M1M2_PR
NEW met1 ( 2484230 1390090 ) M1M2_PR
NEW met1 ( 338330 2863990 ) M1M2_PR
NEW met2 ( 338330 2867220 ) M2M3_PR
NEW met1 ( 338330 2373710 ) M1M2_PR
NEW met2 ( 338330 2374900 ) M2M3_PR
NEW met1 ( 321310 2373370 ) M1M2_PR
NEW met2 ( 576610 1470670 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2484230 707030 ) RECT ( -70 -485 70 0 )
NEW met1 ( 330050 1897710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 321310 2373370 ) RECT ( -595 -70 0 70 ) ;
- io_out[4] ( PIN io_out[4] ) ( wrapped_vga_clock_1 io_out[4] ) ( wrapped_rgb_mixer_3 io_out[4] ) ( wrapped_hack_soc_dffram_11 io_out[4] ) ( wrapped_function_generator_0 io_out[4] ) ( wrapped_frequency_counter_2 io_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 895900 ) ( 2917780 * 0 )
NEW met3 ( 499100 2370820 0 ) ( * 2371500 )
NEW met3 ( 499100 2371500 ) ( 510830 * )
NEW met2 ( 510830 2371500 ) ( * 2373370 )
NEW met2 ( 760610 1473050 ) ( * 1838550 )
NEW met2 ( 2515050 703630 ) ( * 727770 )
NEW met2 ( 2629130 727770 ) ( * 731170 )
NEW met2 ( 2629130 731170 ) ( * 1473050 )
NEW met2 ( 2901450 731170 ) ( * 895900 )
NEW met2 ( 549930 1459815 0 ) ( * 1473050 )
NEW met3 ( 529460 2829140 0 ) ( 544870 * )
NEW met2 ( 544870 2829140 ) ( * 2829310 )
NEW met2 ( 756010 1838550 ) ( * 1842290 )
NEW met1 ( 756010 1838550 ) ( 760610 * )
NEW met1 ( 544870 2829310 ) ( 711390 * )
NEW met3 ( 2471580 698700 0 ) ( 2484230 * )
NEW met2 ( 2484230 698700 ) ( * 703630 )
NEW met1 ( 2484230 703630 ) ( 2515050 * )
NEW met1 ( 549930 1473050 ) ( 2629130 * )
NEW met1 ( 510830 2373370 ) ( 753250 * )
NEW met2 ( 753250 1842290 ) ( * 2373370 )
NEW met2 ( 711390 2373370 ) ( * 2829310 )
NEW met1 ( 2515050 727770 ) ( 2629130 * )
NEW met1 ( 2629130 731170 ) ( 2901450 * )
NEW met3 ( 579140 1836340 0 ) ( 587190 * )
NEW met2 ( 587190 1836340 ) ( * 1842290 )
NEW met1 ( 587190 1842290 ) ( 756010 * )
NEW met1 ( 760610 1473050 ) M1M2_PR
NEW met1 ( 760610 1838550 ) M1M2_PR
NEW met1 ( 2515050 703630 ) M1M2_PR
NEW met1 ( 2629130 1473050 ) M1M2_PR
NEW met2 ( 2901450 895900 ) M2M3_PR
NEW met2 ( 510830 2371500 ) M2M3_PR
NEW met1 ( 510830 2373370 ) M1M2_PR
NEW met1 ( 2515050 727770 ) M1M2_PR
NEW met1 ( 2629130 731170 ) M1M2_PR
NEW met1 ( 2629130 727770 ) M1M2_PR
NEW met1 ( 2901450 731170 ) M1M2_PR
NEW met1 ( 549930 1473050 ) M1M2_PR
NEW met2 ( 544870 2829140 ) M2M3_PR
NEW met1 ( 544870 2829310 ) M1M2_PR
NEW met1 ( 756010 1838550 ) M1M2_PR
NEW met1 ( 756010 1842290 ) M1M2_PR
NEW met1 ( 753250 1842290 ) M1M2_PR
NEW met1 ( 711390 2829310 ) M1M2_PR
NEW met2 ( 2484230 698700 ) M2M3_PR
NEW met1 ( 2484230 703630 ) M1M2_PR
NEW met1 ( 753250 2373370 ) M1M2_PR
NEW met1 ( 711390 2373370 ) M1M2_PR
NEW met2 ( 587190 1836340 ) M2M3_PR
NEW met1 ( 587190 1842290 ) M1M2_PR
NEW met1 ( 760610 1473050 ) RECT ( -595 -70 0 70 )
NEW met1 ( 753250 1842290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 711390 2373370 ) RECT ( -595 -70 0 70 ) ;
- io_out[5] ( PIN io_out[5] ) ( wrapped_vga_clock_1 io_out[5] ) ( wrapped_rgb_mixer_3 io_out[5] ) ( wrapped_hack_soc_dffram_11 io_out[5] ) ( wrapped_function_generator_0 io_out[5] ) ( wrapped_frequency_counter_2 io_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 400430 1459815 ) ( 401810 * 0 )
NEW met2 ( 2900990 1093610 ) ( * 1095140 )
NEW met3 ( 2900990 1095140 ) ( 2917780 * 0 )
NEW met2 ( 289110 2674950 ) ( * 2912270 )
NEW met2 ( 400430 1459815 ) ( * 1549550 )
NEW met2 ( 392150 2449700 0 ) ( * 2475030 )
NEW met1 ( 376050 2475030 ) ( 392150 * )
NEW met2 ( 392150 2475030 ) ( * 2477410 )
NEW met2 ( 376050 2475030 ) ( * 2674950 )
NEW met2 ( 607890 1556350 ) ( * 1960610 )
NEW met2 ( 796950 1279930 ) ( * 1556350 )
NEW met2 ( 2604750 696830 ) ( * 1279930 )
NEW met2 ( 455630 1549550 ) ( * 1556350 )
NEW met1 ( 400430 1549550 ) ( 455630 * )
NEW met1 ( 457930 1960610 ) ( 462070 * )
NEW met2 ( 457930 1929500 ) ( * 1960610 )
NEW met2 ( 456550 1929500 0 ) ( 457930 * )
NEW met2 ( 422510 2909380 ) ( 424120 * 0 )
NEW met2 ( 422510 2909380 ) ( * 2912270 )
NEW met1 ( 289110 2912270 ) ( 422510 * )
NEW met1 ( 462070 1960610 ) ( 607890 * )
NEW met1 ( 455630 1556350 ) ( 796950 * )
NEW met3 ( 2471580 693260 0 ) ( 2484230 * )
NEW met2 ( 2484230 693260 ) ( * 696830 )
NEW met1 ( 2484230 696830 ) ( 2604750 * )
NEW met1 ( 796950 1279930 ) ( 2604750 * )
NEW met1 ( 2604750 1093610 ) ( 2900990 * )
NEW met1 ( 289110 2674950 ) ( 376050 * )
NEW met1 ( 462070 2203370 ) ( * 2204730 )
NEW met2 ( 462070 1960610 ) ( * 2203370 )
NEW met1 ( 392150 2477410 ) ( 560510 * )
NEW met2 ( 560510 2204730 ) ( * 2477410 )
NEW met1 ( 462070 2204730 ) ( 560510 * )
NEW met1 ( 289110 2912270 ) M1M2_PR
NEW met1 ( 400430 1549550 ) M1M2_PR
NEW met1 ( 607890 1556350 ) M1M2_PR
NEW met1 ( 607890 1960610 ) M1M2_PR
NEW met1 ( 796950 1279930 ) M1M2_PR
NEW met1 ( 796950 1556350 ) M1M2_PR
NEW met1 ( 2604750 696830 ) M1M2_PR
NEW met1 ( 2604750 1093610 ) M1M2_PR
NEW met1 ( 2604750 1279930 ) M1M2_PR
NEW met1 ( 2900990 1093610 ) M1M2_PR
NEW met2 ( 2900990 1095140 ) M2M3_PR
NEW met1 ( 289110 2674950 ) M1M2_PR
NEW met1 ( 392150 2475030 ) M1M2_PR
NEW met1 ( 376050 2475030 ) M1M2_PR
NEW met1 ( 392150 2477410 ) M1M2_PR
NEW met1 ( 376050 2674950 ) M1M2_PR
NEW met1 ( 455630 1549550 ) M1M2_PR
NEW met1 ( 455630 1556350 ) M1M2_PR
NEW met1 ( 462070 1960610 ) M1M2_PR
NEW met1 ( 457930 1960610 ) M1M2_PR
NEW met1 ( 422510 2912270 ) M1M2_PR
NEW met2 ( 2484230 693260 ) M2M3_PR
NEW met1 ( 2484230 696830 ) M1M2_PR
NEW met1 ( 462070 2203370 ) M1M2_PR
NEW met1 ( 560510 2204730 ) M1M2_PR
NEW met1 ( 560510 2477410 ) M1M2_PR
NEW met1 ( 607890 1556350 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2604750 1093610 ) RECT ( -70 -485 70 0 ) ;
- io_out[6] ( PIN io_out[6] ) ( wrapped_vga_clock_1 io_out[6] ) ( wrapped_rgb_mixer_3 io_out[6] ) ( wrapped_hack_soc_dffram_11 io_out[6] ) ( wrapped_function_generator_0 io_out[6] ) ( wrapped_frequency_counter_2 io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 385710 1459815 0 ) ( * 1466590 )
NEW met2 ( 571550 1928820 ) ( 572470 * 0 )
NEW met2 ( 571550 1928820 ) ( * 1952450 )
NEW met1 ( 571550 1946670 ) ( 594090 * )
NEW met2 ( 491970 2449700 0 ) ( * 2457010 )
NEW met2 ( 594090 1694730 ) ( * 1946670 )
NEW met2 ( 572470 2457010 ) ( * 2460410 )
NEW met2 ( 567410 2240430 ) ( * 2457010 )
NEW met2 ( 2900990 1290470 ) ( * 1294380 )
NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
NEW met1 ( 565800 1466590 ) ( * 1466930 )
NEW met1 ( 565570 1466930 ) ( 565800 * )
NEW met1 ( 385710 1466590 ) ( 565800 * )
NEW met1 ( 537510 1952450 ) ( 571550 * )
NEW met1 ( 537510 2240430 ) ( 567410 * )
NEW met2 ( 522330 2909380 ) ( * 2919070 )
NEW met2 ( 520950 2909380 0 ) ( 522330 * )
NEW met1 ( 565800 1466930 ) ( 631350 * )
NEW met1 ( 522330 2919070 ) ( 628590 * )
NEW met3 ( 2471580 687140 0 ) ( 2484230 * )
NEW met2 ( 2484230 687140 ) ( * 689690 )
NEW met1 ( 2484230 689690 ) ( 2739530 * )
NEW met2 ( 565570 1466930 ) ( * 1694730 )
NEW met1 ( 565570 1694730 ) ( 594090 * )
NEW met2 ( 631350 1293530 ) ( * 1466930 )
NEW met1 ( 572470 2460410 ) ( 628590 * )
NEW met2 ( 628590 2460410 ) ( * 2919070 )
NEW met2 ( 2739530 1290470 ) ( * 1293530 )
NEW met1 ( 631350 1293530 ) ( 2739530 * )
NEW met2 ( 2739530 689690 ) ( * 1290470 )
NEW met1 ( 2739530 1290470 ) ( 2900990 * )
NEW met2 ( 537510 1952450 ) ( * 2240430 )
NEW met1 ( 491970 2457010 ) ( 572470 * )
NEW met1 ( 385710 1466590 ) M1M2_PR
NEW met1 ( 571550 1952450 ) M1M2_PR
NEW met1 ( 594090 1946670 ) M1M2_PR
NEW met1 ( 571550 1946670 ) M1M2_PR
NEW met1 ( 567410 2240430 ) M1M2_PR
NEW met1 ( 491970 2457010 ) M1M2_PR
NEW met1 ( 594090 1694730 ) M1M2_PR
NEW met1 ( 572470 2457010 ) M1M2_PR
NEW met1 ( 572470 2460410 ) M1M2_PR
NEW met1 ( 567410 2457010 ) M1M2_PR
NEW met1 ( 2900990 1290470 ) M1M2_PR
NEW met2 ( 2900990 1294380 ) M2M3_PR
NEW met1 ( 565570 1466930 ) M1M2_PR
NEW met1 ( 537510 1952450 ) M1M2_PR
NEW met1 ( 537510 2240430 ) M1M2_PR
NEW met1 ( 522330 2919070 ) M1M2_PR
NEW met1 ( 631350 1466930 ) M1M2_PR
NEW met1 ( 628590 2919070 ) M1M2_PR
NEW met2 ( 2484230 687140 ) M2M3_PR
NEW met1 ( 2484230 689690 ) M1M2_PR
NEW met1 ( 2739530 689690 ) M1M2_PR
NEW met1 ( 565570 1694730 ) M1M2_PR
NEW met1 ( 631350 1293530 ) M1M2_PR
NEW met1 ( 628590 2460410 ) M1M2_PR
NEW met1 ( 2739530 1290470 ) M1M2_PR
NEW met1 ( 2739530 1293530 ) M1M2_PR
NEW met2 ( 571550 1946670 ) RECT ( -70 -485 70 0 )
NEW met1 ( 567410 2457010 ) RECT ( -595 -70 0 70 ) ;
- io_out[7] ( PIN io_out[7] ) ( wrapped_vga_clock_1 io_out[7] ) ( wrapped_rgb_mixer_3 io_out[7] ) ( wrapped_hack_soc_dffram_11 io_out[7] ) ( wrapped_function_generator_0 io_out[7] ) ( wrapped_frequency_counter_2 io_out[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1370540 0 ) ( 607890 * )
NEW met2 ( 607890 1370540 ) ( * 1372750 )
NEW met2 ( 2697670 1552610 ) ( * 1555670 )
NEW met2 ( 2900990 1555670 ) ( * 1560260 )
NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
NEW met2 ( 183310 1790270 ) ( * 2276810 )
NEW met2 ( 589950 1558730 ) ( * 1659370 )
NEW met2 ( 2691230 682890 ) ( * 1552610 )
NEW met1 ( 274850 1659370 ) ( 589950 * )
NEW met1 ( 607890 1372750 ) ( 621690 * )
NEW met2 ( 627670 1552610 ) ( * 1558730 )
NEW met1 ( 621690 1552610 ) ( 627670 * )
NEW met1 ( 589950 1558730 ) ( 627670 * )
NEW met3 ( 2471580 681700 0 ) ( 2484230 * )
NEW met2 ( 2484230 681700 ) ( * 682890 )
NEW met1 ( 2484230 682890 ) ( 2691230 * )
NEW met1 ( 627670 1552610 ) ( 2697670 * )
NEW met1 ( 2697670 1555670 ) ( 2900990 * )
NEW met2 ( 178710 2276810 ) ( * 2280210 )
NEW met1 ( 178710 2276810 ) ( 183310 * )
NEW met2 ( 178710 2280210 ) ( * 2773890 )
NEW met2 ( 269330 1787210 ) ( * 1790270 )
NEW met1 ( 183310 1790270 ) ( 269330 * )
NEW met2 ( 274850 1659370 ) ( * 1787210 )
NEW met2 ( 338790 1787210 ) ( * 1790780 )
NEW met3 ( 338790 1790780 ) ( 350980 * )
NEW met3 ( 350980 1790780 ) ( * 1792140 0 )
NEW met1 ( 269330 1787210 ) ( 338790 * )
NEW met2 ( 338330 2279700 ) ( * 2280210 )
NEW met3 ( 338330 2279700 ) ( 350980 * )
NEW met3 ( 350980 2279020 0 ) ( * 2279700 )
NEW met1 ( 178710 2280210 ) ( 338330 * )
NEW met2 ( 338790 2773890 ) ( * 2776780 )
NEW met3 ( 338790 2776780 ) ( 350980 * )
NEW met3 ( 350980 2776780 ) ( * 2778140 0 )
NEW met1 ( 178710 2773890 ) ( 338790 * )
NEW met2 ( 621690 1372750 ) ( * 1552610 )
NEW met2 ( 607890 1370540 ) M2M3_PR
NEW met1 ( 607890 1372750 ) M1M2_PR
NEW met1 ( 589950 1558730 ) M1M2_PR
NEW met1 ( 589950 1659370 ) M1M2_PR
NEW met1 ( 2691230 682890 ) M1M2_PR
NEW met1 ( 2697670 1552610 ) M1M2_PR
NEW met1 ( 2697670 1555670 ) M1M2_PR
NEW met1 ( 2691230 1552610 ) M1M2_PR
NEW met1 ( 2900990 1555670 ) M1M2_PR
NEW met2 ( 2900990 1560260 ) M2M3_PR
NEW met1 ( 183310 1790270 ) M1M2_PR
NEW met1 ( 183310 2276810 ) M1M2_PR
NEW met1 ( 274850 1659370 ) M1M2_PR
NEW met1 ( 621690 1372750 ) M1M2_PR
NEW met1 ( 627670 1558730 ) M1M2_PR
NEW met1 ( 627670 1552610 ) M1M2_PR
NEW met1 ( 621690 1552610 ) M1M2_PR
NEW met2 ( 2484230 681700 ) M2M3_PR
NEW met1 ( 2484230 682890 ) M1M2_PR
NEW met1 ( 178710 2280210 ) M1M2_PR
NEW met1 ( 178710 2276810 ) M1M2_PR
NEW met1 ( 178710 2773890 ) M1M2_PR
NEW met1 ( 269330 1787210 ) M1M2_PR
NEW met1 ( 269330 1790270 ) M1M2_PR
NEW met1 ( 274850 1787210 ) M1M2_PR
NEW met1 ( 338790 1787210 ) M1M2_PR
NEW met2 ( 338790 1790780 ) M2M3_PR
NEW met1 ( 338330 2280210 ) M1M2_PR
NEW met2 ( 338330 2279700 ) M2M3_PR
NEW met1 ( 338790 2773890 ) M1M2_PR
NEW met2 ( 338790 2776780 ) M2M3_PR
NEW met1 ( 2691230 1552610 ) RECT ( 0 -70 595 70 )
NEW met1 ( 274850 1787210 ) RECT ( -595 -70 0 70 ) ;
- io_out[8] ( PIN io_out[8] ) ( wrapped_vga_clock_1 io_out[8] ) ( wrapped_rgb_mixer_3 io_out[8] ) ( wrapped_hack_soc_dffram_11 io_out[8] ) ( wrapped_function_generator_0 io_out[8] ) ( wrapped_frequency_counter_2 io_out[8] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 1825460 ) ( 2917780 * 0 )
NEW met4 ( 295780 1193060 ) ( * 1480020 )
NEW met2 ( 489670 1690310 ) ( * 1693710 )
NEW met2 ( 483690 1480020 ) ( * 1690310 )
NEW met2 ( 488750 2183650 ) ( * 2190790 )
NEW met2 ( 2532530 682550 ) ( * 1693710 )
NEW met2 ( 2901450 1693710 ) ( * 1825460 )
NEW met3 ( 295780 1193060 ) ( 363170 * )
NEW met3 ( 295780 1480020 ) ( 483690 * )
NEW met2 ( 424350 2700620 ) ( 424385 * 0 )
NEW met1 ( 542570 2322030 ) ( 560050 * )
NEW met1 ( 537050 1935790 ) ( 622150 * )
NEW met3 ( 2471580 676260 0 ) ( 2485150 * )
NEW met2 ( 2485150 676260 ) ( * 682550 )
NEW met1 ( 2485150 682550 ) ( 2532530 * )
NEW met2 ( 363170 1193060 ) ( * 1200185 0 )
NEW met2 ( 437230 1690310 ) ( * 1700340 0 )
NEW met1 ( 437230 1690310 ) ( 489670 * )
NEW met2 ( 424350 2190790 ) ( * 2200140 0 )
NEW met1 ( 424350 2190790 ) ( 488750 * )
NEW met2 ( 424350 2660330 ) ( * 2700620 )
NEW met1 ( 488750 2183650 ) ( 542570 * )
NEW met2 ( 537050 1935790 ) ( * 2183650 )
NEW met1 ( 424350 2660330 ) ( 560050 * )
NEW met2 ( 560050 2322030 ) ( * 2660330 )
NEW met2 ( 622150 1693710 ) ( * 1935790 )
NEW met1 ( 489670 1693710 ) ( 2901450 * )
NEW met2 ( 542570 2183650 ) ( * 2322030 )
NEW met3 ( 295780 1193060 ) M3M4_PR
NEW met3 ( 295780 1480020 ) M3M4_PR
NEW met2 ( 483690 1480020 ) M2M3_PR
NEW met1 ( 2532530 682550 ) M1M2_PR
NEW met2 ( 2901450 1825460 ) M2M3_PR
NEW met1 ( 489670 1690310 ) M1M2_PR
NEW met1 ( 489670 1693710 ) M1M2_PR
NEW met1 ( 483690 1690310 ) M1M2_PR
NEW met1 ( 488750 2190790 ) M1M2_PR
NEW met1 ( 488750 2183650 ) M1M2_PR
NEW met1 ( 2532530 1693710 ) M1M2_PR
NEW met1 ( 2901450 1693710 ) M1M2_PR
NEW met2 ( 363170 1193060 ) M2M3_PR
NEW met1 ( 537050 1935790 ) M1M2_PR
NEW met1 ( 542570 2322030 ) M1M2_PR
NEW met1 ( 560050 2322030 ) M1M2_PR
NEW met1 ( 622150 1935790 ) M1M2_PR
NEW met2 ( 2485150 676260 ) M2M3_PR
NEW met1 ( 2485150 682550 ) M1M2_PR
NEW met1 ( 437230 1690310 ) M1M2_PR
NEW met1 ( 424350 2190790 ) M1M2_PR
NEW met1 ( 424350 2660330 ) M1M2_PR
NEW met1 ( 542570 2183650 ) M1M2_PR
NEW met1 ( 537050 2183650 ) M1M2_PR
NEW met1 ( 560050 2660330 ) M1M2_PR
NEW met1 ( 622150 1693710 ) M1M2_PR
NEW met1 ( 483690 1690310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 2532530 1693710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 537050 2183650 ) RECT ( -595 -70 0 70 )
NEW met1 ( 622150 1693710 ) RECT ( -595 -70 0 70 ) ;
- io_out[9] ( PIN io_out[9] ) ( wrapped_vga_clock_1 io_out[9] ) ( wrapped_rgb_mixer_3 io_out[9] ) ( wrapped_hack_soc_dffram_11 io_out[9] ) ( wrapped_function_generator_0 io_out[9] ) ( wrapped_frequency_counter_2 io_out[9] ) + USE SIGNAL
+ ROUTED met1 ( 386630 1471690 ) ( 390310 * )
NEW met2 ( 390310 1459620 ) ( * 1471690 )
NEW met2 ( 390310 1459620 ) ( 392090 * 0 )
NEW met2 ( 313950 1766470 ) ( * 2256070 )
NEW met1 ( 313950 2256070 ) ( * 2256410 )
NEW met2 ( 386630 1471690 ) ( * 1652570 )
NEW met2 ( 2625450 676090 ) ( * 2093890 )
NEW met2 ( 2900070 2091340 ) ( * 2093890 )
NEW met3 ( 2900070 2091340 ) ( 2917780 * 0 )
NEW met2 ( 2898230 2093890 ) ( * 2142170 )
NEW met1 ( 233910 2256070 ) ( 313950 * )
NEW met1 ( 336950 1652570 ) ( 386630 * )
NEW met2 ( 338330 1766470 ) ( * 1769020 )
NEW met3 ( 338330 1769020 ) ( 350980 * )
NEW met3 ( 350980 1769020 ) ( * 1771740 0 )
NEW met1 ( 313950 1766470 ) ( 338330 * )
NEW met3 ( 2471580 670820 0 ) ( 2484230 * )
NEW met2 ( 2484230 670820 ) ( * 676090 )
NEW met1 ( 2484230 676090 ) ( 2625450 * )
NEW met1 ( 346150 2142170 ) ( 2898230 * )
NEW met2 ( 233910 2256070 ) ( * 2753150 )
NEW met2 ( 336950 1652570 ) ( * 1766470 )
NEW met3 ( 350980 2259300 ) ( * 2261340 0 )
NEW met3 ( 346150 2259300 ) ( 350980 * )
NEW met2 ( 346150 2256410 ) ( * 2259300 )
NEW met1 ( 313950 2256410 ) ( 346150 * )
NEW met2 ( 346150 2142170 ) ( * 2256410 )
NEW met2 ( 338330 2753150 ) ( * 2756380 )
NEW met3 ( 338330 2756380 ) ( 350980 * )
NEW met3 ( 350980 2756380 ) ( * 2757740 0 )
NEW met1 ( 233910 2753150 ) ( 338330 * )
NEW met1 ( 2625450 2093890 ) ( 2900070 * )
NEW met1 ( 313950 1766470 ) M1M2_PR
NEW met1 ( 313950 2256070 ) M1M2_PR
NEW met1 ( 386630 1471690 ) M1M2_PR
NEW met1 ( 390310 1471690 ) M1M2_PR
NEW met1 ( 386630 1652570 ) M1M2_PR
NEW met1 ( 2625450 676090 ) M1M2_PR
NEW met1 ( 2898230 2142170 ) M1M2_PR
NEW met1 ( 2625450 2093890 ) M1M2_PR
NEW met1 ( 2900070 2093890 ) M1M2_PR
NEW met2 ( 2900070 2091340 ) M2M3_PR
NEW met1 ( 2898230 2093890 ) M1M2_PR
NEW met1 ( 233910 2256070 ) M1M2_PR
NEW met1 ( 336950 1652570 ) M1M2_PR
NEW met1 ( 338330 1766470 ) M1M2_PR
NEW met2 ( 338330 1769020 ) M2M3_PR
NEW met1 ( 336950 1766470 ) M1M2_PR
NEW met1 ( 346150 2142170 ) M1M2_PR
NEW met2 ( 2484230 670820 ) M2M3_PR
NEW met1 ( 2484230 676090 ) M1M2_PR
NEW met1 ( 233910 2753150 ) M1M2_PR
NEW met1 ( 346150 2256410 ) M1M2_PR
NEW met2 ( 346150 2259300 ) M2M3_PR
NEW met1 ( 338330 2753150 ) M1M2_PR
NEW met2 ( 338330 2756380 ) M2M3_PR
NEW met1 ( 2898230 2093890 ) RECT ( -595 -70 0 70 )
NEW met1 ( 336950 1766470 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[0] ( PIN la_data_in[0] ) ( wrapped_function_generator_0 active ) + USE SIGNAL
+ ROUTED met3 ( 599380 1360340 0 ) ( 607890 * )
NEW met2 ( 607890 1359490 ) ( * 1360340 )
NEW met2 ( 629510 1700 0 ) ( * 18190 )
NEW met1 ( 629510 18190 ) ( 824550 * )
NEW met1 ( 824550 478210 ) ( 828230 * )
NEW met3 ( 824550 870060 ) ( 828230 * )
NEW met1 ( 607890 1359490 ) ( 824550 * )
NEW met2 ( 824550 18190 ) ( * 478210 )
NEW met2 ( 828230 478210 ) ( * 870060 )
NEW met2 ( 824550 870060 ) ( * 1359490 )
NEW met2 ( 607890 1360340 ) M2M3_PR
NEW met1 ( 607890 1359490 ) M1M2_PR
NEW met1 ( 629510 18190 ) M1M2_PR
NEW met1 ( 824550 18190 ) M1M2_PR
NEW met1 ( 824550 478210 ) M1M2_PR
NEW met1 ( 828230 478210 ) M1M2_PR
NEW met2 ( 824550 870060 ) M2M3_PR
NEW met2 ( 828230 870060 ) M2M3_PR
NEW met1 ( 824550 1359490 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( wrapped_hack_soc_dffram_11 active ) + USE SIGNAL
+ ROUTED met2 ( 822250 1700 ) ( 824550 * 0 )
NEW met1 ( 2073450 484670 ) ( 2102890 * )
NEW met2 ( 2102890 484670 ) ( * 500140 0 )
NEW met2 ( 822250 1700 ) ( * 72250 )
NEW met1 ( 822250 72250 ) ( 2073450 * )
NEW met2 ( 2073450 72250 ) ( * 484670 )
NEW met1 ( 2073450 484670 ) M1M2_PR
NEW met1 ( 2102890 484670 ) M1M2_PR
NEW met1 ( 822250 72250 ) M1M2_PR
NEW met1 ( 2073450 72250 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) ( wrapped_vga_clock_1 active ) + USE SIGNAL
+ ROUTED met2 ( 206770 11050 ) ( * 1911310 )
NEW met2 ( 646990 1700 0 ) ( * 11050 )
NEW met1 ( 206770 11050 ) ( 646990 * )
NEW met2 ( 338330 1911310 ) ( * 1912500 )
NEW met3 ( 338330 1912500 ) ( 350980 * )
NEW met3 ( 350980 1912500 ) ( * 1914540 0 )
NEW met1 ( 206770 1911310 ) ( 338330 * )
NEW met1 ( 206770 11050 ) M1M2_PR
NEW met1 ( 206770 1911310 ) M1M2_PR
NEW met1 ( 646990 11050 ) M1M2_PR
NEW met1 ( 338330 1911310 ) M1M2_PR
NEW met2 ( 338330 1912500 ) M2M3_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( wrapped_frequency_counter_2 active ) + USE SIGNAL
+ ROUTED met2 ( 662630 82800 ) ( 664930 * )
NEW met2 ( 664930 1700 0 ) ( * 82800 )
NEW met2 ( 662630 82800 ) ( * 265710 )
NEW met2 ( 179170 265710 ) ( * 2380510 )
NEW met2 ( 338330 2380510 ) ( * 2381700 )
NEW met3 ( 338330 2381700 ) ( 352820 * )
NEW met3 ( 352820 2381700 ) ( * 2383740 0 )
NEW met1 ( 179170 2380510 ) ( 338330 * )
NEW met1 ( 179170 265710 ) ( 662630 * )
NEW met1 ( 662630 265710 ) M1M2_PR
NEW met1 ( 179170 265710 ) M1M2_PR
NEW met1 ( 179170 2380510 ) M1M2_PR
NEW met1 ( 338330 2380510 ) M1M2_PR
NEW met2 ( 338330 2381700 ) M2M3_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) ( wb_openram_wrapper writable_port_req ) + USE SIGNAL
+ ROUTED met2 ( 1179210 1700 0 ) ( * 15130 )
NEW met1 ( 1179210 15130 ) ( 1190250 * )
NEW met3 ( 1244300 481100 0 ) ( 1256030 * )
NEW met2 ( 1190250 15130 ) ( * 459170 )
NEW met2 ( 1256030 459170 ) ( * 481100 )
NEW met1 ( 1190250 459170 ) ( 1256030 * )
NEW met1 ( 1179210 15130 ) M1M2_PR
NEW met1 ( 1190250 15130 ) M1M2_PR
NEW met2 ( 1256030 481100 ) M2M3_PR
NEW met1 ( 1190250 459170 ) M1M2_PR
NEW met1 ( 1256030 459170 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( wrapped_vga_clock_1 la1_data_in[0] ) ( wrapped_rgb_mixer_3 la1_data_in[0] ) ( wrapped_hack_soc_dffram_11 la1_data_in[0] ) ( wrapped_frequency_counter_2 la1_data_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1737740 0 ) ( 587190 * )
NEW met2 ( 587190 1737740 ) ( * 1738590 )
NEW met3 ( 499100 2282420 0 ) ( * 2283100 )
NEW met3 ( 499100 2283100 ) ( 510830 * )
NEW met2 ( 510830 2283100 ) ( * 2283610 )
NEW met2 ( 701730 2280210 ) ( * 2283610 )
NEW met2 ( 698050 2283610 ) ( * 2746350 )
NEW met2 ( 748650 1735530 ) ( * 1738590 )
NEW met1 ( 587190 1738590 ) ( 748650 * )
NEW met1 ( 748650 1735530 ) ( 1079850 * )
NEW met2 ( 1196690 1700 0 ) ( * 17170 )
NEW met1 ( 1079850 17170 ) ( 1432210 * )
NEW met1 ( 2270330 484670 ) ( 2275390 * )
NEW met2 ( 2275390 484670 ) ( * 500140 )
NEW met2 ( 2275390 500140 ) ( 2276770 * 0 )
NEW met3 ( 529460 2747540 0 ) ( 544870 * )
NEW met2 ( 544870 2746350 ) ( * 2747540 )
NEW met1 ( 510830 2283610 ) ( 701730 * )
NEW met1 ( 544870 2746350 ) ( 698050 * )
NEW met1 ( 701730 2280210 ) ( 745890 * )
NEW met2 ( 745890 1738590 ) ( * 2280210 )
NEW met2 ( 1432210 17170 ) ( * 79730 )
NEW met1 ( 1432210 79730 ) ( 2270330 * )
NEW met2 ( 2270330 79730 ) ( * 484670 )
NEW met2 ( 1079850 17170 ) ( * 1735530 )
NEW met2 ( 587190 1737740 ) M2M3_PR
NEW met1 ( 587190 1738590 ) M1M2_PR
NEW met1 ( 1079850 17170 ) M1M2_PR
NEW met1 ( 1079850 1735530 ) M1M2_PR
NEW met2 ( 510830 2283100 ) M2M3_PR
NEW met1 ( 510830 2283610 ) M1M2_PR
NEW met1 ( 701730 2280210 ) M1M2_PR
NEW met1 ( 701730 2283610 ) M1M2_PR
NEW met1 ( 698050 2283610 ) M1M2_PR
NEW met1 ( 698050 2746350 ) M1M2_PR
NEW met1 ( 748650 1735530 ) M1M2_PR
NEW met1 ( 748650 1738590 ) M1M2_PR
NEW met1 ( 745890 1738590 ) M1M2_PR
NEW met1 ( 1196690 17170 ) M1M2_PR
NEW met1 ( 1432210 17170 ) M1M2_PR
NEW met1 ( 2270330 484670 ) M1M2_PR
NEW met1 ( 2275390 484670 ) M1M2_PR
NEW met2 ( 544870 2747540 ) M2M3_PR
NEW met1 ( 544870 2746350 ) M1M2_PR
NEW met1 ( 745890 2280210 ) M1M2_PR
NEW met1 ( 1432210 79730 ) M1M2_PR
NEW met1 ( 2270330 79730 ) M1M2_PR
NEW met1 ( 698050 2283610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 745890 1738590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1196690 17170 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[33] ( PIN la_data_in[33] ) ( wrapped_vga_clock_1 la1_data_in[1] ) ( wrapped_rgb_mixer_3 la1_data_in[1] ) ( wrapped_hack_soc_dffram_11 la1_data_in[1] ) ( wrapped_frequency_counter_2 la1_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 288650 1682490 ) ( * 1970470 )
NEW met2 ( 417450 1970470 ) ( * 2197590 )
NEW met2 ( 417450 2481490 ) ( * 2684130 )
NEW met2 ( 1356310 17850 ) ( * 86190 )
NEW met2 ( 427570 2700620 ) ( 427605 * 0 )
NEW met1 ( 1086750 17510 ) ( 1193700 * )
NEW met1 ( 1193700 17510 ) ( * 17850 )
NEW met1 ( 1193700 17850 ) ( 1221070 * )
NEW met1 ( 1221070 17510 ) ( * 17850 )
NEW met2 ( 1214630 1700 0 ) ( * 11900 )
NEW met2 ( 1214630 11900 ) ( 1215090 * )
NEW met2 ( 1215090 11900 ) ( * 17850 )
NEW met1 ( 1338600 17850 ) ( 1356310 * )
NEW met1 ( 1338600 17510 ) ( * 17850 )
NEW met1 ( 1221070 17510 ) ( 1338600 * )
NEW met1 ( 1356310 86190 ) ( 2270790 * )
NEW met2 ( 268410 2197590 ) ( * 2481490 )
NEW met1 ( 288650 1970470 ) ( 417450 * )
NEW met1 ( 268410 2481490 ) ( 417450 * )
NEW met2 ( 443750 1698980 ) ( 445050 * )
NEW met2 ( 443750 1698980 ) ( * 1700340 0 )
NEW met1 ( 288650 1682490 ) ( 445050 * )
NEW met2 ( 445050 1611090 ) ( * 1698980 )
NEW met2 ( 428950 2197590 ) ( * 2200140 )
NEW met2 ( 428950 2200140 ) ( 430790 * 0 )
NEW met1 ( 268410 2197590 ) ( 428950 * )
NEW met1 ( 417450 2684130 ) ( 427570 * )
NEW met2 ( 427570 2684130 ) ( * 2700620 )
NEW met1 ( 445050 1611090 ) ( 1086750 * )
NEW met2 ( 2270790 86190 ) ( * 500140 0 )
NEW met2 ( 1086750 17510 ) ( * 1611090 )
NEW met1 ( 1086750 17510 ) M1M2_PR
NEW met1 ( 1356310 17850 ) M1M2_PR
NEW met1 ( 1356310 86190 ) M1M2_PR
NEW met1 ( 288650 1682490 ) M1M2_PR
NEW met1 ( 288650 1970470 ) M1M2_PR
NEW met1 ( 417450 1970470 ) M1M2_PR
NEW met1 ( 417450 2197590 ) M1M2_PR
NEW met1 ( 417450 2481490 ) M1M2_PR
NEW met1 ( 417450 2684130 ) M1M2_PR
NEW met1 ( 1086750 1611090 ) M1M2_PR
NEW met1 ( 1215090 17850 ) M1M2_PR
NEW met1 ( 2270790 86190 ) M1M2_PR
NEW met1 ( 268410 2197590 ) M1M2_PR
NEW met1 ( 268410 2481490 ) M1M2_PR
NEW met1 ( 445050 1611090 ) M1M2_PR
NEW met1 ( 445050 1682490 ) M1M2_PR
NEW met1 ( 428950 2197590 ) M1M2_PR
NEW met1 ( 427570 2684130 ) M1M2_PR
NEW met1 ( 417450 2197590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1215090 17850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 445050 1682490 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[34] ( PIN la_data_in[34] ) ( wrapped_vga_clock_1 la1_data_in[2] ) ( wrapped_rgb_mixer_3 la1_data_in[2] ) ( wrapped_hack_soc_dffram_11 la1_data_in[2] ) ( wrapped_frequency_counter_2 la1_data_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 395370 2700620 ) ( 395405 * 0 )
NEW met2 ( 295090 2186030 ) ( * 2453270 )
NEW met2 ( 403650 1698980 ) ( 404800 * )
NEW met2 ( 404800 1698980 ) ( * 1700340 0 )
NEW met2 ( 403650 1625030 ) ( * 1698980 )
NEW met2 ( 395370 2186030 ) ( * 2200140 0 )
NEW met2 ( 394450 1928650 ) ( * 2186030 )
NEW met2 ( 395370 2453270 ) ( * 2700620 )
NEW met2 ( 990150 20570 ) ( * 1625030 )
NEW met1 ( 233450 1669570 ) ( 403650 * )
NEW met1 ( 233450 1928650 ) ( 394450 * )
NEW met1 ( 990150 20570 ) ( 1232110 * )
NEW met1 ( 1231650 93330 ) ( 2263430 * )
NEW met2 ( 2263430 500140 ) ( 2264810 * 0 )
NEW met2 ( 233450 1669570 ) ( * 1928650 )
NEW met1 ( 295090 2186030 ) ( 395370 * )
NEW met1 ( 295090 2453270 ) ( 395370 * )
NEW met1 ( 403650 1625030 ) ( 990150 * )
NEW met2 ( 1231650 82800 ) ( * 93330 )
NEW met2 ( 1231650 82800 ) ( 1232110 * )
NEW met2 ( 1232110 1700 0 ) ( * 82800 )
NEW met2 ( 2263430 93330 ) ( * 500140 )
NEW met1 ( 403650 1669570 ) M1M2_PR
NEW met1 ( 394450 1928650 ) M1M2_PR
NEW met1 ( 990150 20570 ) M1M2_PR
NEW met1 ( 295090 2186030 ) M1M2_PR
NEW met1 ( 295090 2453270 ) M1M2_PR
NEW met1 ( 403650 1625030 ) M1M2_PR
NEW met1 ( 395370 2186030 ) M1M2_PR
NEW met1 ( 394450 2186030 ) M1M2_PR
NEW met1 ( 395370 2453270 ) M1M2_PR
NEW met1 ( 990150 1625030 ) M1M2_PR
NEW met1 ( 233450 1669570 ) M1M2_PR
NEW met1 ( 233450 1928650 ) M1M2_PR
NEW met1 ( 1232110 20570 ) M1M2_PR
NEW met1 ( 1231650 93330 ) M1M2_PR
NEW met1 ( 2263430 93330 ) M1M2_PR
NEW met2 ( 403650 1669570 ) RECT ( -70 -485 70 0 )
NEW met1 ( 394450 2186030 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1232110 20570 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[35] ( PIN la_data_in[35] ) ( wrapped_vga_clock_1 la1_data_in[3] ) ( wrapped_rgb_mixer_3 la1_data_in[3] ) ( wrapped_hack_soc_dffram_11 la1_data_in[3] ) ( wrapped_frequency_counter_2 la1_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 1254190 100130 ) ( * 103190 )
NEW met2 ( 1249130 82800 ) ( * 103190 )
NEW met2 ( 1249130 82800 ) ( 1250050 * )
NEW met2 ( 1250050 1700 0 ) ( * 82800 )
NEW met3 ( 336950 1848580 ) ( 350980 * )
NEW met3 ( 350980 1846540 0 ) ( * 1848580 )
NEW met3 ( 351900 2326620 0 ) ( * 2327980 )
NEW met3 ( 338330 2327980 ) ( 351900 * )
NEW met2 ( 337870 2327980 ) ( 338330 * )
NEW met3 ( 336950 2822340 ) ( 350060 * )
NEW met3 ( 350060 2821660 ) ( * 2822340 )
NEW met3 ( 350060 2821660 ) ( 350980 * )
NEW met3 ( 350980 2821660 ) ( * 2822340 0 )
NEW met1 ( 1231650 103190 ) ( 1254190 * )
NEW met1 ( 1010850 396950 ) ( 1231650 * )
NEW met1 ( 1254190 100130 ) ( 2256530 * )
NEW met2 ( 2256530 500140 ) ( 2258830 * 0 )
NEW met2 ( 337870 2304600 ) ( * 2327980 )
NEW met2 ( 336950 2304600 ) ( 337870 * )
NEW met2 ( 336950 1848580 ) ( * 2304600 )
NEW met1 ( 336950 1987470 ) ( 1010850 * )
NEW met2 ( 1010850 396950 ) ( * 1987470 )
NEW met2 ( 1231650 103190 ) ( * 396950 )
NEW met2 ( 2256530 100130 ) ( * 500140 )
NEW met2 ( 337870 2327980 ) ( * 2332200 )
NEW met2 ( 336950 2332200 ) ( 337870 * )
NEW met2 ( 336950 2332200 ) ( * 2822340 )
NEW met1 ( 1254190 103190 ) M1M2_PR
NEW met1 ( 1254190 100130 ) M1M2_PR
NEW met1 ( 1249130 103190 ) M1M2_PR
NEW met2 ( 336950 1848580 ) M2M3_PR
NEW met2 ( 338330 2327980 ) M2M3_PR
NEW met2 ( 336950 2822340 ) M2M3_PR
NEW met1 ( 1010850 396950 ) M1M2_PR
NEW met1 ( 1231650 103190 ) M1M2_PR
NEW met1 ( 1231650 396950 ) M1M2_PR
NEW met1 ( 2256530 100130 ) M1M2_PR
NEW met1 ( 336950 1987470 ) M1M2_PR
NEW met1 ( 1010850 1987470 ) M1M2_PR
NEW met1 ( 1249130 103190 ) RECT ( -595 -70 0 70 )
NEW met2 ( 336950 1987470 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[36] ( PIN la_data_in[36] ) ( wrapped_vga_clock_1 la1_data_in[4] ) ( wrapped_rgb_mixer_3 la1_data_in[4] ) ( wrapped_hack_soc_dffram_11 la1_data_in[4] ) ( wrapped_frequency_counter_2 la1_data_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 503010 2908530 ) ( * 2908700 )
NEW met2 ( 501630 2908700 0 ) ( 503010 * )
NEW met1 ( 1262930 107950 ) ( 1267070 * )
NEW met2 ( 2249630 500140 ) ( 2252850 * 0 )
NEW met1 ( 469430 2456670 ) ( 496570 * )
NEW met2 ( 469430 2449700 0 ) ( * 2456670 )
NEW met2 ( 496570 2446980 ) ( * 2456670 )
NEW met2 ( 586730 2442050 ) ( * 2905810 )
NEW met2 ( 1267530 1700 0 ) ( * 34500 )
NEW met2 ( 1262930 34500 ) ( 1267530 * )
NEW met2 ( 1262930 34500 ) ( * 107950 )
NEW met2 ( 1267070 107950 ) ( * 458830 )
NEW met2 ( 2249630 110330 ) ( * 500140 )
NEW met2 ( 548090 1929500 ) ( * 1939530 )
NEW met2 ( 546710 1929500 0 ) ( 548090 * )
NEW met2 ( 545330 2442050 ) ( * 2446980 )
NEW met1 ( 545330 2442050 ) ( 586730 * )
NEW met1 ( 503010 2908530 ) ( 517500 * )
NEW met1 ( 517500 2905810 ) ( * 2908530 )
NEW met1 ( 517500 2905810 ) ( 586730 * )
NEW met1 ( 548090 1939530 ) ( 1003950 * )
NEW met1 ( 1267070 110330 ) ( 2249630 * )
NEW met2 ( 551770 1939530 ) ( * 2442050 )
NEW met2 ( 1003950 458830 ) ( * 1939530 )
NEW met1 ( 1003950 458830 ) ( 1267070 * )
NEW met3 ( 496570 2446980 ) ( 545330 * )
NEW met2 ( 496570 2446980 ) M2M3_PR
NEW met1 ( 503010 2908530 ) M1M2_PR
NEW met1 ( 586730 2442050 ) M1M2_PR
NEW met1 ( 586730 2905810 ) M1M2_PR
NEW met1 ( 1267070 107950 ) M1M2_PR
NEW met1 ( 1262930 107950 ) M1M2_PR
NEW met1 ( 1267070 110330 ) M1M2_PR
NEW met1 ( 2249630 110330 ) M1M2_PR
NEW met1 ( 496570 2456670 ) M1M2_PR
NEW met1 ( 469430 2456670 ) M1M2_PR
NEW met1 ( 1267070 458830 ) M1M2_PR
NEW met1 ( 548090 1939530 ) M1M2_PR
NEW met1 ( 551770 1939530 ) M1M2_PR
NEW met1 ( 545330 2442050 ) M1M2_PR
NEW met2 ( 545330 2446980 ) M2M3_PR
NEW met1 ( 551770 2442050 ) M1M2_PR
NEW met1 ( 1003950 1939530 ) M1M2_PR
NEW met1 ( 1003950 458830 ) M1M2_PR
NEW met2 ( 1267070 110330 ) RECT ( -70 -485 70 0 )
NEW met1 ( 551770 1939530 ) RECT ( -595 -70 0 70 )
NEW met1 ( 551770 2442050 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[37] ( PIN la_data_in[37] ) ( wrapped_vga_clock_1 la1_data_in[5] ) ( wrapped_rgb_mixer_3 la1_data_in[5] ) ( wrapped_hack_soc_dffram_11 la1_data_in[5] ) ( wrapped_frequency_counter_2 la1_data_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2350420 0 ) ( * 2351100 )
NEW met3 ( 499100 2351100 ) ( 510830 * )
NEW met2 ( 510830 2351100 ) ( * 2352630 )
NEW met2 ( 1285470 1700 0 ) ( * 27370 )
NEW met2 ( 2242730 500140 ) ( 2246870 * 0 )
NEW met2 ( 765670 1811010 ) ( * 1814410 )
NEW met2 ( 760150 1814410 ) ( * 2349570 )
NEW met2 ( 955650 66130 ) ( * 1811010 )
NEW met2 ( 1287310 27370 ) ( * 66130 )
NEW met2 ( 2242730 27370 ) ( * 500140 )
NEW met3 ( 529460 2812140 0 ) ( 544870 * )
NEW met2 ( 544870 2808570 ) ( * 2812140 )
NEW met2 ( 650210 2349570 ) ( * 2352630 )
NEW met1 ( 510830 2352630 ) ( 650210 * )
NEW met1 ( 544870 2808570 ) ( 650210 * )
NEW met1 ( 650210 2349570 ) ( 760150 * )
NEW met1 ( 1285470 27370 ) ( 2242730 * )
NEW met2 ( 650210 2352630 ) ( * 2808570 )
NEW met1 ( 765670 1811010 ) ( 955650 * )
NEW met1 ( 955650 66130 ) ( 1287310 * )
NEW met3 ( 579140 1812540 0 ) ( 586730 * )
NEW met2 ( 586730 1812540 ) ( * 1814410 )
NEW met1 ( 586730 1814410 ) ( 765670 * )
NEW met2 ( 510830 2351100 ) M2M3_PR
NEW met1 ( 510830 2352630 ) M1M2_PR
NEW met1 ( 760150 2349570 ) M1M2_PR
NEW met1 ( 1285470 27370 ) M1M2_PR
NEW met1 ( 1287310 27370 ) M1M2_PR
NEW met1 ( 2242730 27370 ) M1M2_PR
NEW met1 ( 765670 1814410 ) M1M2_PR
NEW met1 ( 765670 1811010 ) M1M2_PR
NEW met1 ( 760150 1814410 ) M1M2_PR
NEW met1 ( 955650 66130 ) M1M2_PR
NEW met1 ( 955650 1811010 ) M1M2_PR
NEW met1 ( 1287310 66130 ) M1M2_PR
NEW met2 ( 544870 2812140 ) M2M3_PR
NEW met1 ( 544870 2808570 ) M1M2_PR
NEW met1 ( 650210 2352630 ) M1M2_PR
NEW met1 ( 650210 2349570 ) M1M2_PR
NEW met1 ( 650210 2808570 ) M1M2_PR
NEW met2 ( 586730 1812540 ) M2M3_PR
NEW met1 ( 586730 1814410 ) M1M2_PR
NEW met1 ( 1287310 27370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 760150 1814410 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[38] ( PIN la_data_in[38] ) ( wrapped_vga_clock_1 la1_data_in[6] ) ( wrapped_rgb_mixer_3 la1_data_in[6] ) ( wrapped_hack_soc_dffram_11 la1_data_in[6] ) ( wrapped_frequency_counter_2 la1_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 412850 2909380 ) ( * 2919580 )
NEW met2 ( 411470 2909380 0 ) ( 412850 * )
NEW met2 ( 2235830 500140 ) ( 2240890 * 0 )
NEW met2 ( 407790 2465170 ) ( * 2481150 )
NEW met1 ( 379270 2465170 ) ( 407790 * )
NEW met2 ( 379270 2449700 0 ) ( * 2465170 )
NEW met1 ( 580750 2477410 ) ( 607890 * )
NEW met2 ( 607890 1962990 ) ( * 2477410 )
NEW met2 ( 580750 2477410 ) ( * 2804830 )
NEW met2 ( 2235830 114070 ) ( * 500140 )
NEW met2 ( 440450 1929500 0 ) ( 441370 * )
NEW met2 ( 441370 1929500 ) ( * 1962990 )
NEW met1 ( 441370 1962990 ) ( 607890 * )
NEW met1 ( 534750 2804830 ) ( 580750 * )
NEW met3 ( 412850 2919580 ) ( 534750 * )
NEW met1 ( 607890 1962990 ) ( 934950 * )
NEW met2 ( 1303410 1700 0 ) ( * 17850 )
NEW met1 ( 1297430 17850 ) ( 1303410 * )
NEW met1 ( 934950 114070 ) ( 2235830 * )
NEW met1 ( 407790 2481150 ) ( 580750 * )
NEW met2 ( 534750 2804830 ) ( * 2919580 )
NEW met2 ( 934950 114070 ) ( * 1962990 )
NEW met2 ( 1297430 17850 ) ( * 114070 )
NEW met2 ( 412850 2919580 ) M2M3_PR
NEW met1 ( 607890 1962990 ) M1M2_PR
NEW met1 ( 580750 2804830 ) M1M2_PR
NEW met1 ( 2235830 114070 ) M1M2_PR
NEW met1 ( 407790 2481150 ) M1M2_PR
NEW met1 ( 407790 2465170 ) M1M2_PR
NEW met1 ( 379270 2465170 ) M1M2_PR
NEW met1 ( 580750 2477410 ) M1M2_PR
NEW met1 ( 607890 2477410 ) M1M2_PR
NEW met1 ( 580750 2481150 ) M1M2_PR
NEW met1 ( 441370 1962990 ) M1M2_PR
NEW met1 ( 534750 2804830 ) M1M2_PR
NEW met2 ( 534750 2919580 ) M2M3_PR
NEW met1 ( 934950 114070 ) M1M2_PR
NEW met1 ( 934950 1962990 ) M1M2_PR
NEW met1 ( 1303410 17850 ) M1M2_PR
NEW met1 ( 1297430 17850 ) M1M2_PR
NEW met1 ( 1297430 114070 ) M1M2_PR
NEW met2 ( 580750 2481150 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1297430 114070 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[39] ( PIN la_data_in[39] ) ( wrapped_vga_clock_1 la1_data_in[7] ) ( wrapped_rgb_mixer_3 la1_data_in[7] ) ( wrapped_hack_soc_dffram_11 la1_data_in[7] ) ( wrapped_frequency_counter_2 la1_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 2229850 500140 ) ( 2234910 * 0 )
NEW met2 ( 2229850 120870 ) ( * 500140 )
NEW met2 ( 338330 2787660 ) ( * 2787830 )
NEW met3 ( 338330 2787660 ) ( 350980 * )
NEW met3 ( 350980 2787660 ) ( * 2788340 0 )
NEW met1 ( 151570 2787830 ) ( 338330 * )
NEW met2 ( 1320890 1700 0 ) ( 1323190 * )
NEW met2 ( 1318590 120700 ) ( * 120870 )
NEW met3 ( 1317900 120700 ) ( 1318590 * )
NEW met3 ( 327060 1631660 ) ( 1317900 * )
NEW met1 ( 1318590 120870 ) ( 2229850 * )
NEW met1 ( 166750 2290750 ) ( * 2291430 )
NEW met1 ( 151570 2290750 ) ( 166750 * )
NEW met2 ( 169050 1800300 ) ( * 2291430 )
NEW met2 ( 151570 2290750 ) ( * 2787830 )
NEW met4 ( 329820 1800300 ) ( * 1805060 )
NEW met3 ( 329820 1805060 ) ( 350980 * )
NEW met3 ( 350980 1805060 ) ( * 1805740 0 )
NEW met3 ( 169050 1800300 ) ( 329820 * )
NEW met4 ( 327060 1631660 ) ( * 1800300 )
NEW met2 ( 338330 2291430 ) ( * 2293300 )
NEW met3 ( 338330 2293300 ) ( 350980 * )
NEW met3 ( 350980 2292620 0 ) ( * 2293300 )
NEW met1 ( 166750 2291430 ) ( 338330 * )
NEW met3 ( 1317900 58820 ) ( 1323190 * )
NEW met2 ( 1323190 1700 ) ( * 58820 )
NEW met4 ( 1317900 58820 ) ( * 1631660 )
NEW met1 ( 2229850 120870 ) M1M2_PR
NEW met1 ( 151570 2787830 ) M1M2_PR
NEW met3 ( 327060 1631660 ) M3M4_PR
NEW met1 ( 338330 2787830 ) M1M2_PR
NEW met2 ( 338330 2787660 ) M2M3_PR
NEW met1 ( 1318590 120870 ) M1M2_PR
NEW met2 ( 1318590 120700 ) M2M3_PR
NEW met3 ( 1317900 120700 ) M3M4_PR
NEW met3 ( 1317900 1631660 ) M3M4_PR
NEW met2 ( 169050 1800300 ) M2M3_PR
NEW met1 ( 151570 2290750 ) M1M2_PR
NEW met1 ( 169050 2291430 ) M1M2_PR
NEW met3 ( 329820 1800300 ) M3M4_PR
NEW met3 ( 329820 1805060 ) M3M4_PR
NEW met3 ( 327060 1800300 ) M3M4_PR
NEW met1 ( 338330 2291430 ) M1M2_PR
NEW met2 ( 338330 2293300 ) M2M3_PR
NEW met3 ( 1317900 58820 ) M3M4_PR
NEW met2 ( 1323190 58820 ) M2M3_PR
NEW met4 ( 1317900 120700 ) RECT ( -150 -800 150 0 )
NEW met1 ( 169050 2291430 ) RECT ( -595 -70 0 70 )
NEW met3 ( 327060 1800300 ) RECT ( -800 -150 0 150 ) ;
- la_data_in[3] ( PIN la_data_in[3] ) ( wrapped_rgb_mixer_3 active ) + USE SIGNAL
+ ROUTED met2 ( 682410 1700 0 ) ( * 16830 )
NEW met1 ( 676430 16830 ) ( 682410 * )
NEW met2 ( 186070 176290 ) ( * 2877590 )
NEW met2 ( 676430 16830 ) ( * 176290 )
NEW met1 ( 186070 176290 ) ( 676430 * )
NEW met2 ( 338330 2877590 ) ( * 2878780 )
NEW met3 ( 338330 2878780 ) ( 350980 * )
NEW met3 ( 350980 2878780 ) ( * 2880140 0 )
NEW met1 ( 186070 2877590 ) ( 338330 * )
NEW met1 ( 682410 16830 ) M1M2_PR
NEW met1 ( 676430 16830 ) M1M2_PR
NEW met1 ( 186070 176290 ) M1M2_PR
NEW met1 ( 186070 2877590 ) M1M2_PR
NEW met1 ( 676430 176290 ) M1M2_PR
NEW met1 ( 338330 2877590 ) M1M2_PR
NEW met2 ( 338330 2878780 ) M2M3_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( wrapped_vga_clock_1 la1_data_in[8] ) ( wrapped_rgb_mixer_3 la1_data_in[8] ) ( wrapped_hack_soc_dffram_11 la1_data_in[8] ) ( wrapped_frequency_counter_2 la1_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 416530 2909380 ) ( * 2912950 )
NEW met2 ( 414690 2909380 0 ) ( 416530 * )
NEW met2 ( 1338830 1700 0 ) ( * 16830 )
NEW met1 ( 1338830 16830 ) ( 1373330 * )
NEW met2 ( 2228930 500140 0 ) ( 2229390 * )
NEW met2 ( 382490 2449700 0 ) ( * 2460070 )
NEW met2 ( 983710 128350 ) ( * 610470 )
NEW met2 ( 1373330 16830 ) ( * 128350 )
NEW met2 ( 2229390 128350 ) ( * 500140 )
NEW met2 ( 441830 1929500 ) ( 443670 * 0 )
NEW met1 ( 416530 2912950 ) ( 552690 * )
NEW met1 ( 852150 610470 ) ( 983710 * )
NEW met1 ( 983710 128350 ) ( 2229390 * )
NEW met2 ( 441830 1929500 ) ( * 1976590 )
NEW met2 ( 552690 2460070 ) ( * 2912950 )
NEW met2 ( 615250 1976590 ) ( * 2460070 )
NEW met1 ( 441830 1976590 ) ( 852150 * )
NEW met2 ( 852150 610470 ) ( * 1976590 )
NEW met1 ( 382490 2460070 ) ( 615250 * )
NEW met1 ( 416530 2912950 ) M1M2_PR
NEW met1 ( 983710 128350 ) M1M2_PR
NEW met1 ( 983710 610470 ) M1M2_PR
NEW met1 ( 1338830 16830 ) M1M2_PR
NEW met1 ( 1373330 16830 ) M1M2_PR
NEW met1 ( 1373330 128350 ) M1M2_PR
NEW met1 ( 2229390 128350 ) M1M2_PR
NEW met1 ( 382490 2460070 ) M1M2_PR
NEW met1 ( 552690 2912950 ) M1M2_PR
NEW met1 ( 852150 610470 ) M1M2_PR
NEW met1 ( 441830 1976590 ) M1M2_PR
NEW met1 ( 552690 2460070 ) M1M2_PR
NEW met1 ( 615250 1976590 ) M1M2_PR
NEW met1 ( 615250 2460070 ) M1M2_PR
NEW met1 ( 852150 1976590 ) M1M2_PR
NEW met1 ( 1373330 128350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 552690 2460070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 615250 1976590 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[41] ( PIN la_data_in[41] ) ( wrapped_vga_clock_1 la1_data_in[9] ) ( wrapped_rgb_mixer_3 la1_data_in[9] ) ( wrapped_hack_soc_dffram_11 la1_data_in[9] ) ( wrapped_frequency_counter_2 la1_data_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 1356310 1700 0 ) ( * 17340 )
NEW met3 ( 1356310 17340 ) ( 1359070 * )
NEW met2 ( 2222030 500140 ) ( 2222490 * 0 )
NEW met3 ( 1352860 63580 ) ( 1359070 * )
NEW met2 ( 1359070 17340 ) ( * 63580 )
NEW met2 ( 1353090 134470 ) ( * 134980 )
NEW met3 ( 1352860 134980 ) ( 1353090 * )
NEW met4 ( 1352860 63580 ) ( * 1658860 )
NEW met2 ( 2222030 134470 ) ( * 500140 )
NEW met3 ( 350980 1859460 ) ( * 1860140 0 )
NEW met3 ( 338790 1859460 ) ( 350980 * )
NEW met2 ( 338790 1859460 ) ( * 1859630 )
NEW met1 ( 330510 1859630 ) ( 338790 * )
NEW met3 ( 330510 1658860 ) ( 1352860 * )
NEW met2 ( 338330 2835940 ) ( * 2836450 )
NEW met3 ( 338330 2835940 ) ( 350060 * )
NEW met3 ( 350060 2835900 ) ( * 2835940 )
NEW met3 ( 350060 2835900 ) ( 350980 * )
NEW met3 ( 350980 2835900 ) ( * 2835940 0 )
NEW met1 ( 323610 2836450 ) ( 338330 * )
NEW met1 ( 1353090 134470 ) ( 2222030 * )
NEW met1 ( 323610 2345490 ) ( 330510 * )
NEW met3 ( 350980 2340220 0 ) ( * 2340900 )
NEW met3 ( 338330 2340900 ) ( 350980 * )
NEW met2 ( 338330 2340900 ) ( * 2341070 )
NEW met1 ( 330510 2341070 ) ( 338330 * )
NEW met2 ( 323610 2345490 ) ( * 2836450 )
NEW met2 ( 330510 1658860 ) ( * 2345490 )
NEW met2 ( 1356310 17340 ) M2M3_PR
NEW met2 ( 1359070 17340 ) M2M3_PR
NEW met3 ( 1352860 1658860 ) M3M4_PR
NEW met1 ( 323610 2836450 ) M1M2_PR
NEW met3 ( 1352860 63580 ) M3M4_PR
NEW met2 ( 1359070 63580 ) M2M3_PR
NEW met1 ( 1353090 134470 ) M1M2_PR
NEW met2 ( 1353090 134980 ) M2M3_PR
NEW met3 ( 1352860 134980 ) M3M4_PR
NEW met1 ( 2222030 134470 ) M1M2_PR
NEW met2 ( 330510 1658860 ) M2M3_PR
NEW met2 ( 338790 1859460 ) M2M3_PR
NEW met1 ( 338790 1859630 ) M1M2_PR
NEW met1 ( 330510 1859630 ) M1M2_PR
NEW met1 ( 338330 2836450 ) M1M2_PR
NEW met2 ( 338330 2835940 ) M2M3_PR
NEW met1 ( 330510 2345490 ) M1M2_PR
NEW met1 ( 323610 2345490 ) M1M2_PR
NEW met2 ( 338330 2340900 ) M2M3_PR
NEW met1 ( 338330 2341070 ) M1M2_PR
NEW met1 ( 330510 2341070 ) M1M2_PR
NEW met3 ( 1353090 134980 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1352860 134980 ) RECT ( -150 -800 150 0 )
NEW met2 ( 330510 1859630 ) RECT ( -70 -485 70 0 )
NEW met2 ( 330510 2341070 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[42] ( PIN la_data_in[42] ) ( wrapped_vga_clock_1 la1_data_in[10] ) ( wrapped_rgb_mixer_3 la1_data_in[10] ) ( wrapped_hack_soc_dffram_11 la1_data_in[10] ) ( wrapped_frequency_counter_2 la1_data_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 1374250 1700 0 ) ( * 19890 )
NEW met2 ( 2215130 500140 ) ( 2216510 * 0 )
NEW met2 ( 301990 1696090 ) ( * 1838890 )
NEW met2 ( 1376550 19890 ) ( * 1528470 )
NEW met2 ( 2215130 141270 ) ( * 500140 )
NEW met1 ( 301990 1838890 ) ( 348910 * )
NEW met1 ( 350750 1935790 ) ( 438150 * )
NEW met2 ( 434010 2700620 ) ( 434045 * 0 )
NEW met1 ( 1374250 19890 ) ( 1404150 * )
NEW met2 ( 348910 1838890 ) ( * 1869900 )
NEW met2 ( 348910 1869900 ) ( 350750 * )
NEW met2 ( 350750 1869900 ) ( * 1935790 )
NEW met2 ( 450110 1696090 ) ( * 1700340 0 )
NEW met1 ( 450110 1696090 ) ( 451950 * )
NEW met1 ( 301990 1696090 ) ( 450110 * )
NEW met2 ( 451950 1528470 ) ( * 1696090 )
NEW met2 ( 437230 2200140 0 ) ( 438150 * )
NEW met2 ( 438150 1935790 ) ( * 2200140 )
NEW met2 ( 434010 2497800 ) ( 434470 * )
NEW met2 ( 434470 2452930 ) ( * 2497800 )
NEW met2 ( 434010 2497800 ) ( * 2700620 )
NEW met1 ( 527850 2279870 ) ( 545790 * )
NEW met2 ( 545790 2279870 ) ( * 2452930 )
NEW met1 ( 451950 1528470 ) ( 1376550 * )
NEW met2 ( 1404150 19890 ) ( * 141270 )
NEW met1 ( 1404150 141270 ) ( 2215130 * )
NEW met1 ( 438150 2193170 ) ( 527850 * )
NEW met2 ( 527850 2193170 ) ( * 2279870 )
NEW met1 ( 434470 2452930 ) ( 545790 * )
NEW met1 ( 301990 1838890 ) M1M2_PR
NEW met1 ( 1374250 19890 ) M1M2_PR
NEW met1 ( 1376550 19890 ) M1M2_PR
NEW met1 ( 301990 1696090 ) M1M2_PR
NEW met1 ( 1376550 1528470 ) M1M2_PR
NEW met1 ( 2215130 141270 ) M1M2_PR
NEW met1 ( 348910 1838890 ) M1M2_PR
NEW met1 ( 350750 1935790 ) M1M2_PR
NEW met1 ( 438150 1935790 ) M1M2_PR
NEW met1 ( 1404150 19890 ) M1M2_PR
NEW met1 ( 451950 1528470 ) M1M2_PR
NEW met1 ( 450110 1696090 ) M1M2_PR
NEW met1 ( 451950 1696090 ) M1M2_PR
NEW met1 ( 438150 2193170 ) M1M2_PR
NEW met1 ( 434470 2452930 ) M1M2_PR
NEW met1 ( 527850 2279870 ) M1M2_PR
NEW met1 ( 545790 2279870 ) M1M2_PR
NEW met1 ( 545790 2452930 ) M1M2_PR
NEW met1 ( 1404150 141270 ) M1M2_PR
NEW met1 ( 527850 2193170 ) M1M2_PR
NEW met1 ( 1376550 19890 ) RECT ( -595 -70 0 70 )
NEW met2 ( 438150 2193170 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[43] ( PIN la_data_in[43] ) ( wrapped_vga_clock_1 la1_data_in[11] ) ( wrapped_rgb_mixer_3 la1_data_in[11] ) ( wrapped_hack_soc_dffram_11 la1_data_in[11] ) ( wrapped_frequency_counter_2 la1_data_in[11] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1727540 0 ) ( 593170 * )
NEW met2 ( 593170 1727540 ) ( * 1731790 )
NEW met2 ( 669070 1728390 ) ( * 1731790 )
NEW met2 ( 2208230 500140 ) ( 2210530 * 0 )
NEW met3 ( 499100 2275620 0 ) ( * 2276300 )
NEW met3 ( 499100 2276300 ) ( 510830 * )
NEW met2 ( 510830 2276300 ) ( * 2276810 )
NEW met2 ( 663090 1731790 ) ( * 2277150 )
NEW met2 ( 663090 2277150 ) ( * 2732410 )
NEW met2 ( 2208230 151470 ) ( * 500140 )
NEW met3 ( 529460 2737340 0 ) ( 544870 * )
NEW met2 ( 544870 2732410 ) ( * 2737340 )
NEW met1 ( 593170 1731790 ) ( 669070 * )
NEW met1 ( 544870 2732410 ) ( 663090 * )
NEW met1 ( 669070 1728390 ) ( 1045350 * )
NEW met1 ( 1045350 403410 ) ( 1390350 * )
NEW met1 ( 510830 2276810 ) ( 517500 * )
NEW met1 ( 517500 2276810 ) ( * 2277150 )
NEW met1 ( 517500 2277150 ) ( 663090 * )
NEW met2 ( 1045350 403410 ) ( * 1728390 )
NEW met2 ( 1387130 82800 ) ( 1391730 * )
NEW met2 ( 1391730 1700 0 ) ( * 82800 )
NEW met1 ( 1387130 151470 ) ( 1390350 * )
NEW met2 ( 1387130 82800 ) ( * 151470 )
NEW met2 ( 1390350 151470 ) ( * 403410 )
NEW met1 ( 1390350 151470 ) ( 2208230 * )
NEW met2 ( 593170 1727540 ) M2M3_PR
NEW met1 ( 593170 1731790 ) M1M2_PR
NEW met1 ( 669070 1731790 ) M1M2_PR
NEW met1 ( 669070 1728390 ) M1M2_PR
NEW met1 ( 663090 1731790 ) M1M2_PR
NEW met1 ( 663090 2732410 ) M1M2_PR
NEW met2 ( 510830 2276300 ) M2M3_PR
NEW met1 ( 510830 2276810 ) M1M2_PR
NEW met1 ( 663090 2277150 ) M1M2_PR
NEW met1 ( 2208230 151470 ) M1M2_PR
NEW met2 ( 544870 2737340 ) M2M3_PR
NEW met1 ( 544870 2732410 ) M1M2_PR
NEW met1 ( 1045350 403410 ) M1M2_PR
NEW met1 ( 1045350 1728390 ) M1M2_PR
NEW met1 ( 1390350 403410 ) M1M2_PR
NEW met1 ( 1390350 151470 ) M1M2_PR
NEW met1 ( 1387130 151470 ) M1M2_PR
NEW met1 ( 663090 1731790 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[44] ( PIN la_data_in[44] ) ( wrapped_vga_clock_1 la1_data_in[12] ) ( wrapped_rgb_mixer_3 la1_data_in[12] ) ( wrapped_hack_soc_dffram_11 la1_data_in[12] ) ( wrapped_frequency_counter_2 la1_data_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 219190 1876630 ) ( * 2346170 )
NEW met2 ( 1409670 15980 ) ( 1411510 * )
NEW met2 ( 1409670 1700 0 ) ( * 15980 )
NEW met1 ( 345690 1569610 ) ( 1411510 * )
NEW met1 ( 1411510 34170 ) ( 2197650 * )
NEW met1 ( 2197650 484670 ) ( 2204550 * )
NEW met2 ( 2204550 484670 ) ( * 500140 0 )
NEW met3 ( 350980 1873060 ) ( * 1873740 0 )
NEW met3 ( 345690 1873060 ) ( 350980 * )
NEW met1 ( 219190 1876630 ) ( 345690 * )
NEW met2 ( 345690 1569610 ) ( * 1876630 )
NEW met3 ( 330740 2844780 ) ( 350980 * )
NEW met3 ( 350980 2844780 ) ( * 2846140 0 )
NEW met2 ( 1411510 15980 ) ( * 1569610 )
NEW met2 ( 2197650 34170 ) ( * 484670 )
NEW met3 ( 351900 2348380 ) ( * 2349740 0 )
NEW met3 ( 338330 2348380 ) ( 351900 * )
NEW met2 ( 338330 2346170 ) ( * 2348380 )
NEW met3 ( 330510 2352460 ) ( 330740 * )
NEW met2 ( 330510 2346170 ) ( * 2352460 )
NEW met1 ( 219190 2346170 ) ( 338330 * )
NEW met4 ( 330740 2352460 ) ( * 2844780 )
NEW met1 ( 219190 2346170 ) M1M2_PR
NEW met1 ( 219190 1876630 ) M1M2_PR
NEW met1 ( 345690 1569610 ) M1M2_PR
NEW met1 ( 1411510 34170 ) M1M2_PR
NEW met1 ( 1411510 1569610 ) M1M2_PR
NEW met1 ( 2197650 34170 ) M1M2_PR
NEW met1 ( 2197650 484670 ) M1M2_PR
NEW met1 ( 2204550 484670 ) M1M2_PR
NEW met1 ( 345690 1876630 ) M1M2_PR
NEW met2 ( 345690 1873060 ) M2M3_PR
NEW met3 ( 330740 2844780 ) M3M4_PR
NEW met2 ( 338330 2348380 ) M2M3_PR
NEW met1 ( 338330 2346170 ) M1M2_PR
NEW met3 ( 330740 2352460 ) M3M4_PR
NEW met2 ( 330510 2352460 ) M2M3_PR
NEW met1 ( 330510 2346170 ) M1M2_PR
NEW met2 ( 1411510 34170 ) RECT ( -70 -485 70 0 )
NEW met2 ( 345690 1873060 ) RECT ( -70 -485 70 0 )
NEW met3 ( 330740 2352460 ) RECT ( 0 -150 390 150 )
NEW met1 ( 330510 2346170 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[45] ( PIN la_data_in[45] ) ( wrapped_vga_clock_1 la1_data_in[13] ) ( wrapped_rgb_mixer_3 la1_data_in[13] ) ( wrapped_hack_soc_dffram_11 la1_data_in[13] ) ( wrapped_frequency_counter_2 la1_data_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 482310 2700620 ) ( 482345 * 0 )
NEW met2 ( 682870 1645430 ) ( * 1648830 )
NEW met2 ( 504850 1648830 ) ( * 1700340 0 )
NEW met2 ( 485530 2192830 ) ( * 2200140 0 )
NEW met2 ( 481390 2497800 ) ( 482310 * )
NEW met2 ( 481390 2453270 ) ( * 2497800 )
NEW met2 ( 482310 2497800 ) ( * 2700620 )
NEW met2 ( 572470 2190790 ) ( * 2192830 )
NEW met2 ( 566950 2192830 ) ( * 2453270 )
NEW met2 ( 676430 1648830 ) ( * 2190790 )
NEW met1 ( 504850 1648830 ) ( 682870 * )
NEW met2 ( 1427150 1700 0 ) ( * 16490 )
NEW met1 ( 1411050 16490 ) ( 1427150 * )
NEW met1 ( 682870 1645430 ) ( 1411050 * )
NEW met2 ( 2194430 500140 ) ( 2198570 * 0 )
NEW met1 ( 572470 2190790 ) ( 676430 * )
NEW met2 ( 1411050 16490 ) ( * 1645430 )
NEW met1 ( 1411050 155550 ) ( 2194430 * )
NEW met2 ( 2194430 155550 ) ( * 500140 )
NEW met1 ( 485530 2192830 ) ( 572470 * )
NEW met1 ( 481390 2453270 ) ( 566950 * )
NEW met1 ( 504850 1648830 ) M1M2_PR
NEW met1 ( 682870 1648830 ) M1M2_PR
NEW met1 ( 682870 1645430 ) M1M2_PR
NEW met1 ( 676430 1648830 ) M1M2_PR
NEW met1 ( 485530 2192830 ) M1M2_PR
NEW met1 ( 481390 2453270 ) M1M2_PR
NEW met1 ( 572470 2192830 ) M1M2_PR
NEW met1 ( 572470 2190790 ) M1M2_PR
NEW met1 ( 566950 2192830 ) M1M2_PR
NEW met1 ( 566950 2453270 ) M1M2_PR
NEW met1 ( 676430 2190790 ) M1M2_PR
NEW met1 ( 1427150 16490 ) M1M2_PR
NEW met1 ( 1411050 16490 ) M1M2_PR
NEW met1 ( 1411050 1645430 ) M1M2_PR
NEW met1 ( 1411050 155550 ) M1M2_PR
NEW met1 ( 2194430 155550 ) M1M2_PR
NEW met1 ( 676430 1648830 ) RECT ( -595 -70 0 70 )
NEW met1 ( 566950 2192830 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1411050 155550 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[46] ( PIN la_data_in[46] ) ( wrapped_vga_clock_1 la1_data_in[14] ) ( wrapped_rgb_mixer_3 la1_data_in[14] ) ( wrapped_hack_soc_dffram_11 la1_data_in[14] ) ( wrapped_frequency_counter_2 la1_data_in[14] ) + USE SIGNAL
+ ROUTED met1 ( 307050 1759670 ) ( 309350 * )
NEW met1 ( 303830 2249270 ) ( * 2249610 )
NEW met2 ( 205850 2249270 ) ( * 2746350 )
NEW met2 ( 309350 1666510 ) ( * 1759670 )
NEW met2 ( 307050 1759670 ) ( * 2249610 )
NEW met2 ( 1442330 82800 ) ( 1445090 * )
NEW met2 ( 1445090 1700 0 ) ( * 82800 )
NEW met2 ( 1448770 172210 ) ( * 175950 )
NEW met2 ( 1442330 82800 ) ( * 172210 )
NEW met1 ( 205850 2249270 ) ( 303830 * )
NEW met2 ( 338330 1762900 ) ( * 1763070 )
NEW met3 ( 338330 1762900 ) ( 350980 * )
NEW met3 ( 350980 1761540 0 ) ( * 1762900 )
NEW met1 ( 307050 1763070 ) ( 338330 * )
NEW met2 ( 338330 2249610 ) ( * 2249780 )
NEW met3 ( 338330 2249780 ) ( 350980 * )
NEW met3 ( 350980 2249780 ) ( * 2251140 0 )
NEW met1 ( 303830 2249610 ) ( 338330 * )
NEW met1 ( 309350 1666510 ) ( 810750 * )
NEW met3 ( 810750 886380 ) ( 921610 * )
NEW met2 ( 2187530 500140 ) ( 2192590 * 0 )
NEW met2 ( 338330 2746350 ) ( * 2749580 )
NEW met3 ( 338330 2749580 ) ( 350980 * )
NEW met3 ( 350980 2749580 ) ( * 2750940 0 )
NEW met1 ( 205850 2746350 ) ( 338330 * )
NEW met2 ( 810750 886380 ) ( * 1666510 )
NEW met2 ( 921610 172210 ) ( * 886380 )
NEW met1 ( 921610 172210 ) ( 1448770 * )
NEW met1 ( 1448770 175950 ) ( 2187530 * )
NEW met2 ( 2187530 175950 ) ( * 500140 )
NEW met1 ( 205850 2249270 ) M1M2_PR
NEW met1 ( 309350 1666510 ) M1M2_PR
NEW met1 ( 307050 1759670 ) M1M2_PR
NEW met1 ( 309350 1759670 ) M1M2_PR
NEW met1 ( 307050 1763070 ) M1M2_PR
NEW met1 ( 307050 2249610 ) M1M2_PR
NEW met1 ( 205850 2746350 ) M1M2_PR
NEW met1 ( 1448770 172210 ) M1M2_PR
NEW met1 ( 1448770 175950 ) M1M2_PR
NEW met1 ( 1442330 172210 ) M1M2_PR
NEW met1 ( 338330 1763070 ) M1M2_PR
NEW met2 ( 338330 1762900 ) M2M3_PR
NEW met1 ( 338330 2249610 ) M1M2_PR
NEW met2 ( 338330 2249780 ) M2M3_PR
NEW met2 ( 810750 886380 ) M2M3_PR
NEW met1 ( 810750 1666510 ) M1M2_PR
NEW met2 ( 921610 886380 ) M2M3_PR
NEW met1 ( 338330 2746350 ) M1M2_PR
NEW met2 ( 338330 2749580 ) M2M3_PR
NEW met1 ( 921610 172210 ) M1M2_PR
NEW met1 ( 2187530 175950 ) M1M2_PR
NEW met2 ( 307050 1763070 ) RECT ( -70 -485 70 0 )
NEW met1 ( 307050 2249610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1442330 172210 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[47] ( PIN la_data_in[47] ) ( wrapped_vga_clock_1 la1_data_in[15] ) ( wrapped_rgb_mixer_3 la1_data_in[15] ) ( wrapped_hack_soc_dffram_11 la1_data_in[15] ) ( wrapped_frequency_counter_2 la1_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 396750 2909380 ) ( 398360 * 0 )
NEW met2 ( 213210 1976590 ) ( * 2452930 )
NEW met2 ( 396750 2909380 ) ( * 2933010 )
NEW met2 ( 976350 183090 ) ( * 1973530 )
NEW met2 ( 1463030 1700 0 ) ( * 183090 )
NEW met2 ( 425730 1929500 ) ( 427570 * 0 )
NEW met1 ( 976350 183090 ) ( 1463030 * )
NEW met1 ( 1463030 183090 ) ( 2181550 * )
NEW met2 ( 2181550 500140 ) ( 2186610 * 0 )
NEW met2 ( 248170 2452930 ) ( * 2933010 )
NEW met2 ( 366390 2449700 0 ) ( * 2452930 )
NEW met1 ( 213210 2452930 ) ( 366390 * )
NEW met1 ( 248170 2933010 ) ( 396750 * )
NEW met2 ( 424350 1973530 ) ( * 1976590 )
NEW met1 ( 213210 1976590 ) ( 424350 * )
NEW met2 ( 425730 1929500 ) ( * 1973530 )
NEW met1 ( 424350 1973530 ) ( 976350 * )
NEW met2 ( 2181550 183090 ) ( * 500140 )
NEW met1 ( 976350 183090 ) M1M2_PR
NEW met1 ( 1463030 183090 ) M1M2_PR
NEW met1 ( 213210 1976590 ) M1M2_PR
NEW met1 ( 213210 2452930 ) M1M2_PR
NEW met1 ( 396750 2933010 ) M1M2_PR
NEW met1 ( 976350 1973530 ) M1M2_PR
NEW met1 ( 2181550 183090 ) M1M2_PR
NEW met1 ( 248170 2452930 ) M1M2_PR
NEW met1 ( 248170 2933010 ) M1M2_PR
NEW met1 ( 366390 2452930 ) M1M2_PR
NEW met1 ( 424350 1976590 ) M1M2_PR
NEW met1 ( 424350 1973530 ) M1M2_PR
NEW met1 ( 425730 1973530 ) M1M2_PR
NEW met1 ( 248170 2452930 ) RECT ( -595 -70 0 70 )
NEW met1 ( 425730 1973530 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[48] ( PIN la_data_in[48] ) ( wrapped_vga_clock_1 la1_data_in[16] ) ( wrapped_rgb_mixer_3 la1_data_in[16] ) ( wrapped_hack_soc_dffram_11 la1_data_in[16] ) ( wrapped_frequency_counter_2 la1_data_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 492890 1929500 ) ( 495190 * 0 )
NEW met2 ( 1478210 1700 ) ( 1480510 * 0 )
NEW met2 ( 492890 1929500 ) ( * 1969790 )
NEW met2 ( 600990 2487950 ) ( * 2912610 )
NEW met2 ( 983250 190230 ) ( * 1969790 )
NEW met2 ( 1476830 82800 ) ( 1478210 * )
NEW met2 ( 1478210 1700 ) ( * 82800 )
NEW met2 ( 1476830 82800 ) ( * 190230 )
NEW met2 ( 457930 2909380 ) ( * 2912610 )
NEW met2 ( 456550 2909380 0 ) ( 457930 * )
NEW met1 ( 457930 2912610 ) ( 600990 * )
NEW met1 ( 983250 190230 ) ( 1483500 * )
NEW met1 ( 1483500 189890 ) ( * 190230 )
NEW met1 ( 1483500 189890 ) ( 2181090 * )
NEW met2 ( 2180630 500140 0 ) ( 2181090 * )
NEW met2 ( 428030 2476900 ) ( * 2487950 )
NEW met2 ( 427570 2476900 ) ( 428030 * )
NEW met2 ( 427570 2449700 0 ) ( * 2476900 )
NEW met1 ( 428030 2487950 ) ( 600990 * )
NEW met1 ( 600990 2487950 ) ( 635030 * )
NEW met2 ( 635030 1969790 ) ( * 2487950 )
NEW met1 ( 492890 1969790 ) ( 983250 * )
NEW met2 ( 2181090 189890 ) ( * 500140 )
NEW met1 ( 600990 2912610 ) M1M2_PR
NEW met1 ( 983250 190230 ) M1M2_PR
NEW met1 ( 1476830 190230 ) M1M2_PR
NEW met1 ( 492890 1969790 ) M1M2_PR
NEW met1 ( 600990 2487950 ) M1M2_PR
NEW met1 ( 983250 1969790 ) M1M2_PR
NEW met1 ( 457930 2912610 ) M1M2_PR
NEW met1 ( 2181090 189890 ) M1M2_PR
NEW met1 ( 428030 2487950 ) M1M2_PR
NEW met1 ( 635030 1969790 ) M1M2_PR
NEW met1 ( 635030 2487950 ) M1M2_PR
NEW met1 ( 1476830 190230 ) RECT ( -595 -70 0 70 )
NEW met1 ( 635030 1969790 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[49] ( PIN la_data_in[49] ) ( wrapped_vga_clock_1 la1_data_in[17] ) ( wrapped_rgb_mixer_3 la1_data_in[17] ) ( wrapped_hack_soc_dffram_11 la1_data_in[17] ) ( wrapped_frequency_counter_2 la1_data_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 601450 1934940 ) ( * 1938850 )
NEW met2 ( 398590 2449700 0 ) ( * 2480810 )
NEW met1 ( 583050 1979990 ) ( 601450 * )
NEW met2 ( 601450 1938850 ) ( * 1979990 )
NEW met2 ( 583050 1979990 ) ( * 2480810 )
NEW met2 ( 566490 2480810 ) ( * 2933350 )
NEW met2 ( 462990 1929500 0 ) ( 464370 * )
NEW met2 ( 464370 1929500 ) ( * 1939190 )
NEW met1 ( 464370 1939190 ) ( 469200 * )
NEW met1 ( 469200 1938850 ) ( * 1939190 )
NEW met2 ( 426650 2908700 ) ( 427340 * 0 )
NEW met1 ( 469200 1938850 ) ( 601450 * )
NEW met2 ( 1498450 1700 0 ) ( * 18020 )
NEW met3 ( 1498450 18020 ) ( 1503970 * )
NEW met2 ( 1498450 196690 ) ( * 196860 )
NEW met3 ( 1498220 196860 ) ( 1498450 * )
NEW met3 ( 601450 1934940 ) ( 1498220 * )
NEW met1 ( 1498450 196690 ) ( 2173730 * )
NEW met2 ( 2173730 500140 ) ( 2174650 * 0 )
NEW met2 ( 426650 2908700 ) ( * 2933350 )
NEW met1 ( 398590 2480810 ) ( 583050 * )
NEW met1 ( 426650 2933350 ) ( 566490 * )
NEW met3 ( 1498220 58820 ) ( 1503970 * )
NEW met2 ( 1503970 18020 ) ( * 58820 )
NEW met4 ( 1498220 58820 ) ( * 1934940 )
NEW met2 ( 2173730 196690 ) ( * 500140 )
NEW met2 ( 601450 1934940 ) M2M3_PR
NEW met1 ( 601450 1938850 ) M1M2_PR
NEW met1 ( 398590 2480810 ) M1M2_PR
NEW met1 ( 583050 1979990 ) M1M2_PR
NEW met1 ( 601450 1979990 ) M1M2_PR
NEW met1 ( 583050 2480810 ) M1M2_PR
NEW met1 ( 566490 2480810 ) M1M2_PR
NEW met1 ( 566490 2933350 ) M1M2_PR
NEW met1 ( 464370 1939190 ) M1M2_PR
NEW met2 ( 1498450 18020 ) M2M3_PR
NEW met2 ( 1503970 18020 ) M2M3_PR
NEW met1 ( 1498450 196690 ) M1M2_PR
NEW met2 ( 1498450 196860 ) M2M3_PR
NEW met3 ( 1498220 196860 ) M3M4_PR
NEW met3 ( 1498220 1934940 ) M3M4_PR
NEW met1 ( 2173730 196690 ) M1M2_PR
NEW met1 ( 426650 2933350 ) M1M2_PR
NEW met3 ( 1498220 58820 ) M3M4_PR
NEW met2 ( 1503970 58820 ) M2M3_PR
NEW met1 ( 566490 2480810 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1498450 196860 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1498220 196860 ) RECT ( -150 -800 150 0 ) ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) ( wrapped_vga_clock_1 la1_data_in[18] ) ( wrapped_rgb_mixer_3 la1_data_in[18] ) ( wrapped_hack_soc_dffram_11 la1_data_in[18] ) ( wrapped_frequency_counter_2 la1_data_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 685630 1632340 ) ( * 1635230 )
NEW met2 ( 684250 1635230 ) ( * 1991210 )
NEW met1 ( 553150 1635230 ) ( 685630 * )
NEW met2 ( 1515930 1700 0 ) ( 1517770 * )
NEW met2 ( 1511330 203490 ) ( * 203660 )
NEW met3 ( 1511100 203660 ) ( 1511330 * )
NEW met3 ( 685630 1632340 ) ( 1511100 * )
NEW met1 ( 1511330 203490 ) ( 2166830 * )
NEW met2 ( 2166830 500140 ) ( 2168670 * 0 )
NEW met2 ( 553150 1635230 ) ( * 1700340 0 )
NEW met1 ( 542110 1991210 ) ( 684250 * )
NEW met3 ( 1511100 58820 ) ( 1517770 * )
NEW met2 ( 1517770 1700 ) ( * 58820 )
NEW met4 ( 1511100 58820 ) ( * 1632340 )
NEW met2 ( 2166830 203490 ) ( * 500140 )
NEW met1 ( 520950 2229210 ) ( 542110 * )
NEW met3 ( 499100 2224620 0 ) ( * 2225300 )
NEW met3 ( 499100 2225300 ) ( 515890 * )
NEW met2 ( 515890 2225300 ) ( * 2225470 )
NEW met1 ( 515890 2225470 ) ( 520950 * )
NEW met2 ( 520950 2225470 ) ( * 2229210 )
NEW met2 ( 542110 1991210 ) ( * 2229210 )
NEW met2 ( 520950 2700620 ) ( 520985 * 0 )
NEW met2 ( 520950 2229210 ) ( * 2700620 )
NEW met1 ( 685630 1635230 ) M1M2_PR
NEW met2 ( 685630 1632340 ) M2M3_PR
NEW met1 ( 684250 1635230 ) M1M2_PR
NEW met1 ( 684250 1991210 ) M1M2_PR
NEW met1 ( 553150 1635230 ) M1M2_PR
NEW met1 ( 1511330 203490 ) M1M2_PR
NEW met2 ( 1511330 203660 ) M2M3_PR
NEW met3 ( 1511100 203660 ) M3M4_PR
NEW met3 ( 1511100 1632340 ) M3M4_PR
NEW met1 ( 2166830 203490 ) M1M2_PR
NEW met1 ( 542110 1991210 ) M1M2_PR
NEW met3 ( 1511100 58820 ) M3M4_PR
NEW met2 ( 1517770 58820 ) M2M3_PR
NEW met1 ( 520950 2229210 ) M1M2_PR
NEW met1 ( 542110 2229210 ) M1M2_PR
NEW met2 ( 515890 2225300 ) M2M3_PR
NEW met1 ( 515890 2225470 ) M1M2_PR
NEW met1 ( 520950 2225470 ) M1M2_PR
NEW met1 ( 684250 1635230 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1511330 203660 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1511100 203660 ) RECT ( -150 -800 150 0 ) ;
- la_data_in[51] ( PIN la_data_in[51] ) ( wrapped_vga_clock_1 la1_data_in[19] ) ( wrapped_rgb_mixer_3 la1_data_in[19] ) ( wrapped_hack_soc_dffram_11 la1_data_in[19] ) ( wrapped_frequency_counter_2 la1_data_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 403190 2909380 ) ( 404800 * 0 )
NEW met2 ( 403190 2909380 ) ( * 2926550 )
NEW met2 ( 372830 2449700 0 ) ( * 2494070 )
NEW met1 ( 372830 2494070 ) ( 403190 * )
NEW met2 ( 403190 2494070 ) ( * 2497980 )
NEW met3 ( 493350 1990020 ) ( 495420 * )
NEW met2 ( 493350 1942250 ) ( * 1990020 )
NEW met4 ( 495420 1990020 ) ( * 2211700 )
NEW met2 ( 1533870 1700 0 ) ( * 37910 )
NEW met1 ( 309810 2708270 ) ( 348450 * )
NEW met1 ( 309810 2926550 ) ( 403190 * )
NEW met2 ( 430790 1929500 0 ) ( 432170 * )
NEW met2 ( 432170 1929500 ) ( * 1942250 )
NEW met1 ( 432170 1942250 ) ( 493350 * )
NEW met3 ( 403190 2497980 ) ( 553380 * )
NEW met2 ( 2159930 500140 ) ( 2162690 * 0 )
NEW met1 ( 348450 2494070 ) ( 372830 * )
NEW met2 ( 348450 2494070 ) ( * 2708270 )
NEW met4 ( 553380 2211700 ) ( * 2497980 )
NEW met1 ( 493350 1987810 ) ( 941850 * )
NEW met2 ( 941850 38590 ) ( * 1987810 )
NEW met1 ( 941850 38590 ) ( 1483500 * )
NEW met1 ( 1483500 37910 ) ( * 38590 )
NEW met1 ( 1483500 37910 ) ( 2159930 * )
NEW met2 ( 2159930 37910 ) ( * 500140 )
NEW met2 ( 309810 2708270 ) ( * 2926550 )
NEW met4 ( 495420 2211700 ) ( 497260 * )
NEW met3 ( 497260 2211700 ) ( 553380 * )
NEW met1 ( 309810 2708270 ) M1M2_PR
NEW met1 ( 309810 2926550 ) M1M2_PR
NEW met2 ( 403190 2497980 ) M2M3_PR
NEW met1 ( 403190 2926550 ) M1M2_PR
NEW met1 ( 493350 1942250 ) M1M2_PR
NEW met1 ( 372830 2494070 ) M1M2_PR
NEW met1 ( 403190 2494070 ) M1M2_PR
NEW met2 ( 493350 1990020 ) M2M3_PR
NEW met3 ( 495420 1990020 ) M3M4_PR
NEW met1 ( 493350 1987810 ) M1M2_PR
NEW met1 ( 1533870 37910 ) M1M2_PR
NEW met1 ( 348450 2708270 ) M1M2_PR
NEW met1 ( 432170 1942250 ) M1M2_PR
NEW met3 ( 553380 2211700 ) M3M4_PR
NEW met3 ( 553380 2497980 ) M3M4_PR
NEW met1 ( 348450 2494070 ) M1M2_PR
NEW met1 ( 941850 38590 ) M1M2_PR
NEW met1 ( 941850 1987810 ) M1M2_PR
NEW met1 ( 2159930 37910 ) M1M2_PR
NEW met3 ( 497260 2211700 ) M3M4_PR
NEW met2 ( 493350 1987810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1533870 37910 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[52] ( PIN la_data_in[52] ) ( wrapped_vga_clock_1 la1_data_in[20] ) ( wrapped_rgb_mixer_3 la1_data_in[20] ) ( wrapped_hack_soc_dffram_11 la1_data_in[20] ) ( wrapped_frequency_counter_2 la1_data_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 1549970 1700 ) ( 1551350 * 0 )
NEW met2 ( 2153030 500140 ) ( 2156710 * 0 )
NEW met2 ( 324070 148070 ) ( * 1873230 )
NEW met2 ( 1549970 1700 ) ( * 148070 )
NEW met2 ( 2153030 33830 ) ( * 500140 )
NEW met1 ( 1549970 33830 ) ( 2153030 * )
NEW met2 ( 338330 1872380 ) ( * 1873230 )
NEW met3 ( 338330 1872380 ) ( 350980 * )
NEW met3 ( 350980 1870340 0 ) ( * 1872380 )
NEW met1 ( 322690 1873230 ) ( 338330 * )
NEW met1 ( 330970 2842910 ) ( 338330 * )
NEW met2 ( 338330 2842910 ) ( * 2843420 )
NEW met3 ( 338330 2843420 ) ( 350060 * )
NEW met3 ( 350060 2842060 ) ( * 2843420 )
NEW met3 ( 350060 2842060 ) ( 350980 * )
NEW met3 ( 350980 2842060 ) ( * 2842740 0 )
NEW met1 ( 324070 148070 ) ( 1549970 * )
NEW met3 ( 350980 2347020 0 ) ( * 2347700 )
NEW met3 ( 338790 2347700 ) ( 350980 * )
NEW met2 ( 338790 2347530 ) ( * 2347700 )
NEW met1 ( 322690 2347530 ) ( 338790 * )
NEW met2 ( 322690 1873230 ) ( * 2347530 )
NEW met2 ( 330970 2347530 ) ( * 2842910 )
NEW met1 ( 1549970 33830 ) M1M2_PR
NEW met1 ( 2153030 33830 ) M1M2_PR
NEW met1 ( 324070 148070 ) M1M2_PR
NEW met1 ( 322690 1873230 ) M1M2_PR
NEW met1 ( 324070 1873230 ) M1M2_PR
NEW met1 ( 1549970 148070 ) M1M2_PR
NEW met1 ( 338330 1873230 ) M1M2_PR
NEW met2 ( 338330 1872380 ) M2M3_PR
NEW met1 ( 330970 2842910 ) M1M2_PR
NEW met1 ( 338330 2842910 ) M1M2_PR
NEW met2 ( 338330 2843420 ) M2M3_PR
NEW met2 ( 338790 2347700 ) M2M3_PR
NEW met1 ( 338790 2347530 ) M1M2_PR
NEW met1 ( 322690 2347530 ) M1M2_PR
NEW met1 ( 330970 2347530 ) M1M2_PR
NEW met2 ( 1549970 33830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 324070 1873230 ) RECT ( -595 -70 0 70 )
NEW met1 ( 330970 2347530 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[53] ( PIN la_data_in[53] ) ( wrapped_vga_clock_1 la1_data_in[21] ) ( wrapped_rgb_mixer_3 la1_data_in[21] ) ( wrapped_hack_soc_dffram_11 la1_data_in[21] ) ( wrapped_frequency_counter_2 la1_data_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 574770 1928820 ) ( 575690 * 0 )
NEW met2 ( 574770 1928820 ) ( * 1945820 )
NEW met2 ( 574770 1945820 ) ( * 1953810 )
NEW met2 ( 1569290 1700 0 ) ( * 17170 )
NEW met2 ( 2146130 500140 ) ( 2150730 * 0 )
NEW met2 ( 495190 2449700 0 ) ( * 2457350 )
NEW met4 ( 1341820 217260 ) ( * 1945820 )
NEW met2 ( 2146130 217430 ) ( * 500140 )
NEW met1 ( 558670 1953810 ) ( 574770 * )
NEW met2 ( 544870 2905470 ) ( * 2908530 )
NEW met1 ( 525090 2908530 ) ( 544870 * )
NEW met2 ( 525090 2908530 ) ( * 2908700 )
NEW met2 ( 524170 2908700 0 ) ( 525090 * )
NEW met1 ( 544870 2905470 ) ( 635490 * )
NEW met3 ( 574770 1945820 ) ( 1341820 * )
NEW met1 ( 1569290 17170 ) ( 1594590 * )
NEW met2 ( 1600570 217260 ) ( * 217430 )
NEW met3 ( 1341820 217260 ) ( 1600570 * )
NEW met1 ( 1600570 217430 ) ( 2146130 * )
NEW met2 ( 552230 2455990 ) ( * 2457350 )
NEW met2 ( 558670 1953810 ) ( * 2455990 )
NEW met1 ( 552230 2455990 ) ( 635490 * )
NEW met2 ( 635490 2455990 ) ( * 2905470 )
NEW met2 ( 1594590 17170 ) ( * 217260 )
NEW met1 ( 495190 2457350 ) ( 552230 * )
NEW met2 ( 574770 1945820 ) M2M3_PR
NEW met1 ( 574770 1953810 ) M1M2_PR
NEW met3 ( 1341820 217260 ) M3M4_PR
NEW met3 ( 1341820 1945820 ) M3M4_PR
NEW met1 ( 1569290 17170 ) M1M2_PR
NEW met1 ( 2146130 217430 ) M1M2_PR
NEW met1 ( 495190 2457350 ) M1M2_PR
NEW met1 ( 558670 1953810 ) M1M2_PR
NEW met1 ( 544870 2905470 ) M1M2_PR
NEW met1 ( 544870 2908530 ) M1M2_PR
NEW met1 ( 525090 2908530 ) M1M2_PR
NEW met1 ( 635490 2905470 ) M1M2_PR
NEW met1 ( 1594590 17170 ) M1M2_PR
NEW met2 ( 1600570 217260 ) M2M3_PR
NEW met1 ( 1600570 217430 ) M1M2_PR
NEW met2 ( 1594590 217260 ) M2M3_PR
NEW met1 ( 552230 2455990 ) M1M2_PR
NEW met1 ( 552230 2457350 ) M1M2_PR
NEW met1 ( 558670 2455990 ) M1M2_PR
NEW met1 ( 635490 2455990 ) M1M2_PR
NEW met3 ( 1594590 217260 ) RECT ( -800 -150 0 150 )
NEW met1 ( 558670 2455990 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[54] ( PIN la_data_in[54] ) ( wrapped_vga_clock_1 la1_data_in[22] ) ( wrapped_rgb_mixer_3 la1_data_in[22] ) ( wrapped_hack_soc_dffram_11 la1_data_in[22] ) ( wrapped_frequency_counter_2 la1_data_in[22] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1741140 0 ) ( 593170 * )
NEW met2 ( 593170 1741140 ) ( * 1745390 )
NEW met2 ( 698970 1741990 ) ( * 1745390 )
NEW met2 ( 2139230 500140 ) ( 2144750 * 0 )
NEW met3 ( 499100 2289220 0 ) ( * 2289900 )
NEW met3 ( 499100 2289900 ) ( 510830 * )
NEW met2 ( 510830 2289900 ) ( * 2290410 )
NEW met1 ( 677350 2291090 ) ( 697590 * )
NEW met2 ( 697590 1745390 ) ( * 2291090 )
NEW met2 ( 677350 2291090 ) ( * 2746690 )
NEW met2 ( 900450 165410 ) ( * 1741990 )
NEW met2 ( 2139230 224230 ) ( * 500140 )
NEW met1 ( 593170 1745390 ) ( 698970 * )
NEW met1 ( 698970 1741990 ) ( 900450 * )
NEW met2 ( 1586770 1700 0 ) ( * 17510 )
NEW met1 ( 1580790 17510 ) ( 1586770 * )
NEW met1 ( 1584010 224230 ) ( 2139230 * )
NEW met2 ( 537970 2290410 ) ( * 2294150 )
NEW met1 ( 510830 2290410 ) ( 537970 * )
NEW met3 ( 529460 2750940 0 ) ( 544410 * )
NEW met2 ( 544410 2746690 ) ( * 2750940 )
NEW met1 ( 537970 2294150 ) ( 677350 * )
NEW met1 ( 544410 2746690 ) ( 677350 * )
NEW met1 ( 1580790 165410 ) ( 1584010 * )
NEW met1 ( 900450 165410 ) ( 1580790 * )
NEW met2 ( 1580790 17510 ) ( * 165410 )
NEW met2 ( 1584010 165410 ) ( * 224230 )
NEW met2 ( 593170 1741140 ) M2M3_PR
NEW met1 ( 593170 1745390 ) M1M2_PR
NEW met1 ( 698970 1741990 ) M1M2_PR
NEW met1 ( 698970 1745390 ) M1M2_PR
NEW met1 ( 697590 1745390 ) M1M2_PR
NEW met1 ( 900450 1741990 ) M1M2_PR
NEW met1 ( 2139230 224230 ) M1M2_PR
NEW met2 ( 510830 2289900 ) M2M3_PR
NEW met1 ( 510830 2290410 ) M1M2_PR
NEW met1 ( 677350 2291090 ) M1M2_PR
NEW met1 ( 697590 2291090 ) M1M2_PR
NEW met1 ( 677350 2294150 ) M1M2_PR
NEW met1 ( 677350 2746690 ) M1M2_PR
NEW met1 ( 900450 165410 ) M1M2_PR
NEW met1 ( 1586770 17510 ) M1M2_PR
NEW met1 ( 1580790 17510 ) M1M2_PR
NEW met1 ( 1584010 224230 ) M1M2_PR
NEW met1 ( 537970 2290410 ) M1M2_PR
NEW met1 ( 537970 2294150 ) M1M2_PR
NEW met2 ( 544410 2750940 ) M2M3_PR
NEW met1 ( 544410 2746690 ) M1M2_PR
NEW met1 ( 1580790 165410 ) M1M2_PR
NEW met1 ( 1584010 165410 ) M1M2_PR
NEW met1 ( 697590 1745390 ) RECT ( -595 -70 0 70 )
NEW met2 ( 677350 2294150 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[55] ( PIN la_data_in[55] ) ( wrapped_vga_clock_1 la1_data_in[23] ) ( wrapped_rgb_mixer_3 la1_data_in[23] ) ( wrapped_hack_soc_dffram_11 la1_data_in[23] ) ( wrapped_frequency_counter_2 la1_data_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 2138770 472430 ) ( * 500140 0 )
NEW met2 ( 1549050 19890 ) ( * 1694050 )
NEW met2 ( 338330 2698070 ) ( * 2700620 )
NEW met3 ( 338330 2700620 ) ( 350980 * )
NEW met3 ( 350980 2700620 ) ( * 2703340 0 )
NEW met1 ( 310270 2698070 ) ( 338330 * )
NEW met1 ( 1549050 19890 ) ( 1604710 * )
NEW met1 ( 1604250 472430 ) ( 2138770 * )
NEW met3 ( 352820 1701020 ) ( * 1703740 0 )
NEW met3 ( 352590 1701020 ) ( 352820 * )
NEW met2 ( 352590 1694050 ) ( * 1701020 )
NEW met2 ( 339710 1700850 ) ( * 1701020 )
NEW met3 ( 339710 1701020 ) ( 352590 * )
NEW met1 ( 310270 1700850 ) ( 339710 * )
NEW met2 ( 338330 2204900 ) ( * 2205070 )
NEW met3 ( 338330 2204900 ) ( 350980 * )
NEW met3 ( 350980 2204220 0 ) ( * 2204900 )
NEW met1 ( 310270 2205070 ) ( 338330 * )
NEW met1 ( 352590 1694050 ) ( 1549050 * )
NEW met2 ( 1604250 82800 ) ( 1604710 * )
NEW met2 ( 1604710 1700 0 ) ( * 82800 )
NEW met2 ( 1604250 82800 ) ( * 472430 )
NEW met2 ( 310270 1700850 ) ( * 2698070 )
NEW met1 ( 310270 2698070 ) M1M2_PR
NEW met1 ( 1549050 19890 ) M1M2_PR
NEW met1 ( 2138770 472430 ) M1M2_PR
NEW met1 ( 310270 1700850 ) M1M2_PR
NEW met1 ( 310270 2205070 ) M1M2_PR
NEW met1 ( 1549050 1694050 ) M1M2_PR
NEW met1 ( 338330 2698070 ) M1M2_PR
NEW met2 ( 338330 2700620 ) M2M3_PR
NEW met1 ( 1604710 19890 ) M1M2_PR
NEW met1 ( 1604250 472430 ) M1M2_PR
NEW met2 ( 352590 1701020 ) M2M3_PR
NEW met1 ( 352590 1694050 ) M1M2_PR
NEW met1 ( 339710 1700850 ) M1M2_PR
NEW met2 ( 339710 1701020 ) M2M3_PR
NEW met1 ( 338330 2205070 ) M1M2_PR
NEW met2 ( 338330 2204900 ) M2M3_PR
NEW met2 ( 310270 2205070 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1604710 19890 ) RECT ( -70 -485 70 0 ) ;
- la_data_in[56] ( PIN la_data_in[56] ) ( wrapped_vga_clock_1 la1_data_in[24] ) ( wrapped_rgb_mixer_3 la1_data_in[24] ) ( wrapped_hack_soc_dffram_11 la1_data_in[24] ) ( wrapped_frequency_counter_2 la1_data_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 405030 2700620 ) ( 405065 * 0 )
NEW met2 ( 1759730 479570 ) ( * 482630 )
NEW met2 ( 2132790 486710 ) ( * 500140 0 )
NEW met1 ( 396290 1683170 ) ( 417450 * )
NEW met1 ( 396290 1683170 ) ( * 1683510 )
NEW met2 ( 417680 1698980 ) ( * 1700340 0 )
NEW met2 ( 417450 1698980 ) ( 417680 * )
NEW met2 ( 417450 1683170 ) ( * 1698980 )
NEW met2 ( 417450 1590350 ) ( * 1683170 )
NEW met2 ( 408250 2187390 ) ( * 2200140 0 )
NEW met1 ( 408250 2187390 ) ( 414230 * )
NEW met2 ( 408250 2186710 ) ( * 2187390 )
NEW met2 ( 414230 1949050 ) ( * 2187390 )
NEW met2 ( 405030 2480470 ) ( * 2700620 )
NEW met2 ( 1759730 482630 ) ( * 1590350 )
NEW met1 ( 247710 1949050 ) ( 414230 * )
NEW met1 ( 1621730 479570 ) ( 1759730 * )
NEW met2 ( 2105190 482630 ) ( * 486710 )
NEW met1 ( 1759730 482630 ) ( 2105190 * )
NEW met1 ( 2105190 486710 ) ( 2132790 * )
NEW met2 ( 247710 1683510 ) ( * 1949050 )
NEW met2 ( 247250 2186710 ) ( * 2480470 )
NEW met1 ( 247710 1683510 ) ( 396290 * )
NEW met1 ( 247250 2186710 ) ( 408250 * )
NEW met1 ( 247250 2480470 ) ( 405030 * )
NEW met2 ( 1621730 82800 ) ( 1622190 * )
NEW met2 ( 1622190 1700 0 ) ( * 82800 )
NEW met2 ( 1621730 82800 ) ( * 479570 )
NEW met1 ( 417450 1590350 ) ( 1759730 * )
NEW met1 ( 414230 1949050 ) M1M2_PR
NEW met1 ( 1759730 482630 ) M1M2_PR
NEW met1 ( 1759730 479570 ) M1M2_PR
NEW met1 ( 2132790 486710 ) M1M2_PR
NEW met1 ( 417450 1590350 ) M1M2_PR
NEW met1 ( 417450 1683170 ) M1M2_PR
NEW met1 ( 408250 2187390 ) M1M2_PR
NEW met1 ( 414230 2187390 ) M1M2_PR
NEW met1 ( 408250 2186710 ) M1M2_PR
NEW met1 ( 405030 2480470 ) M1M2_PR
NEW met1 ( 1759730 1590350 ) M1M2_PR
NEW met1 ( 247710 1949050 ) M1M2_PR
NEW met1 ( 1621730 479570 ) M1M2_PR
NEW met1 ( 2105190 482630 ) M1M2_PR
NEW met1 ( 2105190 486710 ) M1M2_PR
NEW met1 ( 247710 1683510 ) M1M2_PR
NEW met1 ( 247250 2186710 ) M1M2_PR
NEW met1 ( 247250 2480470 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( wrapped_vga_clock_1 la1_data_in[25] ) ( wrapped_rgb_mixer_3 la1_data_in[25] ) ( wrapped_hack_soc_dffram_11 la1_data_in[25] ) ( wrapped_frequency_counter_2 la1_data_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 2125430 500140 ) ( 2126810 * 0 )
NEW met1 ( 310730 2000730 ) ( * 2001070 )
NEW met1 ( 315330 2387990 ) ( 316250 * )
NEW met2 ( 316250 2387990 ) ( * 2849710 )
NEW met2 ( 1635530 82800 ) ( 1640130 * )
NEW met2 ( 1640130 1700 0 ) ( * 82800 )
NEW met2 ( 1635530 82800 ) ( * 162010 )
NEW met2 ( 2125430 165410 ) ( * 500140 )
NEW met1 ( 254150 2000730 ) ( 310730 * )
NEW met2 ( 254150 2000730 ) ( * 2356370 )
NEW met3 ( 345690 1879860 ) ( 350980 * )
NEW met3 ( 350980 1877140 0 ) ( * 1879860 )
NEW met2 ( 345690 1879860 ) ( * 2001070 )
NEW met2 ( 338330 2849710 ) ( * 2850220 )
NEW met3 ( 338330 2850220 ) ( 350060 * )
NEW met3 ( 350060 2848860 ) ( * 2850220 )
NEW met3 ( 350060 2848860 ) ( 350980 * )
NEW met3 ( 350980 2848860 ) ( * 2849540 0 )
NEW met1 ( 316250 2849710 ) ( 338330 * )
NEW met2 ( 1787330 162010 ) ( * 165410 )
NEW met1 ( 1635530 162010 ) ( 1787330 * )
NEW met1 ( 310730 2001070 ) ( 1787330 * )
NEW met2 ( 1787330 165410 ) ( * 2001070 )
NEW met1 ( 1787330 165410 ) ( 2125430 * )
NEW met2 ( 338330 2355180 ) ( * 2356370 )
NEW met3 ( 338330 2355180 ) ( 350980 * )
NEW met3 ( 350980 2353820 0 ) ( * 2355180 )
NEW met1 ( 254150 2356370 ) ( 338330 * )
NEW met2 ( 315330 2356370 ) ( * 2387990 )
NEW met1 ( 316250 2387990 ) M1M2_PR
NEW met1 ( 315330 2387990 ) M1M2_PR
NEW met1 ( 316250 2849710 ) M1M2_PR
NEW met1 ( 1635530 162010 ) M1M2_PR
NEW met1 ( 2125430 165410 ) M1M2_PR
NEW met1 ( 254150 2000730 ) M1M2_PR
NEW met1 ( 254150 2356370 ) M1M2_PR
NEW met2 ( 345690 1879860 ) M2M3_PR
NEW met1 ( 345690 2001070 ) M1M2_PR
NEW met1 ( 338330 2849710 ) M1M2_PR
NEW met2 ( 338330 2850220 ) M2M3_PR
NEW met1 ( 1787330 165410 ) M1M2_PR
NEW met1 ( 1787330 162010 ) M1M2_PR
NEW met1 ( 1787330 2001070 ) M1M2_PR
NEW met1 ( 338330 2356370 ) M1M2_PR
NEW met2 ( 338330 2355180 ) M2M3_PR
NEW met1 ( 315330 2356370 ) M1M2_PR
NEW met1 ( 345690 2001070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 315330 2356370 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[58] ( PIN la_data_in[58] ) ( wrapped_vga_clock_1 la1_data_in[26] ) ( wrapped_rgb_mixer_3 la1_data_in[26] ) ( wrapped_hack_soc_dffram_11 la1_data_in[26] ) ( wrapped_frequency_counter_2 la1_data_in[26] ) + USE SIGNAL
+ ROUTED met2 ( 2118530 500140 ) ( 2120830 * 0 )
NEW met3 ( 499100 2265420 0 ) ( * 2266100 )
NEW met3 ( 499100 2266100 ) ( 510830 * )
NEW met2 ( 510830 2266100 ) ( * 2266270 )
NEW met3 ( 579140 1713940 0 ) ( 589030 * )
NEW met2 ( 589030 1713940 ) ( * 1717850 )
NEW met2 ( 671370 2273410 ) ( * 2725610 )
NEW met2 ( 1658070 1700 0 ) ( * 34500 )
NEW met2 ( 1658070 34500 ) ( 1659910 * )
NEW met2 ( 1659910 34500 ) ( * 438090 )
NEW met2 ( 2118530 44710 ) ( * 500140 )
NEW met3 ( 529460 2727140 0 ) ( 544870 * )
NEW met2 ( 544870 2725610 ) ( * 2727140 )
NEW met1 ( 544870 2725610 ) ( 671370 * )
NEW met1 ( 1658070 20230 ) ( 1699470 * )
NEW met2 ( 531070 2266270 ) ( * 2273410 )
NEW met1 ( 510830 2266270 ) ( 531070 * )
NEW met2 ( 724270 1714450 ) ( * 1717850 )
NEW met1 ( 589030 1717850 ) ( 724270 * )
NEW met1 ( 531070 2273410 ) ( 718750 * )
NEW met2 ( 718750 1717850 ) ( * 2273410 )
NEW met1 ( 724270 1714450 ) ( 1390350 * )
NEW met2 ( 1390350 438090 ) ( * 1714450 )
NEW met1 ( 1390350 438090 ) ( 1659910 * )
NEW met2 ( 1699470 20230 ) ( * 44710 )
NEW met1 ( 1699470 44710 ) ( 2118530 * )
NEW met1 ( 671370 2725610 ) M1M2_PR
NEW met1 ( 1658070 20230 ) M1M2_PR
NEW met2 ( 510830 2266100 ) M2M3_PR
NEW met1 ( 510830 2266270 ) M1M2_PR
NEW met2 ( 589030 1713940 ) M2M3_PR
NEW met1 ( 589030 1717850 ) M1M2_PR
NEW met1 ( 671370 2273410 ) M1M2_PR
NEW met1 ( 1659910 438090 ) M1M2_PR
NEW met1 ( 2118530 44710 ) M1M2_PR
NEW met2 ( 544870 2727140 ) M2M3_PR
NEW met1 ( 544870 2725610 ) M1M2_PR
NEW met1 ( 1699470 20230 ) M1M2_PR
NEW met1 ( 531070 2266270 ) M1M2_PR
NEW met1 ( 531070 2273410 ) M1M2_PR
NEW met1 ( 724270 1717850 ) M1M2_PR
NEW met1 ( 724270 1714450 ) M1M2_PR
NEW met1 ( 718750 1717850 ) M1M2_PR
NEW met1 ( 718750 2273410 ) M1M2_PR
NEW met1 ( 1390350 438090 ) M1M2_PR
NEW met1 ( 1390350 1714450 ) M1M2_PR
NEW met1 ( 1699470 44710 ) M1M2_PR
NEW met2 ( 1658070 20230 ) RECT ( -70 -485 70 0 )
NEW met1 ( 671370 2273410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 718750 1717850 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[59] ( PIN la_data_in[59] ) ( wrapped_vga_clock_1 la1_data_in[27] ) ( wrapped_rgb_mixer_3 la1_data_in[27] ) ( wrapped_hack_soc_dffram_11 la1_data_in[27] ) ( wrapped_frequency_counter_2 la1_data_in[27] ) + USE SIGNAL
+ ROUTED met1 ( 485530 1685210 ) ( 500250 * )
NEW met2 ( 485530 1685210 ) ( * 1700340 0 )
NEW met2 ( 500250 1600550 ) ( * 1685210 )
NEW met3 ( 1670260 58820 ) ( 1675550 * )
NEW met2 ( 1675550 1700 0 ) ( * 58820 )
NEW met2 ( 1670490 230860 ) ( * 231030 )
NEW met3 ( 1670260 230860 ) ( 1670490 * )
NEW met4 ( 1670260 58820 ) ( * 1596980 )
NEW met2 ( 462990 2700620 ) ( 463025 * 0 )
NEW met3 ( 2471580 858500 ) ( * 861220 0 )
NEW met3 ( 2471580 858500 ) ( 2472270 * )
NEW met2 ( 466210 2200140 0 ) ( 468510 * )
NEW met2 ( 468510 2187220 ) ( * 2200140 )
NEW met2 ( 468510 2004470 ) ( * 2187220 )
NEW met2 ( 462990 2673420 ) ( * 2700620 )
NEW met3 ( 468510 2187220 ) ( 534060 * )
NEW met3 ( 534060 2381020 ) ( 545100 * )
NEW met2 ( 658490 1596980 ) ( * 1600550 )
NEW met1 ( 500250 1600550 ) ( 658490 * )
NEW met1 ( 468510 2004470 ) ( 656650 * )
NEW met2 ( 656650 1600550 ) ( * 2004470 )
NEW met3 ( 658490 1596980 ) ( 1670260 * )
NEW met1 ( 1670490 231030 ) ( 2472270 * )
NEW met2 ( 2472270 231030 ) ( * 858500 )
NEW met4 ( 534060 2187220 ) ( * 2381020 )
NEW met4 ( 544180 2670300 ) ( * 2673420 )
NEW met4 ( 544180 2670300 ) ( 545100 * )
NEW met3 ( 462990 2673420 ) ( 544180 * )
NEW met4 ( 545100 2381020 ) ( * 2428800 )
NEW met4 ( 545100 2477100 ) ( * 2670300 )
NEW met4 ( 544180 2428800 ) ( 545100 * )
NEW met4 ( 544180 2428800 ) ( * 2477100 )
NEW met4 ( 544180 2477100 ) ( 545100 * )
NEW met1 ( 500250 1600550 ) M1M2_PR
NEW met1 ( 500250 1685210 ) M1M2_PR
NEW met1 ( 485530 1685210 ) M1M2_PR
NEW met3 ( 1670260 58820 ) M3M4_PR
NEW met2 ( 1675550 58820 ) M2M3_PR
NEW met1 ( 1670490 231030 ) M1M2_PR
NEW met2 ( 1670490 230860 ) M2M3_PR
NEW met3 ( 1670260 230860 ) M3M4_PR
NEW met3 ( 1670260 1596980 ) M3M4_PR
NEW met2 ( 2472270 858500 ) M2M3_PR
NEW met1 ( 468510 2004470 ) M1M2_PR
NEW met2 ( 468510 2187220 ) M2M3_PR
NEW met2 ( 462990 2673420 ) M2M3_PR
NEW met3 ( 534060 2187220 ) M3M4_PR
NEW met3 ( 534060 2381020 ) M3M4_PR
NEW met3 ( 545100 2381020 ) M3M4_PR
NEW met2 ( 658490 1596980 ) M2M3_PR
NEW met1 ( 658490 1600550 ) M1M2_PR
NEW met1 ( 656650 1600550 ) M1M2_PR
NEW met1 ( 656650 2004470 ) M1M2_PR
NEW met1 ( 2472270 231030 ) M1M2_PR
NEW met3 ( 544180 2673420 ) M3M4_PR
NEW met3 ( 1670490 230860 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1670260 230860 ) RECT ( -150 -800 150 0 )
NEW met1 ( 656650 1600550 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) ( wrapped_vga_clock_1 la1_data_in[28] ) ( wrapped_rgb_mixer_3 la1_data_in[28] ) ( wrapped_hack_soc_dffram_11 la1_data_in[28] ) ( wrapped_frequency_counter_2 la1_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 2111630 500140 ) ( 2114850 * 0 )
NEW met2 ( 309350 2014800 ) ( * 2015010 )
NEW met2 ( 308890 2014800 ) ( 309350 * )
NEW met2 ( 309350 1862690 ) ( * 2014800 )
NEW met2 ( 1445550 886550 ) ( * 2015010 )
NEW met2 ( 2111630 365330 ) ( * 500140 )
NEW met2 ( 338330 1858780 ) ( * 1862690 )
NEW met3 ( 338330 1858780 ) ( 350980 * )
NEW met3 ( 350980 1856740 0 ) ( * 1858780 )
NEW met1 ( 309350 1862690 ) ( 338330 * )
NEW met2 ( 338330 2829310 ) ( * 2831180 )
NEW met3 ( 338330 2831180 ) ( 351900 * )
NEW met3 ( 351900 2829820 0 ) ( * 2831180 )
NEW met1 ( 315790 2829310 ) ( 338330 * )
NEW met1 ( 309350 2015010 ) ( 1445550 * )
NEW met1 ( 1445550 886550 ) ( 1693950 * )
NEW met2 ( 1690730 82800 ) ( 1693490 * )
NEW met2 ( 1693490 1700 0 ) ( * 82800 )
NEW met1 ( 1690730 358870 ) ( 1693950 * )
NEW met2 ( 1690730 82800 ) ( * 358870 )
NEW met2 ( 1693950 358870 ) ( * 886550 )
NEW met1 ( 1693950 365330 ) ( 2111630 * )
NEW met1 ( 308890 2333930 ) ( 338330 * )
NEW met2 ( 338330 2333930 ) ( * 2334100 )
NEW met3 ( 338330 2334100 ) ( 350980 * )
NEW met3 ( 350980 2333420 0 ) ( * 2334100 )
NEW met2 ( 308890 2014800 ) ( * 2333930 )
NEW met2 ( 315790 2333930 ) ( * 2829310 )
NEW met1 ( 309350 1862690 ) M1M2_PR
NEW met1 ( 309350 2015010 ) M1M2_PR
NEW met1 ( 315790 2829310 ) M1M2_PR
NEW met1 ( 1445550 886550 ) M1M2_PR
NEW met1 ( 1445550 2015010 ) M1M2_PR
NEW met1 ( 2111630 365330 ) M1M2_PR
NEW met1 ( 338330 1862690 ) M1M2_PR
NEW met2 ( 338330 1858780 ) M2M3_PR
NEW met1 ( 338330 2829310 ) M1M2_PR
NEW met2 ( 338330 2831180 ) M2M3_PR
NEW met1 ( 1693950 886550 ) M1M2_PR
NEW met1 ( 1693950 358870 ) M1M2_PR
NEW met1 ( 1690730 358870 ) M1M2_PR
NEW met1 ( 1693950 365330 ) M1M2_PR
NEW met1 ( 308890 2333930 ) M1M2_PR
NEW met1 ( 338330 2333930 ) M1M2_PR
NEW met2 ( 338330 2334100 ) M2M3_PR
NEW met1 ( 315790 2333930 ) M1M2_PR
NEW met2 ( 1693950 365330 ) RECT ( -70 -485 70 0 )
NEW met1 ( 315790 2333930 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[61] ( PIN la_data_in[61] ) ( wrapped_vga_clock_1 la1_data_in[29] ) ( wrapped_rgb_mixer_3 la1_data_in[29] ) ( wrapped_hack_soc_dffram_11 la1_data_in[29] ) ( wrapped_frequency_counter_2 la1_data_in[29] ) + USE SIGNAL
+ ROUTED met2 ( 352130 1929500 ) ( 353510 * 0 )
NEW met3 ( 350980 2404820 0 ) ( * 2405500 )
NEW met3 ( 340170 2405500 ) ( 350980 * )
NEW met2 ( 340170 2405500 ) ( * 2408050 )
NEW met1 ( 323150 2408050 ) ( 340170 * )
NEW met2 ( 1710970 1700 0 ) ( * 17510 )
NEW met1 ( 1704530 17510 ) ( 1710970 * )
NEW met1 ( 352130 2008210 ) ( 355350 * )
NEW met2 ( 352130 1929500 ) ( * 2008210 )
NEW met3 ( 345460 2194700 ) ( 355350 * )
NEW met2 ( 355350 2008210 ) ( * 2194700 )
NEW met2 ( 1704530 17510 ) ( * 245310 )
NEW met2 ( 1796530 245310 ) ( * 248370 )
NEW met1 ( 1794230 248370 ) ( 1796530 * )
NEW met1 ( 1704530 245310 ) ( 1796530 * )
NEW met1 ( 355350 2008210 ) ( 1794230 * )
NEW met2 ( 1794230 248370 ) ( * 2008210 )
NEW met1 ( 1796530 248370 ) ( 2470890 * )
NEW met3 ( 2470660 855100 ) ( 2470890 * )
NEW met3 ( 2470660 855100 ) ( * 855780 0 )
NEW met2 ( 2470890 248370 ) ( * 855100 )
NEW met1 ( 323150 2891530 ) ( 338790 * )
NEW met2 ( 338790 2891530 ) ( * 2895780 )
NEW met3 ( 338790 2895780 ) ( 350980 * )
NEW met3 ( 350980 2895780 ) ( * 2897140 0 )
NEW met2 ( 323150 2408050 ) ( * 2891530 )
NEW met4 ( 345460 2194700 ) ( * 2405500 )
NEW met1 ( 323150 2408050 ) M1M2_PR
NEW met2 ( 340170 2405500 ) M2M3_PR
NEW met1 ( 340170 2408050 ) M1M2_PR
NEW met3 ( 345460 2405500 ) M3M4_PR
NEW met1 ( 1710970 17510 ) M1M2_PR
NEW met1 ( 1704530 17510 ) M1M2_PR
NEW met1 ( 355350 2008210 ) M1M2_PR
NEW met1 ( 352130 2008210 ) M1M2_PR
NEW met3 ( 345460 2194700 ) M3M4_PR
NEW met2 ( 355350 2194700 ) M2M3_PR
NEW met1 ( 1704530 245310 ) M1M2_PR
NEW met1 ( 1796530 248370 ) M1M2_PR
NEW met1 ( 1796530 245310 ) M1M2_PR
NEW met1 ( 1794230 248370 ) M1M2_PR
NEW met1 ( 1794230 2008210 ) M1M2_PR
NEW met1 ( 2470890 248370 ) M1M2_PR
NEW met2 ( 2470890 855100 ) M2M3_PR
NEW met1 ( 323150 2891530 ) M1M2_PR
NEW met1 ( 338790 2891530 ) M1M2_PR
NEW met2 ( 338790 2895780 ) M2M3_PR
NEW met3 ( 345460 2405500 ) RECT ( -800 -150 0 150 ) ;
- la_data_in[62] ( PIN la_data_in[62] ) ( wrapped_vga_clock_1 la1_data_in[30] ) ( wrapped_rgb_mixer_3 la1_data_in[30] ) ( wrapped_hack_soc_dffram_11 la1_data_in[30] ) ( wrapped_frequency_counter_2 la1_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 1726610 1700 ) ( 1728910 * 0 )
NEW met2 ( 221490 2187050 ) ( * 2191130 )
NEW met1 ( 192510 2187050 ) ( 221490 * )
NEW met1 ( 221490 2187050 ) ( 224250 * )
NEW met2 ( 224250 1689630 ) ( * 2187050 )
NEW met2 ( 192510 2187050 ) ( * 2680390 )
NEW met2 ( 383410 1617890 ) ( * 1684530 )
NEW met2 ( 1725230 82800 ) ( 1726610 * )
NEW met2 ( 1726610 1700 ) ( * 82800 )
NEW met1 ( 1725230 920210 ) ( 1728450 * )
NEW met2 ( 1728450 917830 ) ( * 920210 )
NEW met2 ( 1725230 82800 ) ( * 920210 )
NEW met2 ( 1728450 920210 ) ( * 1617890 )
NEW met2 ( 2499410 853910 ) ( * 917830 )
NEW met2 ( 356730 2700620 ) ( 356765 * 0 )
NEW met2 ( 356730 1689630 ) ( * 1700340 0 )
NEW met2 ( 356730 1684530 ) ( * 1689630 )
NEW met1 ( 224250 1689630 ) ( 356730 * )
NEW met1 ( 356730 1684530 ) ( 383410 * )
NEW met2 ( 356730 2191130 ) ( * 2200140 0 )
NEW met1 ( 221490 2191130 ) ( 356730 * )
NEW met1 ( 192510 2680390 ) ( 356730 * )
NEW met2 ( 356730 2680390 ) ( * 2700620 )
NEW met1 ( 383410 1617890 ) ( 1728450 * )
NEW met3 ( 2471580 849660 0 ) ( 2485150 * )
NEW met2 ( 2485150 849660 ) ( * 853910 )
NEW met1 ( 2485150 853910 ) ( 2499410 * )
NEW met1 ( 1728450 917830 ) ( 2499410 * )
NEW met1 ( 224250 1689630 ) M1M2_PR
NEW met1 ( 221490 2191130 ) M1M2_PR
NEW met1 ( 221490 2187050 ) M1M2_PR
NEW met1 ( 192510 2187050 ) M1M2_PR
NEW met1 ( 224250 2187050 ) M1M2_PR
NEW met1 ( 192510 2680390 ) M1M2_PR
NEW met1 ( 383410 1617890 ) M1M2_PR
NEW met1 ( 383410 1684530 ) M1M2_PR
NEW met1 ( 1725230 920210 ) M1M2_PR
NEW met1 ( 1728450 920210 ) M1M2_PR
NEW met1 ( 1728450 917830 ) M1M2_PR
NEW met1 ( 1728450 1617890 ) M1M2_PR
NEW met1 ( 2499410 853910 ) M1M2_PR
NEW met1 ( 2499410 917830 ) M1M2_PR
NEW met1 ( 356730 1689630 ) M1M2_PR
NEW met1 ( 356730 1684530 ) M1M2_PR
NEW met1 ( 356730 2191130 ) M1M2_PR
NEW met1 ( 356730 2680390 ) M1M2_PR
NEW met2 ( 2485150 849660 ) M2M3_PR
NEW met1 ( 2485150 853910 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( wrapped_vga_clock_1 la1_data_in[31] ) ( wrapped_rgb_mixer_3 la1_data_in[31] ) ( wrapped_hack_soc_dffram_11 la1_data_in[31] ) ( wrapped_frequency_counter_2 la1_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 497490 2642700 ) ( 498410 * )
NEW met2 ( 675970 1604290 ) ( * 1607690 )
NEW met2 ( 669530 1607690 ) ( * 2201330 )
NEW met2 ( 1745930 82800 ) ( 1746390 * )
NEW met2 ( 1746390 1700 0 ) ( * 82800 )
NEW met1 ( 1745930 255510 ) ( 1749150 * )
NEW met2 ( 1745930 82800 ) ( * 255510 )
NEW met2 ( 1749150 255510 ) ( * 1604290 )
NEW met2 ( 527390 1607690 ) ( * 1700340 0 )
NEW met1 ( 527390 1607690 ) ( 675970 * )
NEW met1 ( 675970 1604290 ) ( 1749150 * )
NEW met1 ( 1749150 261970 ) ( 2477790 * )
NEW met3 ( 2471580 844220 0 ) ( 2477790 * )
NEW met2 ( 2477790 261970 ) ( * 844220 )
NEW met1 ( 497490 2222070 ) ( 503930 * )
NEW met2 ( 498410 2700620 ) ( 498445 * 0 )
NEW met2 ( 498410 2642700 ) ( * 2700620 )
NEW met2 ( 497490 2222070 ) ( * 2642700 )
NEW met3 ( 499100 2200820 0 ) ( * 2201500 )
NEW met3 ( 499100 2201500 ) ( 510830 * )
NEW met2 ( 510830 2201330 ) ( * 2201500 )
NEW met2 ( 503930 2201500 ) ( * 2222070 )
NEW met1 ( 510830 2201330 ) ( 669530 * )
NEW met1 ( 675970 1607690 ) M1M2_PR
NEW met1 ( 675970 1604290 ) M1M2_PR
NEW met1 ( 669530 1607690 ) M1M2_PR
NEW met1 ( 669530 2201330 ) M1M2_PR
NEW met1 ( 1749150 255510 ) M1M2_PR
NEW met1 ( 1745930 255510 ) M1M2_PR
NEW met1 ( 1749150 261970 ) M1M2_PR
NEW met1 ( 1749150 1604290 ) M1M2_PR
NEW met1 ( 527390 1607690 ) M1M2_PR
NEW met1 ( 2477790 261970 ) M1M2_PR
NEW met2 ( 2477790 844220 ) M2M3_PR
NEW met1 ( 497490 2222070 ) M1M2_PR
NEW met1 ( 503930 2222070 ) M1M2_PR
NEW met2 ( 510830 2201500 ) M2M3_PR
NEW met1 ( 510830 2201330 ) M1M2_PR
NEW met2 ( 503930 2201500 ) M2M3_PR
NEW met1 ( 669530 1607690 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1749150 261970 ) RECT ( -70 -485 70 0 )
NEW met3 ( 503930 2201500 ) RECT ( -800 -150 0 150 ) ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( wrapped_vga_clock_1 la1_data_out[0] ) ( wrapped_rgb_mixer_3 la1_data_out[0] ) ( wrapped_hack_soc_dffram_11 la1_data_out[0] ) ( wrapped_frequency_counter_2 la1_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 382335 2700620 0 ) ( 382490 * )
NEW met2 ( 385710 1698300 ) ( * 1700340 0 )
NEW met2 ( 379730 1542410 ) ( * 1698300 )
NEW met2 ( 382490 2165970 ) ( * 2200140 0 )
NEW met2 ( 382490 2660670 ) ( * 2700620 )
NEW met1 ( 379730 1542410 ) ( 1080310 * )
NEW met2 ( 1202670 1700 0 ) ( * 20230 )
NEW met1 ( 1202670 20230 ) ( 1204050 * )
NEW met1 ( 1080310 20230 ) ( 1202670 * )
NEW met2 ( 2463530 500140 ) ( 2468590 * 0 )
NEW met2 ( 275310 1698300 ) ( * 2159700 )
NEW met2 ( 275310 2159700 ) ( 275770 * )
NEW met2 ( 275770 2159700 ) ( * 2165970 )
NEW met2 ( 275770 2165970 ) ( * 2660670 )
NEW met3 ( 275310 1698300 ) ( 385710 * )
NEW met1 ( 275770 2165970 ) ( 382490 * )
NEW met1 ( 275770 2660670 ) ( 382490 * )
NEW met2 ( 1204050 20230 ) ( * 265710 )
NEW met1 ( 1204050 265710 ) ( 2463530 * )
NEW met2 ( 2463530 265710 ) ( * 500140 )
NEW met2 ( 1080310 20230 ) ( * 1542410 )
NEW met1 ( 379730 1542410 ) M1M2_PR
NEW met1 ( 1080310 20230 ) M1M2_PR
NEW met1 ( 1080310 1542410 ) M1M2_PR
NEW met2 ( 385710 1698300 ) M2M3_PR
NEW met2 ( 379730 1698300 ) M2M3_PR
NEW met1 ( 382490 2165970 ) M1M2_PR
NEW met1 ( 382490 2660670 ) M1M2_PR
NEW met1 ( 1202670 20230 ) M1M2_PR
NEW met1 ( 1204050 20230 ) M1M2_PR
NEW met2 ( 275310 1698300 ) M2M3_PR
NEW met1 ( 275770 2165970 ) M1M2_PR
NEW met1 ( 275770 2660670 ) M1M2_PR
NEW met1 ( 1204050 265710 ) M1M2_PR
NEW met1 ( 2463530 265710 ) M1M2_PR
NEW met3 ( 379730 1698300 ) RECT ( -800 -150 0 150 ) ;
- la_data_out[33] ( PIN la_data_out[33] ) ( wrapped_vga_clock_1 la1_data_out[1] ) ( wrapped_rgb_mixer_3 la1_data_out[1] ) ( wrapped_hack_soc_dffram_11 la1_data_out[1] ) ( wrapped_frequency_counter_2 la1_data_out[1] ) + USE SIGNAL
+ ROUTED met4 ( 309580 54740 ) ( * 1905020 )
NEW met2 ( 316250 2387140 ) ( * 2387310 )
NEW met3 ( 315100 2387140 ) ( 316250 * )
NEW met1 ( 308890 2387310 ) ( 316250 * )
NEW met2 ( 1220610 1700 0 ) ( * 17510 )
NEW met1 ( 1214630 17510 ) ( 1220610 * )
NEW met2 ( 2462610 486370 ) ( * 500140 0 )
NEW met1 ( 2377050 486370 ) ( 2462610 * )
NEW met3 ( 309580 1905020 ) ( 324300 * )
NEW met3 ( 324300 1905020 ) ( * 1907740 )
NEW met3 ( 324300 1907740 ) ( 350980 * )
NEW met3 ( 350980 1907740 ) ( * 1911140 0 )
NEW met2 ( 338790 2381020 ) ( * 2387310 )
NEW met3 ( 338790 2381020 ) ( 350060 * )
NEW met1 ( 316250 2387310 ) ( 338790 * )
NEW met3 ( 1214630 54740 ) ( 1217850 * )
NEW met3 ( 309580 54740 ) ( 1214630 * )
NEW met2 ( 1214630 17510 ) ( * 54740 )
NEW met2 ( 1217850 54740 ) ( * 272850 )
NEW met1 ( 1217850 272850 ) ( 2377050 * )
NEW met2 ( 2377050 272850 ) ( * 486370 )
NEW met1 ( 308890 2870790 ) ( 338790 * )
NEW met2 ( 338790 2870790 ) ( * 2875380 )
NEW met3 ( 338790 2875380 ) ( 350980 * )
NEW met3 ( 350980 2875380 ) ( * 2876740 0 )
NEW met2 ( 308890 2387310 ) ( * 2870790 )
NEW met3 ( 350060 2380500 ) ( * 2381020 )
NEW met3 ( 350060 2380500 ) ( 350980 * )
NEW met3 ( 350980 2380340 0 ) ( * 2380500 )
NEW met4 ( 315100 1905020 ) ( * 2387140 )
NEW met3 ( 309580 54740 ) M3M4_PR
NEW met3 ( 309580 1905020 ) M3M4_PR
NEW met3 ( 315100 1905020 ) M3M4_PR
NEW met1 ( 316250 2387310 ) M1M2_PR
NEW met2 ( 316250 2387140 ) M2M3_PR
NEW met3 ( 315100 2387140 ) M3M4_PR
NEW met1 ( 308890 2387310 ) M1M2_PR
NEW met1 ( 1220610 17510 ) M1M2_PR
NEW met1 ( 1214630 17510 ) M1M2_PR
NEW met1 ( 2377050 486370 ) M1M2_PR
NEW met1 ( 2462610 486370 ) M1M2_PR
NEW met1 ( 338790 2387310 ) M1M2_PR
NEW met2 ( 338790 2381020 ) M2M3_PR
NEW met2 ( 1214630 54740 ) M2M3_PR
NEW met2 ( 1217850 54740 ) M2M3_PR
NEW met1 ( 1217850 272850 ) M1M2_PR
NEW met1 ( 2377050 272850 ) M1M2_PR
NEW met1 ( 308890 2870790 ) M1M2_PR
NEW met1 ( 338790 2870790 ) M1M2_PR
NEW met2 ( 338790 2875380 ) M2M3_PR
NEW met3 ( 315100 1905020 ) RECT ( -800 -150 0 150 ) ;
- la_data_out[34] ( PIN la_data_out[34] ) ( wrapped_vga_clock_1 la1_data_out[2] ) ( wrapped_rgb_mixer_3 la1_data_out[2] ) ( wrapped_hack_soc_dffram_11 la1_data_out[2] ) ( wrapped_frequency_counter_2 la1_data_out[2] ) + USE SIGNAL
+ ROUTED met1 ( 261510 2311490 ) ( 266110 * )
NEW met2 ( 430790 2700620 ) ( 430825 * 0 )
NEW met2 ( 620770 1638970 ) ( * 1642030 )
NEW met1 ( 446890 1642030 ) ( 620770 * )
NEW met2 ( 1238090 1700 0 ) ( * 17850 )
NEW met1 ( 620770 1638970 ) ( 1210950 * )
NEW met1 ( 1238090 17850 ) ( 1290300 * )
NEW met1 ( 1290300 17850 ) ( * 18190 )
NEW met1 ( 1290300 18190 ) ( 1318130 * )
NEW met3 ( 1300420 282540 ) ( 1318130 * )
NEW met1 ( 1318130 279650 ) ( 2456630 * )
NEW met2 ( 261510 2187050 ) ( * 2311490 )
NEW met2 ( 266110 2311490 ) ( * 2687870 )
NEW met2 ( 446890 1642030 ) ( * 1700340 0 )
NEW met2 ( 434010 2187050 ) ( * 2200140 0 )
NEW met1 ( 261510 2187050 ) ( 434010 * )
NEW met2 ( 431250 2004810 ) ( * 2187050 )
NEW met1 ( 266110 2687870 ) ( 430790 * )
NEW met2 ( 430790 2687870 ) ( * 2700620 )
NEW met1 ( 431250 2004810 ) ( 615710 * )
NEW met2 ( 615710 1642030 ) ( * 2004810 )
NEW met2 ( 1210950 906780 ) ( * 1638970 )
NEW met2 ( 1318130 18190 ) ( * 282540 )
NEW met3 ( 1210950 906780 ) ( 1300420 * )
NEW met4 ( 1300420 282540 ) ( * 906780 )
NEW met2 ( 2456630 279650 ) ( * 500140 0 )
NEW met1 ( 261510 2311490 ) M1M2_PR
NEW met1 ( 266110 2311490 ) M1M2_PR
NEW met1 ( 446890 1642030 ) M1M2_PR
NEW met1 ( 620770 1642030 ) M1M2_PR
NEW met1 ( 620770 1638970 ) M1M2_PR
NEW met1 ( 615710 1642030 ) M1M2_PR
NEW met1 ( 1238090 17850 ) M1M2_PR
NEW met1 ( 1210950 1638970 ) M1M2_PR
NEW met1 ( 1318130 18190 ) M1M2_PR
NEW met2 ( 1318130 282540 ) M2M3_PR
NEW met3 ( 1300420 282540 ) M3M4_PR
NEW met1 ( 1318130 279650 ) M1M2_PR
NEW met1 ( 2456630 279650 ) M1M2_PR
NEW met1 ( 261510 2187050 ) M1M2_PR
NEW met1 ( 266110 2687870 ) M1M2_PR
NEW met1 ( 431250 2004810 ) M1M2_PR
NEW met1 ( 434010 2187050 ) M1M2_PR
NEW met1 ( 431250 2187050 ) M1M2_PR
NEW met1 ( 430790 2687870 ) M1M2_PR
NEW met1 ( 615710 2004810 ) M1M2_PR
NEW met2 ( 1210950 906780 ) M2M3_PR
NEW met3 ( 1300420 906780 ) M3M4_PR
NEW met1 ( 615710 1642030 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1318130 279650 ) RECT ( -70 -485 70 0 )
NEW met1 ( 431250 2187050 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[35] ( PIN la_data_out[35] ) ( wrapped_vga_clock_1 la1_data_out[3] ) ( wrapped_rgb_mixer_3 la1_data_out[3] ) ( wrapped_hack_soc_dffram_11 la1_data_out[3] ) ( wrapped_frequency_counter_2 la1_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 1256030 1700 0 ) ( * 17340 )
NEW met2 ( 1256030 17340 ) ( 1256490 * )
NEW met2 ( 316710 1652740 ) ( * 1745730 )
NEW met4 ( 865260 389300 ) ( * 1652740 )
NEW met2 ( 1256490 17340 ) ( * 286110 )
NEW met1 ( 335570 1745390 ) ( * 1745730 )
NEW met1 ( 335570 1745390 ) ( 338330 * )
NEW met2 ( 338330 1745220 ) ( * 1745390 )
NEW met3 ( 338330 1745220 ) ( 350980 * )
NEW met3 ( 350980 1744540 0 ) ( * 1745220 )
NEW met1 ( 316710 1745730 ) ( 335570 * )
NEW met2 ( 338330 2236010 ) ( * 2236180 )
NEW met3 ( 338330 2236180 ) ( 350980 * )
NEW met3 ( 350980 2236180 ) ( * 2237540 0 )
NEW met1 ( 308430 2236010 ) ( 338330 * )
NEW met2 ( 338790 2732750 ) ( * 2735980 )
NEW met3 ( 338790 2735980 ) ( 350980 * )
NEW met3 ( 350980 2735980 ) ( * 2737340 0 )
NEW met1 ( 308430 2732750 ) ( 338790 * )
NEW met3 ( 316710 1652740 ) ( 865260 * )
NEW met1 ( 1232110 286110 ) ( 1256490 * )
NEW met3 ( 865260 389300 ) ( 1232110 * )
NEW met1 ( 1256490 286110 ) ( 2449730 * )
NEW met2 ( 2449730 500140 ) ( 2450650 * 0 )
NEW met2 ( 331430 1745730 ) ( * 2236010 )
NEW met2 ( 1232110 286110 ) ( * 389300 )
NEW met2 ( 2449730 286110 ) ( * 500140 )
NEW met2 ( 308430 2236010 ) ( * 2732750 )
NEW met2 ( 316710 1652740 ) M2M3_PR
NEW met1 ( 316710 1745730 ) M1M2_PR
NEW met1 ( 308430 2236010 ) M1M2_PR
NEW met1 ( 308430 2732750 ) M1M2_PR
NEW met3 ( 865260 389300 ) M3M4_PR
NEW met3 ( 865260 1652740 ) M3M4_PR
NEW met1 ( 1256490 286110 ) M1M2_PR
NEW met1 ( 338330 1745390 ) M1M2_PR
NEW met2 ( 338330 1745220 ) M2M3_PR
NEW met1 ( 331430 1745730 ) M1M2_PR
NEW met1 ( 338330 2236010 ) M1M2_PR
NEW met2 ( 338330 2236180 ) M2M3_PR
NEW met1 ( 331430 2236010 ) M1M2_PR
NEW met1 ( 338790 2732750 ) M1M2_PR
NEW met2 ( 338790 2735980 ) M2M3_PR
NEW met1 ( 1232110 286110 ) M1M2_PR
NEW met2 ( 1232110 389300 ) M2M3_PR
NEW met1 ( 2449730 286110 ) M1M2_PR
NEW met1 ( 331430 1745730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 331430 2236010 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[36] ( PIN la_data_out[36] ) ( wrapped_vga_clock_1 la1_data_out[4] ) ( wrapped_rgb_mixer_3 la1_data_out[4] ) ( wrapped_hack_soc_dffram_11 la1_data_out[4] ) ( wrapped_frequency_counter_2 la1_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 2442830 500140 ) ( 2444670 * 0 )
NEW met2 ( 690690 1687250 ) ( * 1690310 )
NEW met2 ( 690690 1725000 ) ( 691150 * )
NEW met2 ( 690690 1690310 ) ( * 1725000 )
NEW met2 ( 691150 1725000 ) ( * 2228870 )
NEW met2 ( 886650 382670 ) ( * 1687250 )
NEW met2 ( 1273510 1700 0 ) ( * 382670 )
NEW met2 ( 2442830 293590 ) ( * 500140 )
NEW met1 ( 886650 382670 ) ( 1273510 * )
NEW met2 ( 1296970 289850 ) ( * 293590 )
NEW met1 ( 1273510 289850 ) ( 1296970 * )
NEW met1 ( 1296970 293590 ) ( 2442830 * )
NEW met2 ( 562810 1690310 ) ( * 1700340 0 )
NEW met1 ( 562810 1690310 ) ( 690690 * )
NEW met1 ( 690690 1687250 ) ( 886650 * )
NEW met3 ( 499100 2234820 0 ) ( * 2235500 )
NEW met3 ( 499100 2235500 ) ( 509910 * )
NEW met1 ( 509910 2228870 ) ( 691150 * )
NEW met1 ( 509910 2683450 ) ( 527850 * )
NEW met2 ( 527850 2683450 ) ( * 2700620 )
NEW met2 ( 527390 2700620 0 ) ( 527850 * )
NEW met2 ( 509910 2228870 ) ( * 2683450 )
NEW met1 ( 691150 2228870 ) M1M2_PR
NEW met1 ( 886650 382670 ) M1M2_PR
NEW met1 ( 1273510 289850 ) M1M2_PR
NEW met1 ( 1273510 382670 ) M1M2_PR
NEW met1 ( 2442830 293590 ) M1M2_PR
NEW met1 ( 690690 1687250 ) M1M2_PR
NEW met1 ( 690690 1690310 ) M1M2_PR
NEW met1 ( 886650 1687250 ) M1M2_PR
NEW met1 ( 1296970 289850 ) M1M2_PR
NEW met1 ( 1296970 293590 ) M1M2_PR
NEW met1 ( 562810 1690310 ) M1M2_PR
NEW met1 ( 509910 2228870 ) M1M2_PR
NEW met2 ( 509910 2235500 ) M2M3_PR
NEW met1 ( 509910 2683450 ) M1M2_PR
NEW met1 ( 527850 2683450 ) M1M2_PR
NEW met2 ( 1273510 289850 ) RECT ( -70 -485 70 0 )
NEW met2 ( 509910 2235500 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[37] ( PIN la_data_out[37] ) ( wrapped_vga_clock_1 la1_data_out[5] ) ( wrapped_rgb_mixer_3 la1_data_out[5] ) ( wrapped_hack_soc_dffram_11 la1_data_out[5] ) ( wrapped_frequency_counter_2 la1_data_out[5] ) + USE SIGNAL
+ ROUTED met1 ( 2432250 484670 ) ( 2438690 * )
NEW met2 ( 2438690 484670 ) ( * 500140 0 )
NEW met2 ( 282210 1697790 ) ( * 1936130 )
NEW met2 ( 2432250 51510 ) ( * 484670 )
NEW met1 ( 282210 1936130 ) ( 359950 * )
NEW met2 ( 363170 2700620 ) ( 363205 * 0 )
NEW met2 ( 1291450 1700 0 ) ( * 17340 )
NEW met3 ( 1291450 17340 ) ( 1296970 * )
NEW met3 ( 455170 1666340 ) ( 1292140 * )
NEW met2 ( 260590 2204730 ) ( * 2661010 )
NEW met2 ( 366390 1697790 ) ( * 1700340 0 )
NEW met2 ( 366390 1687930 ) ( * 1697790 )
NEW met1 ( 282210 1697790 ) ( 366390 * )
NEW met2 ( 359950 1936130 ) ( * 2159700 )
NEW met2 ( 361790 2203540 ) ( 363170 * 0 )
NEW met2 ( 361790 2203370 ) ( * 2203540 )
NEW met1 ( 361790 2203370 ) ( * 2203710 )
NEW met1 ( 348910 2203710 ) ( 361790 * )
NEW met1 ( 348910 2203710 ) ( * 2204730 )
NEW met2 ( 359950 2159700 ) ( 361790 * )
NEW met2 ( 361790 2159700 ) ( * 2203370 )
NEW met1 ( 260590 2204730 ) ( 348910 * )
NEW met1 ( 260590 2661010 ) ( 363170 * )
NEW met2 ( 363170 2661010 ) ( * 2700620 )
NEW met1 ( 366390 1687930 ) ( 455170 * )
NEW met2 ( 455170 1666340 ) ( * 1687930 )
NEW met1 ( 1292370 54570 ) ( 1296970 * )
NEW met2 ( 1292370 54570 ) ( * 54740 )
NEW met3 ( 1292140 54740 ) ( 1292370 * )
NEW met4 ( 1292140 54740 ) ( * 82800 )
NEW met4 ( 1291220 82800 ) ( 1292140 * )
NEW met2 ( 1296970 17340 ) ( * 54570 )
NEW met4 ( 1291220 82800 ) ( * 1580100 )
NEW met4 ( 1291220 1580100 ) ( 1292140 * )
NEW met4 ( 1292140 1580100 ) ( * 1666340 )
NEW met1 ( 1296970 51510 ) ( 2432250 * )
NEW met1 ( 282210 1936130 ) M1M2_PR
NEW met1 ( 2432250 484670 ) M1M2_PR
NEW met1 ( 2438690 484670 ) M1M2_PR
NEW met1 ( 282210 1697790 ) M1M2_PR
NEW met1 ( 2432250 51510 ) M1M2_PR
NEW met1 ( 359950 1936130 ) M1M2_PR
NEW met2 ( 455170 1666340 ) M2M3_PR
NEW met2 ( 1291450 17340 ) M2M3_PR
NEW met2 ( 1296970 17340 ) M2M3_PR
NEW met3 ( 1292140 1666340 ) M3M4_PR
NEW met1 ( 260590 2204730 ) M1M2_PR
NEW met1 ( 260590 2661010 ) M1M2_PR
NEW met1 ( 366390 1697790 ) M1M2_PR
NEW met1 ( 366390 1687930 ) M1M2_PR
NEW met1 ( 361790 2203370 ) M1M2_PR
NEW met1 ( 363170 2661010 ) M1M2_PR
NEW met1 ( 455170 1687930 ) M1M2_PR
NEW met1 ( 1296970 54570 ) M1M2_PR
NEW met1 ( 1292370 54570 ) M1M2_PR
NEW met2 ( 1292370 54740 ) M2M3_PR
NEW met3 ( 1292140 54740 ) M3M4_PR
NEW met1 ( 1296970 51510 ) M1M2_PR
NEW met3 ( 1292370 54740 ) RECT ( 0 -150 390 150 )
NEW met2 ( 1296970 51510 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[38] ( PIN la_data_out[38] ) ( wrapped_vga_clock_1 la1_data_out[6] ) ( wrapped_rgb_mixer_3 la1_data_out[6] ) ( wrapped_hack_soc_dffram_11 la1_data_out[6] ) ( wrapped_frequency_counter_2 la1_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2332570 ) ( * 2334100 )
NEW met3 ( 499100 2334100 ) ( 510830 * )
NEW met3 ( 499100 2333420 0 ) ( * 2334100 )
NEW met2 ( 579370 2331550 ) ( * 2332570 )
NEW met2 ( 2429030 500140 ) ( 2432710 * 0 )
NEW met2 ( 589490 1794010 ) ( * 1795540 )
NEW met2 ( 2429030 303450 ) ( * 500140 )
NEW met1 ( 510830 2332570 ) ( 579370 * )
NEW met3 ( 529460 2795140 0 ) ( 544870 * )
NEW met2 ( 544870 2794970 ) ( * 2795140 )
NEW met1 ( 579370 2331550 ) ( 621230 * )
NEW met1 ( 544870 2794970 ) ( 621230 * )
NEW met1 ( 1304330 301410 ) ( 1308010 * )
NEW met1 ( 1031550 410550 ) ( 1308010 * )
NEW met1 ( 1308010 303450 ) ( 2429030 * )
NEW met2 ( 621230 2331550 ) ( * 2794970 )
NEW met1 ( 589490 1794010 ) ( 1031550 * )
NEW met2 ( 1031550 410550 ) ( * 1794010 )
NEW met2 ( 1304330 82800 ) ( 1308930 * )
NEW met2 ( 1308930 1700 0 ) ( * 82800 )
NEW met2 ( 1304330 82800 ) ( * 301410 )
NEW met2 ( 1308010 301410 ) ( * 410550 )
NEW met3 ( 579600 1795540 ) ( 589490 * )
NEW met3 ( 577300 1795540 0 ) ( * 1797580 )
NEW met3 ( 576610 1797580 ) ( 577300 * )
NEW met2 ( 576150 1797580 ) ( 576610 * )
NEW met3 ( 579140 1795540 0 ) ( 579600 * )
NEW met3 ( 579140 1795540 0 ) ( * 1796220 )
NEW met3 ( 577300 1796220 ) ( 579140 * )
NEW met2 ( 576150 1797580 ) ( * 2332570 )
NEW met1 ( 510830 2332570 ) M1M2_PR
NEW met2 ( 510830 2334100 ) M2M3_PR
NEW met1 ( 579370 2332570 ) M1M2_PR
NEW met1 ( 579370 2331550 ) M1M2_PR
NEW met1 ( 576150 2332570 ) M1M2_PR
NEW met1 ( 2429030 303450 ) M1M2_PR
NEW met2 ( 589490 1795540 ) M2M3_PR
NEW met1 ( 589490 1794010 ) M1M2_PR
NEW met2 ( 544870 2795140 ) M2M3_PR
NEW met1 ( 544870 2794970 ) M1M2_PR
NEW met1 ( 621230 2331550 ) M1M2_PR
NEW met1 ( 621230 2794970 ) M1M2_PR
NEW met1 ( 1031550 410550 ) M1M2_PR
NEW met1 ( 1308010 301410 ) M1M2_PR
NEW met1 ( 1304330 301410 ) M1M2_PR
NEW met1 ( 1308010 303450 ) M1M2_PR
NEW met1 ( 1308010 410550 ) M1M2_PR
NEW met1 ( 1031550 1794010 ) M1M2_PR
NEW met2 ( 576610 1797580 ) M2M3_PR
NEW met1 ( 576150 2332570 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1308010 303450 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[39] ( PIN la_data_out[39] ) ( wrapped_vga_clock_1 la1_data_out[7] ) ( wrapped_rgb_mixer_3 la1_data_out[7] ) ( wrapped_hack_soc_dffram_11 la1_data_out[7] ) ( wrapped_frequency_counter_2 la1_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 2422130 500140 ) ( 2426730 * 0 )
NEW met2 ( 192510 1690310 ) ( * 2166310 )
NEW met2 ( 205390 2166310 ) ( * 2646390 )
NEW met2 ( 2422130 310250 ) ( * 500140 )
NEW met2 ( 352130 2700620 ) ( 353510 * 0 )
NEW met2 ( 1325030 1700 ) ( 1326870 * 0 )
NEW met1 ( 1325030 310250 ) ( 2422130 * )
NEW met2 ( 353510 1690650 ) ( * 1700340 0 )
NEW met1 ( 349830 1690650 ) ( 353510 * )
NEW met1 ( 349830 1690310 ) ( * 1690650 )
NEW met2 ( 353510 1687590 ) ( * 1690650 )
NEW met1 ( 192510 1690310 ) ( 349830 * )
NEW met2 ( 353510 2166310 ) ( * 2200140 0 )
NEW met1 ( 192510 2166310 ) ( 353510 * )
NEW met1 ( 205390 2646390 ) ( 352130 * )
NEW met2 ( 352130 2646390 ) ( * 2700620 )
NEW met1 ( 353510 1687590 ) ( 466670 * )
NEW met2 ( 466670 1618230 ) ( * 1687590 )
NEW met2 ( 1325030 1700 ) ( * 310250 )
NEW met1 ( 466670 1618230 ) ( 1328250 * )
NEW met2 ( 1328250 310250 ) ( * 1618230 )
NEW met1 ( 2422130 310250 ) M1M2_PR
NEW met1 ( 192510 1690310 ) M1M2_PR
NEW met1 ( 192510 2166310 ) M1M2_PR
NEW met1 ( 205390 2166310 ) M1M2_PR
NEW met1 ( 205390 2646390 ) M1M2_PR
NEW met1 ( 1325030 310250 ) M1M2_PR
NEW met1 ( 1328250 310250 ) M1M2_PR
NEW met1 ( 353510 1690650 ) M1M2_PR
NEW met1 ( 353510 1687590 ) M1M2_PR
NEW met1 ( 353510 2166310 ) M1M2_PR
NEW met1 ( 352130 2646390 ) M1M2_PR
NEW met1 ( 466670 1618230 ) M1M2_PR
NEW met1 ( 466670 1687590 ) M1M2_PR
NEW met1 ( 1328250 1618230 ) M1M2_PR
NEW met1 ( 205390 2166310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1328250 310250 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[3] ( PIN la_data_out[3] ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) ( wrapped_vga_clock_1 la1_data_out[8] ) ( wrapped_rgb_mixer_3 la1_data_out[8] ) ( wrapped_hack_soc_dffram_11 la1_data_out[8] ) ( wrapped_frequency_counter_2 la1_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 1342050 1700 ) ( 1344350 * 0 )
NEW met2 ( 1338830 290020 ) ( * 314330 )
NEW met2 ( 2415230 500140 ) ( 2420750 * 0 )
NEW met3 ( 498180 2399380 ) ( * 2400740 0 )
NEW met3 ( 498180 2399380 ) ( 510830 * )
NEW met2 ( 510830 2399380 ) ( * 2400910 )
NEW met3 ( 579140 1870340 0 ) ( 593170 * )
NEW met2 ( 593170 1870340 ) ( * 1876630 )
NEW met2 ( 704030 2397510 ) ( * 2400910 )
NEW met2 ( 704030 2400910 ) ( * 2856850 )
NEW met2 ( 1342050 1700 ) ( * 61540 )
NEW met2 ( 2415230 314330 ) ( * 500140 )
NEW met3 ( 1314220 290020 ) ( 1338830 * )
NEW met1 ( 1338830 314330 ) ( 2415230 * )
NEW met3 ( 529460 2859740 0 ) ( 544870 * )
NEW met2 ( 544870 2856850 ) ( * 2859740 )
NEW met1 ( 510830 2400910 ) ( 704030 * )
NEW met1 ( 544870 2856850 ) ( 704030 * )
NEW met2 ( 731170 1873060 ) ( * 1876630 )
NEW met1 ( 593170 1876630 ) ( 731170 * )
NEW met1 ( 704030 2397510 ) ( 725190 * )
NEW met2 ( 725190 1876630 ) ( * 2397510 )
NEW met3 ( 1314220 61540 ) ( 1342050 * )
NEW met3 ( 731170 1873060 ) ( 1314220 * )
NEW met4 ( 1314220 61540 ) ( * 1873060 )
NEW met2 ( 1338830 290020 ) M2M3_PR
NEW met1 ( 1338830 314330 ) M1M2_PR
NEW met1 ( 2415230 314330 ) M1M2_PR
NEW met2 ( 510830 2399380 ) M2M3_PR
NEW met1 ( 510830 2400910 ) M1M2_PR
NEW met2 ( 593170 1870340 ) M2M3_PR
NEW met1 ( 593170 1876630 ) M1M2_PR
NEW met1 ( 704030 2400910 ) M1M2_PR
NEW met1 ( 704030 2397510 ) M1M2_PR
NEW met1 ( 704030 2856850 ) M1M2_PR
NEW met2 ( 1342050 61540 ) M2M3_PR
NEW met3 ( 1314220 290020 ) M3M4_PR
NEW met2 ( 544870 2859740 ) M2M3_PR
NEW met1 ( 544870 2856850 ) M1M2_PR
NEW met1 ( 731170 1876630 ) M1M2_PR
NEW met2 ( 731170 1873060 ) M2M3_PR
NEW met1 ( 725190 1876630 ) M1M2_PR
NEW met1 ( 725190 2397510 ) M1M2_PR
NEW met3 ( 1314220 61540 ) M3M4_PR
NEW met3 ( 1314220 1873060 ) M3M4_PR
NEW met4 ( 1314220 290020 ) RECT ( -150 -800 150 0 )
NEW met1 ( 725190 1876630 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[41] ( PIN la_data_out[41] ) ( wrapped_vga_clock_1 la1_data_out[9] ) ( wrapped_rgb_mixer_3 la1_data_out[9] ) ( wrapped_hack_soc_dffram_11 la1_data_out[9] ) ( wrapped_frequency_counter_2 la1_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 569250 1929500 0 ) ( 571090 * )
NEW met2 ( 571090 1929500 ) ( * 1939700 )
NEW met3 ( 571090 1939700 ) ( 596620 * )
NEW met2 ( 571090 1939700 ) ( * 1953300 )
NEW met1 ( 1359530 317730 ) ( 1362750 * )
NEW met1 ( 2408330 484670 ) ( 2413390 * )
NEW met2 ( 2413390 484670 ) ( * 500140 )
NEW met2 ( 2413390 500140 ) ( 2414770 * 0 )
NEW met2 ( 488750 2449700 0 ) ( * 2463130 )
NEW met1 ( 488750 2463130 ) ( 496570 * )
NEW met2 ( 496570 2459220 ) ( * 2463130 )
NEW met2 ( 496570 2463130 ) ( * 2694670 )
NEW met4 ( 596620 1845180 ) ( * 1939700 )
NEW met2 ( 607890 2694670 ) ( * 2918900 )
NEW met2 ( 1359530 82800 ) ( 1362290 * )
NEW met2 ( 1362290 1700 0 ) ( * 82800 )
NEW met2 ( 1359530 82800 ) ( * 317730 )
NEW met2 ( 1362750 317730 ) ( * 1845180 )
NEW met2 ( 2408330 324190 ) ( * 484670 )
NEW met3 ( 555220 1953300 ) ( 571090 * )
NEW met2 ( 519570 2909380 ) ( * 2918900 )
NEW met2 ( 517730 2909380 0 ) ( 519570 * )
NEW met3 ( 519570 2918900 ) ( 607890 * )
NEW met3 ( 596620 1845180 ) ( 1362750 * )
NEW met1 ( 1362750 324190 ) ( 2408330 * )
NEW met4 ( 555220 1953300 ) ( * 2459220 )
NEW met1 ( 496570 2694670 ) ( 607890 * )
NEW met3 ( 496570 2459220 ) ( 555220 * )
NEW met1 ( 496570 2694670 ) M1M2_PR
NEW met3 ( 596620 1845180 ) M3M4_PR
NEW met2 ( 571090 1939700 ) M2M3_PR
NEW met3 ( 596620 1939700 ) M3M4_PR
NEW met2 ( 571090 1953300 ) M2M3_PR
NEW met1 ( 607890 2694670 ) M1M2_PR
NEW met2 ( 607890 2918900 ) M2M3_PR
NEW met1 ( 1362750 317730 ) M1M2_PR
NEW met1 ( 1359530 317730 ) M1M2_PR
NEW met1 ( 1362750 324190 ) M1M2_PR
NEW met2 ( 1362750 1845180 ) M2M3_PR
NEW met1 ( 2408330 324190 ) M1M2_PR
NEW met1 ( 2408330 484670 ) M1M2_PR
NEW met1 ( 2413390 484670 ) M1M2_PR
NEW met1 ( 488750 2463130 ) M1M2_PR
NEW met1 ( 496570 2463130 ) M1M2_PR
NEW met2 ( 496570 2459220 ) M2M3_PR
NEW met3 ( 555220 1953300 ) M3M4_PR
NEW met2 ( 519570 2918900 ) M2M3_PR
NEW met3 ( 555220 2459220 ) M3M4_PR
NEW met2 ( 1362750 324190 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[42] ( PIN la_data_out[42] ) ( wrapped_vga_clock_1 la1_data_out[10] ) ( wrapped_rgb_mixer_3 la1_data_out[10] ) ( wrapped_hack_soc_dffram_11 la1_data_out[10] ) ( wrapped_frequency_counter_2 la1_data_out[10] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1754740 0 ) ( 590410 * )
NEW met2 ( 590410 1754740 ) ( * 1759330 )
NEW met2 ( 1380230 1700 0 ) ( * 17510 )
NEW met1 ( 1380230 17510 ) ( 1384370 * )
NEW met3 ( 498180 2299420 0 ) ( * 2300780 )
NEW met3 ( 498180 2300780 ) ( 510830 * )
NEW met2 ( 510830 2300780 ) ( * 2304350 )
NEW met2 ( 1066050 438090 ) ( * 1755930 )
NEW met2 ( 1380230 435030 ) ( * 438090 )
NEW met2 ( 1384370 17510 ) ( * 435030 )
NEW met2 ( 2408790 458830 ) ( * 500140 0 )
NEW met1 ( 531300 2304690 ) ( 535210 * )
NEW met1 ( 590410 1759330 ) ( 739450 * )
NEW met1 ( 535210 2304690 ) ( 739450 * )
NEW met1 ( 739450 1755930 ) ( 1066050 * )
NEW met1 ( 510830 2304350 ) ( 531300 * )
NEW met1 ( 531300 2304350 ) ( * 2304690 )
NEW met3 ( 529460 2761140 0 ) ( 535210 * )
NEW met2 ( 739450 1755930 ) ( * 2304690 )
NEW met1 ( 1066050 438090 ) ( 1380230 * )
NEW met2 ( 1387130 435030 ) ( * 458830 )
NEW met1 ( 1380230 435030 ) ( 1387130 * )
NEW met1 ( 1387130 458830 ) ( 2408790 * )
NEW met2 ( 535210 2304690 ) ( * 2761140 )
NEW met2 ( 590410 1754740 ) M2M3_PR
NEW met1 ( 590410 1759330 ) M1M2_PR
NEW met1 ( 1066050 1755930 ) M1M2_PR
NEW met1 ( 1380230 17510 ) M1M2_PR
NEW met1 ( 1384370 17510 ) M1M2_PR
NEW met2 ( 510830 2300780 ) M2M3_PR
NEW met1 ( 510830 2304350 ) M1M2_PR
NEW met1 ( 1066050 438090 ) M1M2_PR
NEW met1 ( 1380230 435030 ) M1M2_PR
NEW met1 ( 1380230 438090 ) M1M2_PR
NEW met1 ( 1384370 435030 ) M1M2_PR
NEW met1 ( 2408790 458830 ) M1M2_PR
NEW met1 ( 535210 2304690 ) M1M2_PR
NEW met1 ( 739450 1755930 ) M1M2_PR
NEW met1 ( 739450 1759330 ) M1M2_PR
NEW met1 ( 739450 2304690 ) M1M2_PR
NEW met2 ( 535210 2761140 ) M2M3_PR
NEW met1 ( 1387130 435030 ) M1M2_PR
NEW met1 ( 1387130 458830 ) M1M2_PR
NEW met1 ( 1384370 435030 ) RECT ( -595 -70 0 70 )
NEW met2 ( 739450 1759330 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[43] ( PIN la_data_out[43] ) ( wrapped_vga_clock_1 la1_data_out[11] ) ( wrapped_rgb_mixer_3 la1_data_out[11] ) ( wrapped_hack_soc_dffram_11 la1_data_out[11] ) ( wrapped_frequency_counter_2 la1_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 2401430 500140 ) ( 2402810 * 0 )
NEW met2 ( 593630 1676030 ) ( * 1935450 )
NEW met2 ( 2401430 330990 ) ( * 500140 )
NEW met2 ( 437230 2700620 ) ( 437265 * 0 )
NEW met1 ( 468510 1676030 ) ( 593630 * )
NEW met1 ( 445050 1935450 ) ( 593630 * )
NEW met3 ( 437230 2500700 ) ( 559820 * )
NEW met2 ( 1395410 1700 ) ( 1397710 * 0 )
NEW met1 ( 468510 1535270 ) ( 1397250 * )
NEW met1 ( 456550 1688610 ) ( 468510 * )
NEW met2 ( 456550 1688610 ) ( * 1700340 0 )
NEW met2 ( 468510 1535270 ) ( * 1688610 )
NEW met2 ( 440450 2191470 ) ( * 2200140 0 )
NEW met1 ( 440450 2191470 ) ( 445050 * )
NEW met2 ( 445050 2191470 ) ( * 2197420 )
NEW met2 ( 445050 1935450 ) ( * 2191470 )
NEW met2 ( 437230 2500700 ) ( * 2700620 )
NEW met4 ( 559820 2197420 ) ( * 2500700 )
NEW met2 ( 1394030 82800 ) ( 1395410 * )
NEW met2 ( 1395410 1700 ) ( * 82800 )
NEW met1 ( 1394030 327250 ) ( 1397250 * )
NEW met2 ( 1394030 82800 ) ( * 327250 )
NEW met2 ( 1397250 327250 ) ( * 1535270 )
NEW met1 ( 1397250 330990 ) ( 2401430 * )
NEW met3 ( 445050 2197420 ) ( 559820 * )
NEW met1 ( 593630 1676030 ) M1M2_PR
NEW met1 ( 593630 1935450 ) M1M2_PR
NEW met1 ( 2401430 330990 ) M1M2_PR
NEW met1 ( 468510 1535270 ) M1M2_PR
NEW met1 ( 468510 1676030 ) M1M2_PR
NEW met1 ( 445050 1935450 ) M1M2_PR
NEW met2 ( 437230 2500700 ) M2M3_PR
NEW met3 ( 559820 2500700 ) M3M4_PR
NEW met1 ( 1397250 1535270 ) M1M2_PR
NEW met1 ( 468510 1688610 ) M1M2_PR
NEW met1 ( 456550 1688610 ) M1M2_PR
NEW met1 ( 440450 2191470 ) M1M2_PR
NEW met1 ( 445050 2191470 ) M1M2_PR
NEW met2 ( 445050 2197420 ) M2M3_PR
NEW met3 ( 559820 2197420 ) M3M4_PR
NEW met1 ( 1394030 327250 ) M1M2_PR
NEW met1 ( 1397250 327250 ) M1M2_PR
NEW met1 ( 1397250 330990 ) M1M2_PR
NEW met2 ( 468510 1676030 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1397250 330990 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[44] ( PIN la_data_out[44] ) ( wrapped_vga_clock_1 la1_data_out[12] ) ( wrapped_rgb_mixer_3 la1_data_out[12] ) ( wrapped_hack_soc_dffram_11 la1_data_out[12] ) ( wrapped_frequency_counter_2 la1_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 682870 2432530 ) ( * 2435590 )
NEW met3 ( 579140 1911140 0 ) ( 610420 * )
NEW met4 ( 610420 1841780 ) ( * 1911140 )
NEW met2 ( 676430 2435590 ) ( * 2891190 )
NEW met3 ( 529460 2893740 0 ) ( 544870 * )
NEW met2 ( 544870 2891190 ) ( * 2893740 )
NEW met1 ( 544870 2891190 ) ( 676430 * )
NEW met2 ( 755090 1838380 ) ( * 1841780 )
NEW met3 ( 610420 1841780 ) ( 755090 * )
NEW met1 ( 682870 2432530 ) ( 752790 * )
NEW met3 ( 755090 1838380 ) ( 1417950 * )
NEW met2 ( 2394530 500140 ) ( 2396830 * 0 )
NEW met2 ( 752790 1841780 ) ( * 2432530 )
NEW met2 ( 1415650 1700 0 ) ( * 62050 )
NEW met2 ( 1417950 62050 ) ( * 1838380 )
NEW met1 ( 1415650 62050 ) ( 2394530 * )
NEW met2 ( 2394530 62050 ) ( * 500140 )
NEW met3 ( 498180 2433380 ) ( * 2434740 0 )
NEW met3 ( 498180 2433380 ) ( 517270 * )
NEW met2 ( 517270 2433380 ) ( * 2435590 )
NEW met1 ( 517270 2435590 ) ( 682870 * )
NEW met3 ( 610420 1841780 ) M3M4_PR
NEW met1 ( 682870 2435590 ) M1M2_PR
NEW met1 ( 682870 2432530 ) M1M2_PR
NEW met1 ( 676430 2435590 ) M1M2_PR
NEW met1 ( 676430 2891190 ) M1M2_PR
NEW met3 ( 610420 1911140 ) M3M4_PR
NEW met2 ( 544870 2893740 ) M2M3_PR
NEW met1 ( 544870 2891190 ) M1M2_PR
NEW met2 ( 755090 1838380 ) M2M3_PR
NEW met2 ( 755090 1841780 ) M2M3_PR
NEW met2 ( 752790 1841780 ) M2M3_PR
NEW met1 ( 752790 2432530 ) M1M2_PR
NEW met2 ( 1417950 1838380 ) M2M3_PR
NEW met1 ( 1415650 62050 ) M1M2_PR
NEW met1 ( 1417950 62050 ) M1M2_PR
NEW met1 ( 2394530 62050 ) M1M2_PR
NEW met2 ( 517270 2433380 ) M2M3_PR
NEW met1 ( 517270 2435590 ) M1M2_PR
NEW met1 ( 676430 2435590 ) RECT ( -595 -70 0 70 )
NEW met3 ( 752790 1841780 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1417950 62050 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[45] ( PIN la_data_out[45] ) ( wrapped_vga_clock_1 la1_data_out[13] ) ( wrapped_rgb_mixer_3 la1_data_out[13] ) ( wrapped_hack_soc_dffram_11 la1_data_out[13] ) ( wrapped_frequency_counter_2 la1_data_out[13] ) + USE SIGNAL
+ ROUTED met1 ( 281290 2421650 ) ( 282670 * )
NEW met2 ( 372830 1929500 0 ) ( 374210 * )
NEW met2 ( 374210 1929500 ) ( * 1932050 )
NEW met2 ( 374210 1932050 ) ( * 1945650 )
NEW met2 ( 281290 1990870 ) ( * 2421650 )
NEW met2 ( 282670 2421650 ) ( * 2919750 )
NEW met1 ( 355350 1945650 ) ( 374210 * )
NEW met2 ( 338330 2418250 ) ( * 2419780 )
NEW met3 ( 338330 2419780 ) ( 350980 * )
NEW met3 ( 350980 2419780 ) ( * 2421140 0 )
NEW met1 ( 281290 2418250 ) ( 338330 * )
NEW met2 ( 352130 2909380 ) ( 353510 * 0 )
NEW met2 ( 352130 2909380 ) ( * 2919750 )
NEW met1 ( 282670 2919750 ) ( 352130 * )
NEW met2 ( 1433130 1700 0 ) ( 1434970 * )
NEW met1 ( 374210 1932050 ) ( 1431750 * )
NEW met2 ( 2387630 500140 ) ( 2390850 * 0 )
NEW met1 ( 281290 1990870 ) ( 355350 * )
NEW met2 ( 355350 1945650 ) ( * 1990870 )
NEW met2 ( 1434970 1700 ) ( * 337620 )
NEW met2 ( 1431750 337620 ) ( * 1932050 )
NEW met3 ( 1431750 337620 ) ( 2387630 * )
NEW met2 ( 2387630 337620 ) ( * 500140 )
NEW met1 ( 281290 2421650 ) M1M2_PR
NEW met1 ( 282670 2421650 ) M1M2_PR
NEW met1 ( 281290 2418250 ) M1M2_PR
NEW met1 ( 282670 2919750 ) M1M2_PR
NEW met1 ( 374210 1932050 ) M1M2_PR
NEW met1 ( 374210 1945650 ) M1M2_PR
NEW met1 ( 281290 1990870 ) M1M2_PR
NEW met1 ( 355350 1945650 ) M1M2_PR
NEW met1 ( 338330 2418250 ) M1M2_PR
NEW met2 ( 338330 2419780 ) M2M3_PR
NEW met1 ( 352130 2919750 ) M1M2_PR
NEW met1 ( 1431750 1932050 ) M1M2_PR
NEW met1 ( 355350 1990870 ) M1M2_PR
NEW met2 ( 1431750 337620 ) M2M3_PR
NEW met2 ( 1434970 337620 ) M2M3_PR
NEW met2 ( 2387630 337620 ) M2M3_PR
NEW met2 ( 281290 2418250 ) RECT ( -70 -485 70 0 )
NEW met3 ( 1434970 337620 ) RECT ( -800 -150 0 150 ) ;
- la_data_out[46] ( PIN la_data_out[46] ) ( wrapped_vga_clock_1 la1_data_out[14] ) ( wrapped_rgb_mixer_3 la1_data_out[14] ) ( wrapped_hack_soc_dffram_11 la1_data_out[14] ) ( wrapped_frequency_counter_2 la1_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 470810 1929500 ) ( 472650 * 0 )
NEW met2 ( 1449230 1700 ) ( 1451070 * 0 )
NEW met2 ( 408250 2449700 0 ) ( * 2464150 )
NEW met2 ( 470810 1929500 ) ( * 1994610 )
NEW met2 ( 482770 2456330 ) ( * 2464150 )
NEW met3 ( 575460 2000900 ) ( 575690 * )
NEW met2 ( 575690 1994610 ) ( * 2000900 )
NEW met3 ( 575460 2455820 ) ( 575690 * )
NEW met2 ( 575690 2455820 ) ( * 2456330 )
NEW met4 ( 575460 2000900 ) ( * 2455820 )
NEW met2 ( 594550 2456330 ) ( * 2880650 )
NEW met2 ( 803850 908650 ) ( * 1994270 )
NEW met2 ( 1455670 337790 ) ( * 341870 )
NEW met2 ( 1449230 1700 ) ( * 337790 )
NEW met2 ( 441370 2909380 ) ( * 2921110 )
NEW met2 ( 440450 2909380 0 ) ( 441370 * )
NEW met1 ( 441370 2921110 ) ( 528310 * )
NEW met2 ( 2380730 500140 ) ( 2384870 * 0 )
NEW met1 ( 408250 2464150 ) ( 482770 * )
NEW met2 ( 528310 2880650 ) ( * 2921110 )
NEW met1 ( 528310 2880650 ) ( 594550 * )
NEW met1 ( 470810 1994610 ) ( 614100 * )
NEW met1 ( 614100 1994270 ) ( * 1994610 )
NEW met1 ( 614100 1994270 ) ( 803850 * )
NEW met1 ( 803850 908650 ) ( 1017750 * )
NEW met2 ( 1017750 337790 ) ( * 908650 )
NEW met1 ( 1017750 337790 ) ( 1455670 * )
NEW met1 ( 1455670 341870 ) ( 2380730 * )
NEW met2 ( 2380730 341870 ) ( * 500140 )
NEW met1 ( 482770 2456330 ) ( 594550 * )
NEW met1 ( 408250 2464150 ) M1M2_PR
NEW met1 ( 470810 1994610 ) M1M2_PR
NEW met1 ( 482770 2464150 ) M1M2_PR
NEW met1 ( 482770 2456330 ) M1M2_PR
NEW met3 ( 575460 2000900 ) M3M4_PR
NEW met2 ( 575690 2000900 ) M2M3_PR
NEW met1 ( 575690 1994610 ) M1M2_PR
NEW met1 ( 594550 2456330 ) M1M2_PR
NEW met3 ( 575460 2455820 ) M3M4_PR
NEW met2 ( 575690 2455820 ) M2M3_PR
NEW met1 ( 575690 2456330 ) M1M2_PR
NEW met1 ( 594550 2880650 ) M1M2_PR
NEW met1 ( 803850 908650 ) M1M2_PR
NEW met1 ( 803850 1994270 ) M1M2_PR
NEW met1 ( 1455670 337790 ) M1M2_PR
NEW met1 ( 1455670 341870 ) M1M2_PR
NEW met1 ( 1449230 337790 ) M1M2_PR
NEW met1 ( 441370 2921110 ) M1M2_PR
NEW met1 ( 528310 2921110 ) M1M2_PR
NEW met1 ( 528310 2880650 ) M1M2_PR
NEW met1 ( 1017750 337790 ) M1M2_PR
NEW met1 ( 1017750 908650 ) M1M2_PR
NEW met1 ( 2380730 341870 ) M1M2_PR
NEW met3 ( 575460 2000900 ) RECT ( -390 -150 0 150 )
NEW met1 ( 575690 1994610 ) RECT ( -595 -70 0 70 )
NEW met3 ( 575460 2455820 ) RECT ( -390 -150 0 150 )
NEW met1 ( 575690 2456330 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1449230 337790 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[47] ( PIN la_data_out[47] ) ( wrapped_vga_clock_1 la1_data_out[15] ) ( wrapped_rgb_mixer_3 la1_data_out[15] ) ( wrapped_hack_soc_dffram_11 la1_data_out[15] ) ( wrapped_frequency_counter_2 la1_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 1466250 1700 ) ( 1468550 * 0 )
NEW met2 ( 303370 2207790 ) ( * 2704870 )
NEW met2 ( 1466250 1700 ) ( * 348670 )
NEW met2 ( 1466250 348670 ) ( * 1679940 )
NEW met2 ( 338330 2704870 ) ( * 2706060 )
NEW met3 ( 338330 2706060 ) ( 350980 * )
NEW met3 ( 350980 2706060 ) ( * 2706740 0 )
NEW met1 ( 303370 2704870 ) ( 338330 * )
NEW met2 ( 2373830 500140 ) ( 2378890 * 0 )
NEW met3 ( 352820 1705100 ) ( * 1707140 0 )
NEW met4 ( 352820 1679940 ) ( * 1705100 )
NEW met3 ( 337870 1707820 ) ( 352820 * )
NEW met3 ( 352820 1707140 0 ) ( * 1707820 )
NEW met2 ( 338330 2205580 ) ( * 2207790 )
NEW met3 ( 338330 2205580 ) ( 351900 * )
NEW met3 ( 351900 2205580 ) ( * 2206940 0 )
NEW met2 ( 337870 2201500 ) ( 338790 * )
NEW met2 ( 338790 2201500 ) ( * 2205580 )
NEW met2 ( 338330 2205580 ) ( 338790 * )
NEW met1 ( 303370 2207790 ) ( 338330 * )
NEW met2 ( 337870 1707820 ) ( * 2201500 )
NEW met3 ( 352820 1679940 ) ( 1466250 * )
NEW met1 ( 1466250 348670 ) ( 2373830 * )
NEW met2 ( 2373830 348670 ) ( * 500140 )
NEW met1 ( 303370 2704870 ) M1M2_PR
NEW met1 ( 303370 2207790 ) M1M2_PR
NEW met1 ( 1466250 348670 ) M1M2_PR
NEW met2 ( 1466250 1679940 ) M2M3_PR
NEW met1 ( 338330 2704870 ) M1M2_PR
NEW met2 ( 338330 2706060 ) M2M3_PR
NEW met3 ( 352820 1705100 ) M3M4_PR
NEW met3 ( 352820 1679940 ) M3M4_PR
NEW met2 ( 337870 1707820 ) M2M3_PR
NEW met1 ( 338330 2207790 ) M1M2_PR
NEW met2 ( 338330 2205580 ) M2M3_PR
NEW met1 ( 2373830 348670 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( wrapped_vga_clock_1 la1_data_out[16] ) ( wrapped_rgb_mixer_3 la1_data_out[16] ) ( wrapped_hack_soc_dffram_11 la1_data_out[16] ) ( wrapped_frequency_counter_2 la1_data_out[16] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2319820 0 ) ( * 2320500 )
NEW met3 ( 499100 2320500 ) ( 510830 * )
NEW met2 ( 510830 2320500 ) ( * 2320670 )
NEW met3 ( 579140 1778540 0 ) ( 593170 * )
NEW met2 ( 593170 1776670 ) ( * 1778540 )
NEW met2 ( 2308050 355810 ) ( * 486370 )
NEW met1 ( 510830 2320670 ) ( 528310 * )
NEW met1 ( 1404150 200090 ) ( 1486950 * )
NEW met2 ( 2372910 486370 ) ( * 500140 0 )
NEW met1 ( 2308050 486370 ) ( 2372910 * )
NEW met1 ( 528310 1970810 ) ( 588110 * )
NEW met1 ( 528310 2374390 ) ( 539810 * )
NEW met2 ( 528310 2320670 ) ( * 2374390 )
NEW met3 ( 529460 2781540 0 ) ( 539810 * )
NEW met1 ( 593170 1776670 ) ( 1404150 * )
NEW met2 ( 1404150 200090 ) ( * 1776670 )
NEW met2 ( 1483730 82800 ) ( 1486490 * )
NEW met2 ( 1486490 1700 0 ) ( * 82800 )
NEW met2 ( 1483730 82800 ) ( * 200090 )
NEW met2 ( 1486950 200090 ) ( * 355810 )
NEW met1 ( 1486950 355810 ) ( 2308050 * )
NEW met2 ( 588110 1778540 ) ( * 1970810 )
NEW met2 ( 528310 1970810 ) ( * 2320670 )
NEW met2 ( 539810 2374390 ) ( * 2781540 )
NEW met2 ( 510830 2320500 ) M2M3_PR
NEW met1 ( 510830 2320670 ) M1M2_PR
NEW met1 ( 2308050 486370 ) M1M2_PR
NEW met2 ( 593170 1778540 ) M2M3_PR
NEW met1 ( 593170 1776670 ) M1M2_PR
NEW met2 ( 588110 1778540 ) M2M3_PR
NEW met1 ( 588110 1970810 ) M1M2_PR
NEW met1 ( 2308050 355810 ) M1M2_PR
NEW met1 ( 528310 2320670 ) M1M2_PR
NEW met1 ( 1404150 200090 ) M1M2_PR
NEW met1 ( 1486950 200090 ) M1M2_PR
NEW met1 ( 1483730 200090 ) M1M2_PR
NEW met1 ( 2372910 486370 ) M1M2_PR
NEW met1 ( 528310 1970810 ) M1M2_PR
NEW met1 ( 528310 2374390 ) M1M2_PR
NEW met1 ( 539810 2374390 ) M1M2_PR
NEW met2 ( 539810 2781540 ) M2M3_PR
NEW met1 ( 1404150 1776670 ) M1M2_PR
NEW met1 ( 1486950 355810 ) M1M2_PR
NEW met3 ( 588110 1778540 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1483730 200090 ) RECT ( 0 -70 595 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( wrapped_vga_clock_1 la1_data_out[17] ) ( wrapped_rgb_mixer_3 la1_data_out[17] ) ( wrapped_hack_soc_dffram_11 la1_data_out[17] ) ( wrapped_frequency_counter_2 la1_data_out[17] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1866940 0 ) ( 593170 * )
NEW met2 ( 593170 1866940 ) ( * 1869830 )
NEW met3 ( 499100 2398020 0 ) ( * 2398700 )
NEW met3 ( 499100 2398700 ) ( 510830 * )
NEW met2 ( 510830 2398530 ) ( * 2398700 )
NEW met2 ( 759230 1866260 ) ( * 2383910 )
NEW met4 ( 1438420 47940 ) ( * 1866260 )
NEW met1 ( 593170 1869830 ) ( 759230 * )
NEW met3 ( 759230 1866260 ) ( 1438420 * )
NEW met2 ( 1503970 1700 0 ) ( * 17340 )
NEW met2 ( 1503510 17340 ) ( 1503970 * )
NEW met1 ( 1500750 375870 ) ( 2366930 * )
NEW met2 ( 531070 2383910 ) ( * 2398530 )
NEW met3 ( 524860 2398700 ) ( 525090 * )
NEW met2 ( 525090 2398530 ) ( * 2398700 )
NEW met1 ( 510830 2398530 ) ( 531070 * )
NEW met4 ( 524860 2835900 ) ( 527620 * )
NEW met4 ( 527620 2835900 ) ( * 2855660 )
NEW met3 ( 527620 2855660 ) ( * 2856340 0 )
NEW met1 ( 531070 2383910 ) ( 759230 * )
NEW met3 ( 1500750 47940 ) ( 1503510 * )
NEW met3 ( 1438420 47940 ) ( 1500750 * )
NEW met2 ( 1503510 17340 ) ( * 47940 )
NEW met2 ( 1500750 47940 ) ( * 375870 )
NEW met2 ( 2366930 375870 ) ( * 500140 0 )
NEW met4 ( 524860 2398700 ) ( * 2835900 )
NEW met2 ( 593170 1866940 ) M2M3_PR
NEW met1 ( 593170 1869830 ) M1M2_PR
NEW met2 ( 759230 1866260 ) M2M3_PR
NEW met1 ( 759230 1869830 ) M1M2_PR
NEW met3 ( 1438420 1866260 ) M3M4_PR
NEW met2 ( 510830 2398700 ) M2M3_PR
NEW met1 ( 510830 2398530 ) M1M2_PR
NEW met1 ( 759230 2383910 ) M1M2_PR
NEW met3 ( 1438420 47940 ) M3M4_PR
NEW met1 ( 1500750 375870 ) M1M2_PR
NEW met1 ( 2366930 375870 ) M1M2_PR
NEW met1 ( 531070 2398530 ) M1M2_PR
NEW met1 ( 531070 2383910 ) M1M2_PR
NEW met3 ( 524860 2398700 ) M3M4_PR
NEW met2 ( 525090 2398700 ) M2M3_PR
NEW met1 ( 525090 2398530 ) M1M2_PR
NEW met3 ( 527620 2855660 ) M3M4_PR
NEW met2 ( 1503510 47940 ) M2M3_PR
NEW met2 ( 1500750 47940 ) M2M3_PR
NEW met2 ( 759230 1869830 ) RECT ( -70 -485 70 0 )
NEW met3 ( 524860 2398700 ) RECT ( -390 -150 0 150 )
NEW met1 ( 525090 2398530 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[4] ( PIN la_data_out[4] ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) ( wrapped_vga_clock_1 la1_data_out[18] ) ( wrapped_rgb_mixer_3 la1_data_out[18] ) ( wrapped_hack_soc_dffram_11 la1_data_out[18] ) ( wrapped_frequency_counter_2 la1_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 374670 1929500 ) ( 376050 * 0 )
NEW met2 ( 374670 1929500 ) ( * 1966500 )
NEW met2 ( 374670 1966500 ) ( 376050 * )
NEW met2 ( 376050 1966500 ) ( * 2197930 )
NEW met3 ( 350980 2423180 ) ( * 2424540 0 )
NEW met3 ( 343390 2423180 ) ( 350980 * )
NEW met2 ( 343390 2423010 ) ( * 2423180 )
NEW met1 ( 336490 2423010 ) ( 343390 * )
NEW met3 ( 350980 2423180 ) ( 351900 * )
NEW met1 ( 330970 2920090 ) ( 354890 * )
NEW met2 ( 354890 2909380 ) ( * 2920090 )
NEW met2 ( 354890 2909380 ) ( 356500 * 0 )
NEW met1 ( 374670 1940550 ) ( 921150 * )
NEW met2 ( 1519610 1700 ) ( 1521910 * 0 )
NEW met1 ( 921150 396610 ) ( 2360030 * )
NEW met2 ( 2360030 500140 ) ( 2360950 * 0 )
NEW met1 ( 336490 2197930 ) ( 376050 * )
NEW met3 ( 341550 2786980 ) ( 350060 * )
NEW met4 ( 350060 2786300 ) ( * 2786980 )
NEW met4 ( 350060 2786300 ) ( 351900 * )
NEW met4 ( 351900 2423180 ) ( * 2786300 )
NEW met1 ( 330970 2856510 ) ( 341550 * )
NEW met2 ( 341550 2786980 ) ( * 2856510 )
NEW met2 ( 921150 396610 ) ( * 1940550 )
NEW met2 ( 1518230 82800 ) ( 1519610 * )
NEW met2 ( 1519610 1700 ) ( * 82800 )
NEW met2 ( 1518230 82800 ) ( * 396610 )
NEW met2 ( 2360030 396610 ) ( * 500140 )
NEW met2 ( 330970 2856510 ) ( * 2920090 )
NEW met2 ( 336490 2197930 ) ( * 2423010 )
NEW met1 ( 374670 1940550 ) M1M2_PR
NEW met1 ( 376050 2197930 ) M1M2_PR
NEW met2 ( 343390 2423180 ) M2M3_PR
NEW met1 ( 343390 2423010 ) M1M2_PR
NEW met1 ( 336490 2423010 ) M1M2_PR
NEW met3 ( 351900 2423180 ) M3M4_PR
NEW met1 ( 330970 2920090 ) M1M2_PR
NEW met1 ( 354890 2920090 ) M1M2_PR
NEW met1 ( 921150 396610 ) M1M2_PR
NEW met1 ( 921150 1940550 ) M1M2_PR
NEW met1 ( 1518230 396610 ) M1M2_PR
NEW met1 ( 2360030 396610 ) M1M2_PR
NEW met1 ( 336490 2197930 ) M1M2_PR
NEW met2 ( 341550 2786980 ) M2M3_PR
NEW met3 ( 350060 2786980 ) M3M4_PR
NEW met1 ( 330970 2856510 ) M1M2_PR
NEW met1 ( 341550 2856510 ) M1M2_PR
NEW met2 ( 374670 1940550 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1518230 396610 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[51] ( PIN la_data_out[51] ) ( wrapped_vga_clock_1 la1_data_out[19] ) ( wrapped_rgb_mixer_3 la1_data_out[19] ) ( wrapped_hack_soc_dffram_11 la1_data_out[19] ) ( wrapped_frequency_counter_2 la1_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 1700 0 ) ( 1543070 * )
NEW met1 ( 1543070 33830 ) ( 1549510 * )
NEW met2 ( 511750 2352970 ) ( * 2354500 )
NEW met3 ( 499100 2354500 ) ( 511750 * )
NEW met3 ( 499100 2353820 0 ) ( * 2354500 )
NEW met2 ( 1549510 33830 ) ( * 403410 )
NEW met1 ( 1535250 850510 ) ( 1543070 * )
NEW met2 ( 1543070 1700 ) ( * 850510 )
NEW met2 ( 1535250 850510 ) ( * 1818150 )
NEW met1 ( 563730 1928650 ) ( 587190 * )
NEW met3 ( 529460 2815540 0 ) ( 538660 * )
NEW met1 ( 1549510 403410 ) ( 2353130 * )
NEW met2 ( 2353130 500140 ) ( 2354970 * 0 )
NEW met2 ( 563270 2014800 ) ( 563730 * )
NEW met2 ( 563730 1928650 ) ( * 2014800 )
NEW met3 ( 537970 2353140 ) ( 538660 * )
NEW met2 ( 537970 2352970 ) ( * 2353140 )
NEW met1 ( 511750 2352970 ) ( 563270 * )
NEW met2 ( 563270 2014800 ) ( * 2352970 )
NEW met2 ( 2353130 403410 ) ( * 500140 )
NEW met2 ( 588570 1818150 ) ( * 1842970 )
NEW met1 ( 587190 1842970 ) ( 588570 * )
NEW met3 ( 579140 1815940 0 ) ( 588570 * )
NEW met2 ( 588570 1815940 ) ( * 1818150 )
NEW met2 ( 587190 1842970 ) ( * 1928650 )
NEW met1 ( 588570 1818150 ) ( 1535250 * )
NEW met4 ( 538660 2353140 ) ( * 2815540 )
NEW met1 ( 587190 1928650 ) M1M2_PR
NEW met1 ( 1549510 33830 ) M1M2_PR
NEW met1 ( 1543070 33830 ) M1M2_PR
NEW met1 ( 1549510 403410 ) M1M2_PR
NEW met1 ( 511750 2352970 ) M1M2_PR
NEW met2 ( 511750 2354500 ) M2M3_PR
NEW met1 ( 1535250 850510 ) M1M2_PR
NEW met1 ( 1543070 850510 ) M1M2_PR
NEW met1 ( 1535250 1818150 ) M1M2_PR
NEW met1 ( 563730 1928650 ) M1M2_PR
NEW met3 ( 538660 2815540 ) M3M4_PR
NEW met1 ( 2353130 403410 ) M1M2_PR
NEW met1 ( 563270 2352970 ) M1M2_PR
NEW met3 ( 538660 2353140 ) M3M4_PR
NEW met2 ( 537970 2353140 ) M2M3_PR
NEW met1 ( 537970 2352970 ) M1M2_PR
NEW met1 ( 588570 1818150 ) M1M2_PR
NEW met1 ( 588570 1842970 ) M1M2_PR
NEW met1 ( 587190 1842970 ) M1M2_PR
NEW met2 ( 588570 1815940 ) M2M3_PR
NEW met2 ( 1543070 33830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 537970 2352970 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( wrapped_vga_clock_1 la1_data_out[20] ) ( wrapped_rgb_mixer_3 la1_data_out[20] ) ( wrapped_hack_soc_dffram_11 la1_data_out[20] ) ( wrapped_frequency_counter_2 la1_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 389810 ) ( * 389980 )
NEW met3 ( 1548820 389980 ) ( 1552730 * )
NEW met4 ( 1546980 494700 ) ( 1548820 * )
NEW met2 ( 2346230 500140 ) ( 2348530 * 0 )
NEW met3 ( 1548820 58140 ) ( 1557330 * )
NEW met2 ( 1557330 1700 0 ) ( * 58140 )
NEW met4 ( 1548820 58140 ) ( * 494700 )
NEW met4 ( 1546980 494700 ) ( * 517500 )
NEW met4 ( 1546980 517500 ) ( 1548820 * )
NEW met4 ( 1548820 517500 ) ( * 1610580 )
NEW met2 ( 2346230 389810 ) ( * 500140 )
NEW met3 ( 350980 1747940 0 ) ( * 1748620 )
NEW met3 ( 342010 1748620 ) ( 350980 * )
NEW met2 ( 342010 1748620 ) ( * 1748790 )
NEW met1 ( 330970 1748790 ) ( 342010 * )
NEW met2 ( 338790 2235670 ) ( * 2238900 )
NEW met3 ( 338790 2238900 ) ( 350980 * )
NEW met3 ( 350980 2238900 ) ( * 2240940 0 )
NEW met1 ( 324070 2235670 ) ( 338790 * )
NEW met1 ( 1552730 389810 ) ( 2346230 * )
NEW met2 ( 344310 1610580 ) ( * 1748620 )
NEW met2 ( 330970 1748790 ) ( * 2235670 )
NEW met2 ( 338330 2739890 ) ( * 2740060 )
NEW met3 ( 338330 2740060 ) ( 350980 * )
NEW met3 ( 350980 2740060 ) ( * 2740740 0 )
NEW met1 ( 324070 2739890 ) ( 338330 * )
NEW met3 ( 344310 1610580 ) ( 1548820 * )
NEW met2 ( 324070 2235670 ) ( * 2739890 )
NEW met1 ( 324070 2235670 ) M1M2_PR
NEW met1 ( 1552730 389810 ) M1M2_PR
NEW met2 ( 1552730 389980 ) M2M3_PR
NEW met3 ( 1548820 389980 ) M3M4_PR
NEW met1 ( 2346230 389810 ) M1M2_PR
NEW met1 ( 324070 2739890 ) M1M2_PR
NEW met3 ( 1548820 58140 ) M3M4_PR
NEW met2 ( 1557330 58140 ) M2M3_PR
NEW met3 ( 1548820 1610580 ) M3M4_PR
NEW met2 ( 342010 1748620 ) M2M3_PR
NEW met1 ( 342010 1748790 ) M1M2_PR
NEW met1 ( 330970 1748790 ) M1M2_PR
NEW met2 ( 344310 1748620 ) M2M3_PR
NEW met1 ( 338790 2235670 ) M1M2_PR
NEW met2 ( 338790 2238900 ) M2M3_PR
NEW met1 ( 330970 2235670 ) M1M2_PR
NEW met2 ( 344310 1610580 ) M2M3_PR
NEW met1 ( 338330 2739890 ) M1M2_PR
NEW met2 ( 338330 2740060 ) M2M3_PR
NEW met4 ( 1548820 389980 ) RECT ( -150 -800 150 0 )
NEW met3 ( 344310 1748620 ) RECT ( -800 -150 0 150 )
NEW met1 ( 330970 2235670 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[53] ( PIN la_data_out[53] ) ( wrapped_vga_clock_1 la1_data_out[21] ) ( wrapped_rgb_mixer_3 la1_data_out[21] ) ( wrapped_hack_soc_dffram_11 la1_data_out[21] ) ( wrapped_frequency_counter_2 la1_data_out[21] ) + USE SIGNAL
+ ROUTED met3 ( 498180 2423180 ) ( * 2424540 0 )
NEW met3 ( 498180 2423180 ) ( 498410 * )
NEW met2 ( 498410 2421990 ) ( * 2423180 )
NEW met2 ( 498410 2423180 ) ( 498870 * )
NEW met2 ( 1573430 1700 ) ( 1575270 * 0 )
NEW met2 ( 2339330 500140 ) ( 2342550 * 0 )
NEW met3 ( 579140 1897540 0 ) ( 591790 * )
NEW met2 ( 591790 1897540 ) ( * 1900770 )
NEW met2 ( 586730 1897540 ) ( * 2397510 )
NEW met2 ( 1480050 444890 ) ( * 1900770 )
NEW met2 ( 1573430 1700 ) ( * 68850 )
NEW met2 ( 1576650 68850 ) ( * 444890 )
NEW met2 ( 2339330 68850 ) ( * 500140 )
NEW met1 ( 498410 2421990 ) ( 562810 * )
NEW met2 ( 562810 2397510 ) ( * 2421990 )
NEW met1 ( 562810 2397510 ) ( 586730 * )
NEW met3 ( 529460 2883540 0 ) ( 539580 * )
NEW met1 ( 591790 1900770 ) ( 1480050 * )
NEW met1 ( 1480050 444890 ) ( 1576650 * )
NEW met1 ( 1573430 68850 ) ( 2339330 * )
NEW met3 ( 498870 2676820 ) ( 539580 * )
NEW met4 ( 539580 2676820 ) ( * 2883540 )
NEW met2 ( 498870 2423180 ) ( * 2676820 )
NEW met2 ( 498410 2423180 ) M2M3_PR
NEW met1 ( 498410 2421990 ) M1M2_PR
NEW met2 ( 591790 1897540 ) M2M3_PR
NEW met1 ( 591790 1900770 ) M1M2_PR
NEW met2 ( 586730 1897540 ) M2M3_PR
NEW met1 ( 586730 2397510 ) M1M2_PR
NEW met1 ( 1480050 444890 ) M1M2_PR
NEW met1 ( 1480050 1900770 ) M1M2_PR
NEW met1 ( 1573430 68850 ) M1M2_PR
NEW met1 ( 1576650 68850 ) M1M2_PR
NEW met1 ( 1576650 444890 ) M1M2_PR
NEW met1 ( 2339330 68850 ) M1M2_PR
NEW met1 ( 562810 2421990 ) M1M2_PR
NEW met1 ( 562810 2397510 ) M1M2_PR
NEW met3 ( 539580 2883540 ) M3M4_PR
NEW met2 ( 498870 2676820 ) M2M3_PR
NEW met3 ( 539580 2676820 ) M3M4_PR
NEW met3 ( 586730 1897540 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1576650 68850 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[54] ( PIN la_data_out[54] ) ( wrapped_vga_clock_1 la1_data_out[22] ) ( wrapped_rgb_mixer_3 la1_data_out[22] ) ( wrapped_hack_soc_dffram_11 la1_data_out[22] ) ( wrapped_frequency_counter_2 la1_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 491970 1929500 0 ) ( 492430 * )
NEW met2 ( 492430 1929500 ) ( * 1943270 )
NEW met2 ( 2332430 500140 ) ( 2336570 * 0 )
NEW met2 ( 1446010 410550 ) ( * 887060 )
NEW met2 ( 2332430 410550 ) ( * 500140 )
NEW met2 ( 453330 2909380 0 ) ( 455170 * )
NEW met1 ( 547170 1958230 ) ( 553610 * )
NEW met2 ( 547170 1943270 ) ( * 1958230 )
NEW met2 ( 553610 1956190 ) ( * 1958230 )
NEW met1 ( 492430 1943270 ) ( 547170 * )
NEW met1 ( 553610 1956190 ) ( 1141950 * )
NEW met3 ( 1141950 887060 ) ( 1446010 * )
NEW met2 ( 1590450 1700 ) ( 1592750 * 0 )
NEW met1 ( 1446010 410550 ) ( 2332430 * )
NEW met2 ( 462530 2463130 ) ( * 2470270 )
NEW met1 ( 424350 2463130 ) ( 462530 * )
NEW met2 ( 424350 2449700 0 ) ( * 2463130 )
NEW met2 ( 468970 2470270 ) ( * 2659990 )
NEW met2 ( 455170 2909380 ) ( * 2933010 )
NEW met2 ( 553610 1958230 ) ( * 2470270 )
NEW met1 ( 468970 2659990 ) ( 615250 * )
NEW met1 ( 455170 2933010 ) ( 615250 * )
NEW met2 ( 615250 2659990 ) ( * 2933010 )
NEW met2 ( 1141950 887060 ) ( * 1956190 )
NEW met2 ( 1587230 82800 ) ( 1590450 * )
NEW met2 ( 1590450 1700 ) ( * 82800 )
NEW met2 ( 1587230 82800 ) ( * 410550 )
NEW met1 ( 462530 2470270 ) ( 553610 * )
NEW met1 ( 492430 1943270 ) M1M2_PR
NEW met1 ( 1446010 410550 ) M1M2_PR
NEW met2 ( 1446010 887060 ) M2M3_PR
NEW met1 ( 2332430 410550 ) M1M2_PR
NEW met1 ( 553610 1958230 ) M1M2_PR
NEW met1 ( 547170 1958230 ) M1M2_PR
NEW met1 ( 547170 1943270 ) M1M2_PR
NEW met1 ( 553610 1956190 ) M1M2_PR
NEW met2 ( 1141950 887060 ) M2M3_PR
NEW met1 ( 1141950 1956190 ) M1M2_PR
NEW met1 ( 1587230 410550 ) M1M2_PR
NEW met1 ( 462530 2470270 ) M1M2_PR
NEW met1 ( 462530 2463130 ) M1M2_PR
NEW met1 ( 424350 2463130 ) M1M2_PR
NEW met1 ( 468970 2470270 ) M1M2_PR
NEW met1 ( 468970 2659990 ) M1M2_PR
NEW met1 ( 455170 2933010 ) M1M2_PR
NEW met1 ( 553610 2470270 ) M1M2_PR
NEW met1 ( 615250 2659990 ) M1M2_PR
NEW met1 ( 615250 2933010 ) M1M2_PR
NEW met1 ( 1587230 410550 ) RECT ( -595 -70 0 70 )
NEW met1 ( 468970 2470270 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[55] ( PIN la_data_out[55] ) ( wrapped_vga_clock_1 la1_data_out[23] ) ( wrapped_rgb_mixer_3 la1_data_out[23] ) ( wrapped_hack_soc_dffram_11 la1_data_out[23] ) ( wrapped_frequency_counter_2 la1_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 398590 2700620 ) ( 398625 * 0 )
NEW met2 ( 2325530 500140 ) ( 2330590 * 0 )
NEW met2 ( 408250 1697450 ) ( * 1700340 0 )
NEW met2 ( 408250 1686910 ) ( * 1697450 )
NEW met2 ( 398590 2189430 ) ( * 2200140 0 )
NEW met1 ( 393990 2189430 ) ( 398590 * )
NEW met2 ( 393990 2189430 ) ( * 2193850 )
NEW met2 ( 393990 1970130 ) ( * 2189430 )
NEW met2 ( 398590 2688210 ) ( * 2700620 )
NEW met2 ( 1059150 431290 ) ( * 1686910 )
NEW met2 ( 2325530 213690 ) ( * 500140 )
NEW met1 ( 1607930 207230 ) ( 1611150 * )
NEW met1 ( 1611150 213690 ) ( 2325530 * )
NEW met2 ( 239890 1697450 ) ( * 1970130 )
NEW met2 ( 233450 2193850 ) ( * 2688210 )
NEW met1 ( 239890 1697450 ) ( 408250 * )
NEW met1 ( 239890 1970130 ) ( 393990 * )
NEW met1 ( 233450 2193850 ) ( 393990 * )
NEW met1 ( 233450 2688210 ) ( 398590 * )
NEW met1 ( 408250 1686910 ) ( 1059150 * )
NEW met2 ( 1607930 82800 ) ( 1610690 * )
NEW met2 ( 1610690 1700 0 ) ( * 82800 )
NEW met2 ( 1607930 82800 ) ( * 207230 )
NEW met1 ( 1059150 431290 ) ( 1611150 * )
NEW met2 ( 1611150 207230 ) ( * 431290 )
NEW met1 ( 2325530 213690 ) M1M2_PR
NEW met1 ( 408250 1697450 ) M1M2_PR
NEW met1 ( 408250 1686910 ) M1M2_PR
NEW met1 ( 393990 1970130 ) M1M2_PR
NEW met1 ( 398590 2189430 ) M1M2_PR
NEW met1 ( 393990 2189430 ) M1M2_PR
NEW met1 ( 393990 2193850 ) M1M2_PR
NEW met1 ( 398590 2688210 ) M1M2_PR
NEW met1 ( 1059150 431290 ) M1M2_PR
NEW met1 ( 1059150 1686910 ) M1M2_PR
NEW met1 ( 1611150 207230 ) M1M2_PR
NEW met1 ( 1607930 207230 ) M1M2_PR
NEW met1 ( 1611150 213690 ) M1M2_PR
NEW met1 ( 239890 1697450 ) M1M2_PR
NEW met1 ( 239890 1970130 ) M1M2_PR
NEW met1 ( 233450 2193850 ) M1M2_PR
NEW met1 ( 233450 2688210 ) M1M2_PR
NEW met1 ( 1611150 431290 ) M1M2_PR
NEW met2 ( 1611150 213690 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[56] ( PIN la_data_out[56] ) ( wrapped_vga_clock_1 la1_data_out[24] ) ( wrapped_rgb_mixer_3 la1_data_out[24] ) ( wrapped_hack_soc_dffram_11 la1_data_out[24] ) ( wrapped_frequency_counter_2 la1_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 510830 2327980 ) ( * 2328490 )
NEW met3 ( 499100 2327980 ) ( 510830 * )
NEW met3 ( 499100 2326620 0 ) ( * 2327980 )
NEW met2 ( 2319550 500140 ) ( 2324610 * 0 )
NEW met3 ( 579140 1785340 0 ) ( 590870 * )
NEW met2 ( 590870 1780410 ) ( * 1785340 )
NEW met2 ( 1542610 438430 ) ( * 1780410 )
NEW met2 ( 2319550 382670 ) ( * 500140 )
NEW met1 ( 510830 2328490 ) ( 538890 * )
NEW met3 ( 529460 2788340 0 ) ( 538890 * )
NEW met2 ( 1628170 1700 0 ) ( * 17510 )
NEW met1 ( 1624950 17510 ) ( 1628170 * )
NEW met1 ( 1624950 382670 ) ( 2319550 * )
NEW met1 ( 516810 1970470 ) ( 580750 * )
NEW met1 ( 590870 1780410 ) ( 1542610 * )
NEW met2 ( 1624950 17510 ) ( * 382670 )
NEW met1 ( 1542610 438430 ) ( 1624950 * )
NEW met2 ( 1624950 382670 ) ( * 438430 )
NEW met2 ( 580750 1785340 ) ( * 1970470 )
NEW met2 ( 516810 1970470 ) ( * 2328490 )
NEW met2 ( 538890 2328490 ) ( * 2788340 )
NEW met1 ( 510830 2328490 ) M1M2_PR
NEW met2 ( 510830 2327980 ) M2M3_PR
NEW met1 ( 516810 2328490 ) M1M2_PR
NEW met1 ( 2319550 382670 ) M1M2_PR
NEW met1 ( 516810 1970470 ) M1M2_PR
NEW met2 ( 590870 1785340 ) M2M3_PR
NEW met1 ( 590870 1780410 ) M1M2_PR
NEW met2 ( 580750 1785340 ) M2M3_PR
NEW met1 ( 580750 1970470 ) M1M2_PR
NEW met1 ( 1542610 438430 ) M1M2_PR
NEW met1 ( 1542610 1780410 ) M1M2_PR
NEW met1 ( 538890 2328490 ) M1M2_PR
NEW met2 ( 538890 2788340 ) M2M3_PR
NEW met1 ( 1628170 17510 ) M1M2_PR
NEW met1 ( 1624950 17510 ) M1M2_PR
NEW met1 ( 1624950 382670 ) M1M2_PR
NEW met1 ( 1624950 438430 ) M1M2_PR
NEW met1 ( 516810 2328490 ) RECT ( -595 -70 0 70 )
NEW met3 ( 580750 1785340 ) RECT ( -800 -150 0 150 ) ;
- la_data_out[57] ( PIN la_data_out[57] ) ( wrapped_vga_clock_1 la1_data_out[25] ) ( wrapped_rgb_mixer_3 la1_data_out[25] ) ( wrapped_hack_soc_dffram_11 la1_data_out[25] ) ( wrapped_frequency_counter_2 la1_data_out[25] ) + USE SIGNAL
+ ROUTED met1 ( 309810 2214590 ) ( 317170 * )
NEW met2 ( 1646110 1700 0 ) ( * 17510 )
NEW met1 ( 1646110 17510 ) ( 1656230 * )
NEW met2 ( 2318630 500140 0 ) ( 2319090 * )
NEW met2 ( 309810 1711390 ) ( * 2214590 )
NEW met2 ( 1656230 17510 ) ( * 417690 )
NEW met2 ( 2319090 417350 ) ( * 500140 )
NEW met2 ( 338330 2212380 ) ( * 2214590 )
NEW met3 ( 338330 2212380 ) ( 351900 * )
NEW met3 ( 351900 2212380 ) ( * 2213740 0 )
NEW met1 ( 317170 2214590 ) ( 338330 * )
NEW met2 ( 338330 2712350 ) ( * 2712860 )
NEW met3 ( 338330 2712860 ) ( 350980 * )
NEW met3 ( 350980 2712860 ) ( * 2713540 0 )
NEW met1 ( 317170 2712350 ) ( 338330 * )
NEW met1 ( 1038450 417690 ) ( 1676700 * )
NEW met1 ( 1676700 417350 ) ( * 417690 )
NEW met1 ( 1676700 417350 ) ( 2319090 * )
NEW met2 ( 338330 1711390 ) ( * 1714620 )
NEW met3 ( 338330 1714620 ) ( 350980 * )
NEW met3 ( 350980 1714620 ) ( * 1717340 0 )
NEW met1 ( 309810 1711390 ) ( 338330 * )
NEW met2 ( 336490 1604630 ) ( * 1711390 )
NEW met1 ( 336490 1604630 ) ( 1038450 * )
NEW met2 ( 1038450 417690 ) ( * 1604630 )
NEW met2 ( 317170 2214590 ) ( * 2712350 )
NEW met1 ( 317170 2214590 ) M1M2_PR
NEW met1 ( 309810 2214590 ) M1M2_PR
NEW met1 ( 317170 2712350 ) M1M2_PR
NEW met1 ( 1646110 17510 ) M1M2_PR
NEW met1 ( 1656230 17510 ) M1M2_PR
NEW met1 ( 1656230 417690 ) M1M2_PR
NEW met1 ( 2319090 417350 ) M1M2_PR
NEW met1 ( 309810 1711390 ) M1M2_PR
NEW met1 ( 338330 2214590 ) M1M2_PR
NEW met2 ( 338330 2212380 ) M2M3_PR
NEW met1 ( 338330 2712350 ) M1M2_PR
NEW met2 ( 338330 2712860 ) M2M3_PR
NEW met1 ( 1038450 417690 ) M1M2_PR
NEW met1 ( 336490 1604630 ) M1M2_PR
NEW met1 ( 338330 1711390 ) M1M2_PR
NEW met2 ( 338330 1714620 ) M2M3_PR
NEW met1 ( 336490 1711390 ) M1M2_PR
NEW met1 ( 1038450 1604630 ) M1M2_PR
NEW met1 ( 1656230 417690 ) RECT ( -595 -70 0 70 )
NEW met1 ( 336490 1711390 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[58] ( PIN la_data_out[58] ) ( wrapped_vga_clock_1 la1_data_out[26] ) ( wrapped_rgb_mixer_3 la1_data_out[26] ) ( wrapped_hack_soc_dffram_11 la1_data_out[26] ) ( wrapped_frequency_counter_2 la1_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 1700 0 ) ( * 20570 )
NEW met2 ( 2311730 500140 ) ( 2312650 * 0 )
NEW met3 ( 499100 2272220 0 ) ( * 2272900 )
NEW met3 ( 499100 2272900 ) ( 510830 * )
NEW met2 ( 510830 2272900 ) ( * 2274090 )
NEW met3 ( 579140 1724140 0 ) ( 593170 * )
NEW met2 ( 593170 1724140 ) ( * 1724990 )
NEW met2 ( 704490 1721590 ) ( * 1724990 )
NEW met2 ( 704490 1724990 ) ( * 1990870 )
NEW met2 ( 1666350 20570 ) ( * 901510 )
NEW met2 ( 2311730 217090 ) ( * 500140 )
NEW met3 ( 529460 2733940 0 ) ( 538430 * )
NEW met1 ( 1528810 901510 ) ( 1666350 * )
NEW met1 ( 1663590 20570 ) ( 1715110 * )
NEW met1 ( 1715110 217090 ) ( 2311730 * )
NEW met1 ( 538430 2276810 ) ( 541650 * )
NEW met2 ( 538430 2274090 ) ( * 2276810 )
NEW met1 ( 510830 2274090 ) ( 538430 * )
NEW met1 ( 593170 1724990 ) ( 704490 * )
NEW met1 ( 541650 1990870 ) ( 704490 * )
NEW met1 ( 704490 1721590 ) ( 1528810 * )
NEW met2 ( 1528810 901510 ) ( * 1721590 )
NEW met2 ( 1715110 20570 ) ( * 217090 )
NEW met2 ( 541650 1990870 ) ( * 2276810 )
NEW met2 ( 538430 2276810 ) ( * 2733940 )
NEW met1 ( 1663590 20570 ) M1M2_PR
NEW met1 ( 1666350 20570 ) M1M2_PR
NEW met1 ( 1666350 901510 ) M1M2_PR
NEW met1 ( 2311730 217090 ) M1M2_PR
NEW met2 ( 510830 2272900 ) M2M3_PR
NEW met1 ( 510830 2274090 ) M1M2_PR
NEW met2 ( 593170 1724140 ) M2M3_PR
NEW met1 ( 593170 1724990 ) M1M2_PR
NEW met1 ( 704490 1724990 ) M1M2_PR
NEW met1 ( 704490 1721590 ) M1M2_PR
NEW met1 ( 704490 1990870 ) M1M2_PR
NEW met2 ( 538430 2733940 ) M2M3_PR
NEW met1 ( 1528810 901510 ) M1M2_PR
NEW met1 ( 1715110 20570 ) M1M2_PR
NEW met1 ( 1715110 217090 ) M1M2_PR
NEW met1 ( 541650 1990870 ) M1M2_PR
NEW met1 ( 541650 2276810 ) M1M2_PR
NEW met1 ( 538430 2276810 ) M1M2_PR
NEW met1 ( 538430 2274090 ) M1M2_PR
NEW met1 ( 1528810 1721590 ) M1M2_PR
NEW met1 ( 1666350 20570 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[59] ( PIN la_data_out[59] ) ( wrapped_vga_clock_1 la1_data_out[27] ) ( wrapped_rgb_mixer_3 la1_data_out[27] ) ( wrapped_hack_soc_dffram_11 la1_data_out[27] ) ( wrapped_frequency_counter_2 la1_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 2304830 500140 ) ( 2306670 * 0 )
NEW met2 ( 315790 365670 ) ( * 1918790 )
NEW met2 ( 2304830 424150 ) ( * 500140 )
NEW met2 ( 329590 1918790 ) ( * 1919300 )
NEW met3 ( 329590 1919300 ) ( 329820 * )
NEW met3 ( 350980 1924060 ) ( * 1924740 0 )
NEW met3 ( 329820 1924060 ) ( 350980 * )
NEW met1 ( 315790 1918790 ) ( 329590 * )
NEW met3 ( 328900 2388500 ) ( 337870 * )
NEW met3 ( 351900 2392580 ) ( * 2393940 0 )
NEW met3 ( 337870 2392580 ) ( 351900 * )
NEW met2 ( 1676930 82800 ) ( 1681530 * )
NEW met2 ( 1681530 1700 0 ) ( * 82800 )
NEW met1 ( 1676930 365670 ) ( 1680610 * )
NEW met1 ( 315790 365670 ) ( 1676930 * )
NEW met2 ( 1676930 82800 ) ( * 365670 )
NEW met2 ( 1680610 365670 ) ( * 424150 )
NEW met1 ( 1680610 424150 ) ( 2304830 * )
NEW met3 ( 337870 2885580 ) ( 350980 * )
NEW met3 ( 350980 2885580 ) ( * 2886940 0 )
NEW met2 ( 337870 2388500 ) ( * 2885580 )
NEW met4 ( 328900 2380500 ) ( * 2388500 )
NEW met4 ( 328900 2380500 ) ( 329820 * )
NEW met4 ( 329820 1919300 ) ( * 2380500 )
NEW met1 ( 315790 1918790 ) M1M2_PR
NEW met1 ( 315790 365670 ) M1M2_PR
NEW met1 ( 2304830 424150 ) M1M2_PR
NEW met1 ( 329590 1918790 ) M1M2_PR
NEW met2 ( 329590 1919300 ) M2M3_PR
NEW met3 ( 329820 1919300 ) M3M4_PR
NEW met3 ( 329820 1924060 ) M3M4_PR
NEW met2 ( 337870 2388500 ) M2M3_PR
NEW met3 ( 328900 2388500 ) M3M4_PR
NEW met2 ( 337870 2392580 ) M2M3_PR
NEW met1 ( 1676930 365670 ) M1M2_PR
NEW met1 ( 1680610 365670 ) M1M2_PR
NEW met1 ( 1680610 424150 ) M1M2_PR
NEW met2 ( 337870 2885580 ) M2M3_PR
NEW met3 ( 329590 1919300 ) RECT ( -390 -150 0 150 )
NEW met4 ( 329820 1924060 ) RECT ( -150 -800 150 0 )
NEW met2 ( 337870 2392580 ) RECT ( -70 -485 70 0 ) ;
- la_data_out[5] ( PIN la_data_out[5] ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) ( wrapped_vga_clock_1 la1_data_out[28] ) ( wrapped_rgb_mixer_3 la1_data_out[28] ) ( wrapped_hack_soc_dffram_11 la1_data_out[28] ) ( wrapped_frequency_counter_2 la1_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 669070 1624690 ) ( * 1628090 )
NEW met2 ( 663550 1628090 ) ( * 1676700 )
NEW met2 ( 663550 1676700 ) ( 664010 * )
NEW met2 ( 664010 1676700 ) ( * 2005150 )
NEW met2 ( 1697630 1700 ) ( 1699470 * 0 )
NEW met1 ( 2287350 483990 ) ( 2300690 * )
NEW met2 ( 2300690 483990 ) ( * 500140 0 )
NEW met2 ( 556370 1628090 ) ( * 1700340 0 )
NEW met4 ( 518420 2304600 ) ( 519340 * )
NEW met1 ( 556370 1628090 ) ( 669070 * )
NEW met1 ( 520950 2005150 ) ( 664010 * )
NEW met1 ( 1697630 434690 ) ( 1700850 * )
NEW met2 ( 1697630 1700 ) ( * 434690 )
NEW met1 ( 669070 1624690 ) ( 1700850 * )
NEW met2 ( 1700850 434690 ) ( * 1624690 )
NEW met1 ( 1700850 434690 ) ( 2287350 * )
NEW met2 ( 2287350 434690 ) ( * 483990 )
NEW met3 ( 519340 2221900 ) ( 520950 * )
NEW met3 ( 499100 2228020 0 ) ( * 2228700 )
NEW met3 ( 499100 2228700 ) ( 519340 * )
NEW met4 ( 519340 2221900 ) ( * 2304600 )
NEW met2 ( 520950 2005150 ) ( * 2221900 )
NEW met3 ( 518420 2690420 ) ( 524170 * )
NEW met2 ( 524170 2690420 ) ( * 2700620 )
NEW met2 ( 524170 2700620 ) ( 524205 * 0 )
NEW met4 ( 518420 2304600 ) ( * 2690420 )
NEW met1 ( 669070 1628090 ) M1M2_PR
NEW met1 ( 669070 1624690 ) M1M2_PR
NEW met1 ( 663550 1628090 ) M1M2_PR
NEW met1 ( 664010 2005150 ) M1M2_PR
NEW met1 ( 2287350 483990 ) M1M2_PR
NEW met1 ( 2300690 483990 ) M1M2_PR
NEW met1 ( 556370 1628090 ) M1M2_PR
NEW met1 ( 520950 2005150 ) M1M2_PR
NEW met1 ( 1697630 434690 ) M1M2_PR
NEW met1 ( 1700850 434690 ) M1M2_PR
NEW met1 ( 1700850 1624690 ) M1M2_PR
NEW met1 ( 2287350 434690 ) M1M2_PR
NEW met3 ( 519340 2221900 ) M3M4_PR
NEW met2 ( 520950 2221900 ) M2M3_PR
NEW met3 ( 519340 2228700 ) M3M4_PR
NEW met3 ( 518420 2690420 ) M3M4_PR
NEW met2 ( 524170 2690420 ) M2M3_PR
NEW met1 ( 663550 1628090 ) RECT ( -595 -70 0 70 )
NEW met4 ( 519340 2228700 ) RECT ( -150 -800 150 0 ) ;
- la_data_out[61] ( PIN la_data_out[61] ) ( wrapped_vga_clock_1 la1_data_out[29] ) ( wrapped_rgb_mixer_3 la1_data_out[29] ) ( wrapped_hack_soc_dffram_11 la1_data_out[29] ) ( wrapped_frequency_counter_2 la1_data_out[29] ) + USE SIGNAL
+ ROUTED met1 ( 376510 1670590 ) ( 379270 * )
NEW met2 ( 372830 2700620 ) ( 372865 * 0 )
NEW met3 ( 213670 2166140 ) ( 220340 * )
NEW met2 ( 213670 1676030 ) ( * 2166140 )
NEW met4 ( 220340 2166140 ) ( * 2645540 )
NEW met2 ( 376510 1590180 ) ( * 1670590 )
NEW met2 ( 379270 1670590 ) ( * 1700340 0 )
NEW met2 ( 372830 2166140 ) ( * 2200140 0 )
NEW met2 ( 372830 2645540 ) ( * 2700620 )
NEW met1 ( 213670 1676030 ) ( 379270 * )
NEW met2 ( 2291030 500140 ) ( 2294710 * 0 )
NEW met3 ( 220340 2166140 ) ( 372830 * )
NEW met3 ( 220340 2645540 ) ( 372830 * )
NEW met3 ( 1711660 58820 ) ( 1716950 * )
NEW met2 ( 1716950 1700 0 ) ( * 58820 )
NEW met2 ( 1711890 438090 ) ( * 438260 )
NEW met3 ( 1711660 438260 ) ( 1711890 * )
NEW met3 ( 376510 1590180 ) ( 1711660 * )
NEW met4 ( 1711660 58820 ) ( * 1590180 )
NEW met1 ( 1711890 438090 ) ( 2291030 * )
NEW met2 ( 2291030 438090 ) ( * 500140 )
NEW met1 ( 213670 1676030 ) M1M2_PR
NEW met1 ( 379270 1670590 ) M1M2_PR
NEW met1 ( 376510 1670590 ) M1M2_PR
NEW met1 ( 379270 1676030 ) M1M2_PR
NEW met3 ( 220340 2166140 ) M3M4_PR
NEW met2 ( 213670 2166140 ) M2M3_PR
NEW met3 ( 220340 2645540 ) M3M4_PR
NEW met2 ( 376510 1590180 ) M2M3_PR
NEW met2 ( 372830 2166140 ) M2M3_PR
NEW met2 ( 372830 2645540 ) M2M3_PR
NEW met3 ( 1711660 58820 ) M3M4_PR
NEW met2 ( 1716950 58820 ) M2M3_PR
NEW met1 ( 1711890 438090 ) M1M2_PR
NEW met2 ( 1711890 438260 ) M2M3_PR
NEW met3 ( 1711660 438260 ) M3M4_PR
NEW met3 ( 1711660 1590180 ) M3M4_PR
NEW met1 ( 2291030 438090 ) M1M2_PR
NEW met2 ( 379270 1676030 ) RECT ( -70 -485 70 0 )
NEW met3 ( 1711890 438260 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1711660 438260 ) RECT ( -150 -800 150 0 ) ;
- la_data_out[62] ( PIN la_data_out[62] ) ( wrapped_vga_clock_1 la1_data_out[30] ) ( wrapped_rgb_mixer_3 la1_data_out[30] ) ( wrapped_hack_soc_dffram_11 la1_data_out[30] ) ( wrapped_frequency_counter_2 la1_data_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1758140 0 ) ( 593170 * )
NEW met2 ( 593170 1756270 ) ( * 1758140 )
NEW met2 ( 793730 1735190 ) ( * 1756270 )
NEW met1 ( 1734890 20230 ) ( 1763410 * )
NEW met2 ( 511290 2297890 ) ( * 2303500 )
NEW met3 ( 499100 2303500 ) ( 511290 * )
NEW met3 ( 499100 2302820 0 ) ( * 2303500 )
NEW met2 ( 690230 2297550 ) ( * 2760290 )
NEW met2 ( 1734890 1700 0 ) ( * 34500 )
NEW met2 ( 1734890 34500 ) ( 1735350 * )
NEW met2 ( 1763410 20230 ) ( * 451690 )
NEW met2 ( 1735350 34500 ) ( * 1735190 )
NEW met1 ( 593170 1756270 ) ( 793730 * )
NEW met1 ( 793730 1735190 ) ( 1735350 * )
NEW met2 ( 2284130 500140 ) ( 2288730 * 0 )
NEW met1 ( 537970 1997670 ) ( 587650 * )
NEW met1 ( 537970 2297550 ) ( * 2297890 )
NEW met2 ( 537510 2256300 ) ( 537970 * )
NEW met2 ( 537510 2256300 ) ( * 2297890 )
NEW met1 ( 511290 2297890 ) ( 537970 * )
NEW met3 ( 529460 2764540 0 ) ( 544870 * )
NEW met2 ( 544870 2760290 ) ( * 2764540 )
NEW met1 ( 537970 2297550 ) ( 690230 * )
NEW met1 ( 544870 2760290 ) ( 690230 * )
NEW met1 ( 1763410 451690 ) ( 2284130 * )
NEW met2 ( 2284130 451690 ) ( * 500140 )
NEW met2 ( 587650 1758140 ) ( * 1997670 )
NEW met2 ( 537970 1997670 ) ( * 2256300 )
NEW met2 ( 593170 1758140 ) M2M3_PR
NEW met1 ( 593170 1756270 ) M1M2_PR
NEW met2 ( 587650 1758140 ) M2M3_PR
NEW met1 ( 793730 1756270 ) M1M2_PR
NEW met1 ( 793730 1735190 ) M1M2_PR
NEW met1 ( 1763410 20230 ) M1M2_PR
NEW met1 ( 1734890 20230 ) M1M2_PR
NEW met1 ( 1735350 1735190 ) M1M2_PR
NEW met1 ( 511290 2297890 ) M1M2_PR
NEW met2 ( 511290 2303500 ) M2M3_PR
NEW met1 ( 587650 1997670 ) M1M2_PR
NEW met1 ( 690230 2297550 ) M1M2_PR
NEW met1 ( 690230 2760290 ) M1M2_PR
NEW met1 ( 1763410 451690 ) M1M2_PR
NEW met1 ( 537970 1997670 ) M1M2_PR
NEW met1 ( 537510 2297890 ) M1M2_PR
NEW met2 ( 544870 2764540 ) M2M3_PR
NEW met1 ( 544870 2760290 ) M1M2_PR
NEW met1 ( 2284130 451690 ) M1M2_PR
NEW met3 ( 587650 1758140 ) RECT ( -800 -150 0 150 )
NEW met2 ( 1734890 20230 ) RECT ( -70 -485 70 0 )
NEW met1 ( 537510 2297890 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[63] ( PIN la_data_out[63] ) ( wrapped_vga_clock_1 la1_data_out[31] ) ( wrapped_rgb_mixer_3 la1_data_out[31] ) ( wrapped_hack_soc_dffram_11 la1_data_out[31] ) ( wrapped_frequency_counter_2 la1_data_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1924740 0 ) ( 592710 * )
NEW met2 ( 592710 1918450 ) ( * 1924740 )
NEW met2 ( 587190 2445620 ) ( * 2445790 )
NEW met3 ( 587190 2445620 ) ( 590180 * )
NEW met2 ( 1752370 1700 0 ) ( * 17510 )
NEW met4 ( 590180 1924740 ) ( * 2899860 )
NEW met3 ( 565800 2899860 ) ( 590180 * )
NEW met3 ( 529460 2902580 ) ( * 2903940 0 )
NEW met3 ( 529460 2902580 ) ( 565800 * )
NEW met3 ( 565800 2899860 ) ( * 2902580 )
NEW met1 ( 1752370 17510 ) ( 1804350 * )
NEW met1 ( 592710 1918450 ) ( 1804350 * )
NEW met2 ( 2282750 486370 ) ( * 500140 0 )
NEW met1 ( 2107950 486370 ) ( 2282750 * )
NEW met2 ( 1804350 17510 ) ( * 54910 )
NEW met2 ( 1804350 54910 ) ( * 1918450 )
NEW met1 ( 1804350 54910 ) ( 2107950 * )
NEW met2 ( 2107950 54910 ) ( * 486370 )
NEW met3 ( 499100 2445620 0 ) ( * 2446300 )
NEW met3 ( 499100 2446300 ) ( 517270 * )
NEW met2 ( 517270 2445790 ) ( * 2446300 )
NEW met1 ( 517270 2445790 ) ( 587190 * )
NEW met2 ( 592710 1924740 ) M2M3_PR
NEW met1 ( 592710 1918450 ) M1M2_PR
NEW met3 ( 590180 1924740 ) M3M4_PR
NEW met1 ( 587190 2445790 ) M1M2_PR
NEW met2 ( 587190 2445620 ) M2M3_PR
NEW met3 ( 590180 2445620 ) M3M4_PR
NEW met3 ( 590180 2899860 ) M3M4_PR
NEW met1 ( 1752370 17510 ) M1M2_PR
NEW met1 ( 1804350 17510 ) M1M2_PR
NEW met1 ( 1804350 1918450 ) M1M2_PR
NEW met1 ( 2107950 486370 ) M1M2_PR
NEW met1 ( 2282750 486370 ) M1M2_PR
NEW met1 ( 1804350 54910 ) M1M2_PR
NEW met1 ( 2107950 54910 ) M1M2_PR
NEW met2 ( 517270 2446300 ) M2M3_PR
NEW met1 ( 517270 2445790 ) M1M2_PR
NEW met3 ( 590180 1924740 ) RECT ( -800 -150 0 150 )
NEW met4 ( 590180 2445620 ) RECT ( -150 -800 150 0 ) ;
- la_data_out[64] ( PIN la_data_out[64] ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) + USE SIGNAL ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) ( wrapped_vga_clock_1 la1_oenb[0] ) ( wrapped_rgb_mixer_3 la1_oenb[0] ) ( wrapped_hack_soc_dffram_11 la1_oenb[0] ) ( wrapped_frequency_counter_2 la1_oenb[0] ) + USE SIGNAL
+ ROUTED met3 ( 499100 2248420 0 ) ( * 2249100 )
NEW met2 ( 578910 1703740 0 ) ( * 1707990 )
NEW met2 ( 576150 1703740 ) ( 578910 * 0 )
NEW met2 ( 576150 1583550 ) ( * 1703740 )
NEW met3 ( 499100 2249100 ) ( 521410 * )
NEW met1 ( 521410 2018410 ) ( 643310 * )
NEW met2 ( 2084030 890290 ) ( * 893180 )
NEW met3 ( 2084030 893180 ) ( 2100820 * 0 )
NEW met1 ( 1804810 890290 ) ( 2084030 * )
NEW met1 ( 518650 2258450 ) ( 539350 * )
NEW met2 ( 518650 2249100 ) ( * 2258450 )
NEW met1 ( 578910 1707990 ) ( 643310 * )
NEW met2 ( 643310 1707990 ) ( * 2018410 )
NEW met1 ( 576150 1583550 ) ( 1025110 * )
NEW met2 ( 1025110 259250 ) ( * 1583550 )
NEW met2 ( 1207730 82800 ) ( 1208650 * )
NEW met2 ( 1208650 1700 0 ) ( * 82800 )
NEW met2 ( 1207730 82800 ) ( * 259250 )
NEW met1 ( 1025110 259250 ) ( 1804810 * )
NEW met2 ( 1804810 259250 ) ( * 890290 )
NEW met2 ( 521410 2018410 ) ( * 2249100 )
NEW met3 ( 529460 2712180 ) ( * 2713540 0 )
NEW met3 ( 529460 2712180 ) ( 538660 * )
NEW met3 ( 538660 2711500 ) ( * 2712180 )
NEW met3 ( 538660 2711500 ) ( 539350 * )
NEW met2 ( 539350 2258450 ) ( * 2711500 )
NEW met1 ( 576150 1583550 ) M1M2_PR
NEW met1 ( 578910 1707990 ) M1M2_PR
NEW met1 ( 521410 2018410 ) M1M2_PR
NEW met2 ( 521410 2249100 ) M2M3_PR
NEW met2 ( 518650 2249100 ) M2M3_PR
NEW met1 ( 643310 2018410 ) M1M2_PR
NEW met1 ( 1804810 890290 ) M1M2_PR
NEW met1 ( 2084030 890290 ) M1M2_PR
NEW met2 ( 2084030 893180 ) M2M3_PR
NEW met1 ( 518650 2258450 ) M1M2_PR
NEW met1 ( 539350 2258450 ) M1M2_PR
NEW met1 ( 643310 1707990 ) M1M2_PR
NEW met1 ( 1025110 259250 ) M1M2_PR
NEW met1 ( 1025110 1583550 ) M1M2_PR
NEW met1 ( 1207730 259250 ) M1M2_PR
NEW met1 ( 1804810 259250 ) M1M2_PR
NEW met2 ( 539350 2711500 ) M2M3_PR
NEW met3 ( 518650 2249100 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1207730 259250 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[33] ( PIN la_oenb[33] ) ( wrapped_vga_clock_1 la1_oenb[1] ) ( wrapped_rgb_mixer_3 la1_oenb[1] ) ( wrapped_hack_soc_dffram_11 la1_oenb[1] ) ( wrapped_frequency_counter_2 la1_oenb[1] ) + USE SIGNAL
+ ROUTED met2 ( 385710 2700620 ) ( 385745 * 0 )
NEW met2 ( 220570 1675690 ) ( * 2177190 )
NEW met2 ( 212750 2177190 ) ( * 2646050 )
NEW met1 ( 382950 1688610 ) ( 392150 * )
NEW met2 ( 392150 1688610 ) ( * 1700340 0 )
NEW met2 ( 382950 1549210 ) ( * 1688610 )
NEW met2 ( 385710 2177190 ) ( * 2200140 0 )
NEW met2 ( 385710 2646050 ) ( * 2700620 )
NEW met2 ( 962550 248370 ) ( * 1549210 )
NEW met1 ( 220570 1675690 ) ( 382950 * )
NEW met1 ( 382950 1549210 ) ( 962550 * )
NEW met2 ( 2084030 883490 ) ( * 885020 )
NEW met3 ( 2084030 885020 ) ( 2100820 * 0 )
NEW met1 ( 1783650 883490 ) ( 2084030 * )
NEW met1 ( 212750 2177190 ) ( 385710 * )
NEW met1 ( 212750 2646050 ) ( 385710 * )
NEW met2 ( 1221530 82800 ) ( 1226130 * )
NEW met2 ( 1226130 1700 0 ) ( * 82800 )
NEW met1 ( 962550 248370 ) ( 1221530 * )
NEW met2 ( 1221530 82800 ) ( * 252790 )
NEW met1 ( 1221530 252790 ) ( 1783650 * )
NEW met2 ( 1783650 252790 ) ( * 883490 )
NEW met1 ( 220570 1675690 ) M1M2_PR
NEW met1 ( 382950 1549210 ) M1M2_PR
NEW met1 ( 382950 1675690 ) M1M2_PR
NEW met1 ( 962550 1549210 ) M1M2_PR
NEW met1 ( 212750 2177190 ) M1M2_PR
NEW met1 ( 220570 2177190 ) M1M2_PR
NEW met1 ( 212750 2646050 ) M1M2_PR
NEW met1 ( 382950 1688610 ) M1M2_PR
NEW met1 ( 392150 1688610 ) M1M2_PR
NEW met1 ( 385710 2177190 ) M1M2_PR
NEW met1 ( 385710 2646050 ) M1M2_PR
NEW met1 ( 962550 248370 ) M1M2_PR
NEW met1 ( 1783650 883490 ) M1M2_PR
NEW met1 ( 2084030 883490 ) M1M2_PR
NEW met2 ( 2084030 885020 ) M2M3_PR
NEW met1 ( 1221530 252790 ) M1M2_PR
NEW met1 ( 1221530 248370 ) M1M2_PR
NEW met1 ( 1783650 252790 ) M1M2_PR
NEW met2 ( 382950 1675690 ) RECT ( -70 -485 70 0 )
NEW met1 ( 220570 2177190 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1221530 248370 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[34] ( PIN la_oenb[34] ) ( wrapped_vga_clock_1 la1_oenb[2] ) ( wrapped_rgb_mixer_3 la1_oenb[2] ) ( wrapped_hack_soc_dffram_11 la1_oenb[2] ) ( wrapped_frequency_counter_2 la1_oenb[2] ) + USE SIGNAL
+ ROUTED met2 ( 1242230 1700 ) ( 1244070 * 0 )
NEW met2 ( 323150 103190 ) ( * 1735190 )
NEW met2 ( 323150 1735190 ) ( * 2228870 )
NEW met2 ( 302910 2228870 ) ( * 2732410 )
NEW met2 ( 1242230 1700 ) ( * 102850 )
NEW met2 ( 1245910 102850 ) ( * 390150 )
NEW met2 ( 338330 1735190 ) ( * 1735700 )
NEW met3 ( 338330 1735700 ) ( 350980 * )
NEW met3 ( 350980 1735700 ) ( * 1737740 0 )
NEW met1 ( 323150 1735190 ) ( 338330 * )
NEW met2 ( 338790 2228870 ) ( * 2232780 )
NEW met3 ( 338790 2232780 ) ( 350980 * )
NEW met3 ( 350980 2232780 ) ( * 2234140 0 )
NEW met1 ( 302910 2228870 ) ( 338790 * )
NEW met2 ( 338330 2732410 ) ( * 2732580 )
NEW met3 ( 338330 2732580 ) ( 350980 * )
NEW met3 ( 350980 2732580 ) ( * 2733940 0 )
NEW met1 ( 302910 2732410 ) ( 338330 * )
NEW met1 ( 323150 103190 ) ( 1193700 * )
NEW met1 ( 1193700 102850 ) ( * 103190 )
NEW met1 ( 1193700 102850 ) ( 1245910 * )
NEW met1 ( 1245910 390150 ) ( 1776750 * )
NEW met2 ( 2084030 869550 ) ( * 876180 )
NEW met3 ( 2084030 876180 ) ( 2100820 * 0 )
NEW met1 ( 1776750 869550 ) ( 2084030 * )
NEW met2 ( 1776750 390150 ) ( * 869550 )
NEW met1 ( 323150 103190 ) M1M2_PR
NEW met1 ( 323150 1735190 ) M1M2_PR
NEW met1 ( 302910 2228870 ) M1M2_PR
NEW met1 ( 323150 2228870 ) M1M2_PR
NEW met1 ( 302910 2732410 ) M1M2_PR
NEW met1 ( 1245910 102850 ) M1M2_PR
NEW met1 ( 1242230 102850 ) M1M2_PR
NEW met1 ( 1245910 390150 ) M1M2_PR
NEW met1 ( 338330 1735190 ) M1M2_PR
NEW met2 ( 338330 1735700 ) M2M3_PR
NEW met1 ( 338790 2228870 ) M1M2_PR
NEW met2 ( 338790 2232780 ) M2M3_PR
NEW met1 ( 338330 2732410 ) M1M2_PR
NEW met2 ( 338330 2732580 ) M2M3_PR
NEW met1 ( 1776750 390150 ) M1M2_PR
NEW met1 ( 1776750 869550 ) M1M2_PR
NEW met1 ( 2084030 869550 ) M1M2_PR
NEW met2 ( 2084030 876180 ) M2M3_PR
NEW met1 ( 323150 2228870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1242230 102850 ) RECT ( 0 -70 595 70 ) ;
- la_oenb[35] ( PIN la_oenb[35] ) ( wrapped_vga_clock_1 la1_oenb[3] ) ( wrapped_rgb_mixer_3 la1_oenb[3] ) ( wrapped_hack_soc_dffram_11 la1_oenb[3] ) ( wrapped_frequency_counter_2 la1_oenb[3] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1929500 0 ) ( 513130 * )
NEW met2 ( 513130 1929500 ) ( * 1945990 )
NEW met2 ( 472650 2909380 0 ) ( 474490 * )
NEW met3 ( 683100 1952620 ) ( 684710 * )
NEW met2 ( 684710 1945990 ) ( * 1952620 )
NEW met2 ( 1262010 1700 0 ) ( * 18190 )
NEW met1 ( 1256030 18190 ) ( 1262010 * )
NEW met2 ( 474490 2909380 ) ( * 2932670 )
NEW met4 ( 683100 1952620 ) ( * 2493900 )
NEW met2 ( 990610 430780 ) ( * 1945990 )
NEW met2 ( 1256030 18190 ) ( * 430780 )
NEW met1 ( 513130 1945990 ) ( 990610 * )
NEW met3 ( 2100820 862580 ) ( * 868020 0 )
NEW met3 ( 1680380 862580 ) ( 2100820 * )
NEW met2 ( 440450 2449700 0 ) ( * 2494070 )
NEW met2 ( 642390 2493900 ) ( * 2494070 )
NEW met1 ( 440450 2494070 ) ( 642390 * )
NEW met3 ( 642390 2493900 ) ( 683100 * )
NEW met1 ( 474490 2932670 ) ( 642390 * )
NEW met2 ( 642390 2494070 ) ( * 2932670 )
NEW met3 ( 990610 430780 ) ( 1256030 * )
NEW met3 ( 1256030 430780 ) ( 1680380 * )
NEW met4 ( 1680380 430780 ) ( * 862580 )
NEW met1 ( 513130 1945990 ) M1M2_PR
NEW met3 ( 683100 1952620 ) M3M4_PR
NEW met2 ( 684710 1952620 ) M2M3_PR
NEW met1 ( 684710 1945990 ) M1M2_PR
NEW met1 ( 990610 1945990 ) M1M2_PR
NEW met1 ( 1262010 18190 ) M1M2_PR
NEW met1 ( 1256030 18190 ) M1M2_PR
NEW met1 ( 474490 2932670 ) M1M2_PR
NEW met3 ( 683100 2493900 ) M3M4_PR
NEW met2 ( 990610 430780 ) M2M3_PR
NEW met2 ( 1256030 430780 ) M2M3_PR
NEW met3 ( 1680380 862580 ) M3M4_PR
NEW met1 ( 440450 2494070 ) M1M2_PR
NEW met1 ( 642390 2494070 ) M1M2_PR
NEW met2 ( 642390 2493900 ) M2M3_PR
NEW met1 ( 642390 2932670 ) M1M2_PR
NEW met3 ( 1680380 430780 ) M3M4_PR
NEW met1 ( 684710 1945990 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[36] ( PIN la_oenb[36] ) ( wrapped_vga_clock_1 la1_oenb[4] ) ( wrapped_rgb_mixer_3 la1_oenb[4] ) ( wrapped_hack_soc_dffram_11 la1_oenb[4] ) ( wrapped_frequency_counter_2 la1_oenb[4] ) + USE SIGNAL
+ ROUTED met2 ( 505770 1929500 ) ( 508070 * 0 )
NEW met2 ( 470810 2909380 ) ( * 2931820 )
NEW met2 ( 469430 2909380 0 ) ( 470810 * )
NEW met2 ( 574310 2497810 ) ( * 2502060 )
NEW met3 ( 572700 2502060 ) ( 574310 * )
NEW met2 ( 505770 1929500 ) ( * 1984070 )
NEW met1 ( 469430 2497470 ) ( * 2497810 )
NEW met3 ( 572700 2931820 ) ( * 2932500 )
NEW met4 ( 572700 2502060 ) ( * 2932500 )
NEW met2 ( 893550 176290 ) ( * 1983730 )
NEW met2 ( 1279490 1700 0 ) ( * 68510 )
NEW met2 ( 1280410 68510 ) ( * 176290 )
NEW met2 ( 1769850 68510 ) ( * 855610 )
NEW met1 ( 469430 2497810 ) ( 574310 * )
NEW met3 ( 470810 2931820 ) ( 572700 * )
NEW met1 ( 574310 2497810 ) ( 656190 * )
NEW met2 ( 2084030 855610 ) ( * 859180 )
NEW met3 ( 2084030 859180 ) ( 2100820 * 0 )
NEW met1 ( 1769850 855610 ) ( 2084030 * )
NEW met2 ( 437230 2449700 0 ) ( * 2497470 )
NEW met1 ( 437230 2497470 ) ( 469430 * )
NEW met1 ( 662400 1983730 ) ( * 1984070 )
NEW met1 ( 505770 1984070 ) ( 662400 * )
NEW met2 ( 656190 1984070 ) ( * 2497810 )
NEW met1 ( 662400 1983730 ) ( 893550 * )
NEW met1 ( 893550 176290 ) ( 1280410 * )
NEW met1 ( 1279490 68510 ) ( 1769850 * )
NEW met2 ( 470810 2931820 ) M2M3_PR
NEW met1 ( 574310 2497810 ) M1M2_PR
NEW met2 ( 574310 2502060 ) M2M3_PR
NEW met3 ( 572700 2502060 ) M3M4_PR
NEW met1 ( 1769850 855610 ) M1M2_PR
NEW met1 ( 505770 1984070 ) M1M2_PR
NEW met3 ( 572700 2932500 ) M3M4_PR
NEW met1 ( 893550 176290 ) M1M2_PR
NEW met1 ( 893550 1983730 ) M1M2_PR
NEW met1 ( 1279490 68510 ) M1M2_PR
NEW met1 ( 1280410 68510 ) M1M2_PR
NEW met1 ( 1280410 176290 ) M1M2_PR
NEW met1 ( 1769850 68510 ) M1M2_PR
NEW met1 ( 656190 2497810 ) M1M2_PR
NEW met1 ( 2084030 855610 ) M1M2_PR
NEW met2 ( 2084030 859180 ) M2M3_PR
NEW met1 ( 437230 2497470 ) M1M2_PR
NEW met1 ( 656190 1984070 ) M1M2_PR
NEW met1 ( 1280410 68510 ) RECT ( -595 -70 0 70 )
NEW met1 ( 656190 1984070 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[37] ( PIN la_oenb[37] ) ( wrapped_vga_clock_1 la1_oenb[5] ) ( wrapped_rgb_mixer_3 la1_oenb[5] ) ( wrapped_hack_soc_dffram_11 la1_oenb[5] ) ( wrapped_frequency_counter_2 la1_oenb[5] ) + USE SIGNAL
+ ROUTED met4 ( 579140 1922700 ) ( * 1926100 )
NEW met2 ( 1673250 327930 ) ( * 848810 )
NEW met3 ( 308660 1925420 ) ( 324300 * )
NEW met3 ( 350980 1926100 ) ( * 1928140 0 )
NEW met3 ( 324300 1926100 ) ( 350980 * )
NEW met3 ( 324300 1925420 ) ( * 1926100 )
NEW met3 ( 372600 1925420 ) ( * 1926100 )
NEW met3 ( 350980 1926100 ) ( 372600 * )
NEW met3 ( 372600 1925420 ) ( 517500 * )
NEW met3 ( 517500 1925420 ) ( * 1926100 )
NEW met3 ( 517500 1926100 ) ( 579140 * )
NEW met2 ( 1297430 1700 0 ) ( * 16660 )
NEW met3 ( 1297430 16660 ) ( 1303870 * )
NEW met3 ( 579140 1922700 ) ( 1297660 * )
NEW met3 ( 308660 2400740 ) ( 324300 * )
NEW met3 ( 324300 2399380 ) ( * 2400740 )
NEW met3 ( 324300 2399380 ) ( 350980 * )
NEW met3 ( 350980 2398020 0 ) ( * 2399380 )
NEW met3 ( 1297660 58820 ) ( 1303870 * )
NEW met2 ( 1303870 16660 ) ( * 58820 )
NEW met2 ( 1297890 327930 ) ( * 328100 )
NEW met3 ( 1297660 328100 ) ( 1297890 * )
NEW met4 ( 1297660 58820 ) ( * 1922700 )
NEW met1 ( 1297890 327930 ) ( 1673250 * )
NEW met2 ( 2084030 848810 ) ( * 851020 )
NEW met3 ( 2084030 851020 ) ( 2100820 * 0 )
NEW met1 ( 1673250 848810 ) ( 2084030 * )
NEW met1 ( 322690 2884390 ) ( 338330 * )
NEW met2 ( 338330 2884390 ) ( * 2888980 )
NEW met3 ( 338330 2888980 ) ( 350980 * )
NEW met3 ( 350980 2888980 ) ( * 2890340 0 )
NEW met2 ( 322690 2400740 ) ( * 2884390 )
NEW met4 ( 308660 1925420 ) ( * 2400740 )
NEW met3 ( 308660 1925420 ) M3M4_PR
NEW met3 ( 579140 1926100 ) M3M4_PR
NEW met3 ( 579140 1922700 ) M3M4_PR
NEW met3 ( 308660 2400740 ) M3M4_PR
NEW met2 ( 322690 2400740 ) M2M3_PR
NEW met1 ( 1673250 327930 ) M1M2_PR
NEW met1 ( 1673250 848810 ) M1M2_PR
NEW met2 ( 1297430 16660 ) M2M3_PR
NEW met2 ( 1303870 16660 ) M2M3_PR
NEW met3 ( 1297660 1922700 ) M3M4_PR
NEW met3 ( 1297660 58820 ) M3M4_PR
NEW met2 ( 1303870 58820 ) M2M3_PR
NEW met1 ( 1297890 327930 ) M1M2_PR
NEW met2 ( 1297890 328100 ) M2M3_PR
NEW met3 ( 1297660 328100 ) M3M4_PR
NEW met1 ( 2084030 848810 ) M1M2_PR
NEW met2 ( 2084030 851020 ) M2M3_PR
NEW met1 ( 322690 2884390 ) M1M2_PR
NEW met1 ( 338330 2884390 ) M1M2_PR
NEW met2 ( 338330 2888980 ) M2M3_PR
NEW met3 ( 322690 2400740 ) RECT ( -800 -150 0 150 )
NEW met3 ( 1297890 328100 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1297660 328100 ) RECT ( -150 -800 150 0 ) ;
- la_oenb[38] ( PIN la_oenb[38] ) ( wrapped_vga_clock_1 la1_oenb[6] ) ( wrapped_rgb_mixer_3 la1_oenb[6] ) ( wrapped_hack_soc_dffram_11 la1_oenb[6] ) ( wrapped_frequency_counter_2 la1_oenb[6] ) + USE SIGNAL
+ ROUTED met2 ( 383410 1929500 ) ( 385710 * 0 )
NEW met2 ( 382950 2014800 ) ( 383410 * )
NEW met2 ( 383410 1929500 ) ( * 2014800 )
NEW met2 ( 382950 2014800 ) ( * 2183650 )
NEW met1 ( 254150 2428790 ) ( 324300 * )
NEW met1 ( 324300 2428790 ) ( * 2429130 )
NEW met1 ( 324300 2429130 ) ( 338330 * )
NEW met2 ( 338330 2429130 ) ( * 2429300 )
NEW met3 ( 338330 2429300 ) ( 350980 * )
NEW met3 ( 350980 2429300 ) ( * 2431340 0 )
NEW met1 ( 361330 2905810 ) ( * 2908530 )
NEW met2 ( 361330 2908530 ) ( * 2908700 )
NEW met2 ( 361330 2908700 ) ( 362940 * 0 )
NEW met2 ( 1314910 1700 0 ) ( * 17340 )
NEW met3 ( 1314910 17340 ) ( 1317670 * )
NEW met3 ( 1315140 407660 ) ( 1317670 * )
NEW met3 ( 383410 1932220 ) ( 1315140 * )
NEW met3 ( 1315140 413780 ) ( 1679460 * )
NEW met2 ( 254150 2428790 ) ( * 2905810 )
NEW met1 ( 328210 2183650 ) ( 382950 * )
NEW met2 ( 1317670 17340 ) ( * 407660 )
NEW met4 ( 1315140 407660 ) ( * 1932220 )
NEW met4 ( 1679460 413780 ) ( * 842180 )
NEW met3 ( 1679460 842180 ) ( 2100820 * 0 )
NEW met1 ( 254150 2905810 ) ( 361330 * )
NEW met2 ( 328210 2183650 ) ( * 2429130 )
NEW met2 ( 383410 1932220 ) M2M3_PR
NEW met1 ( 382950 2183650 ) M1M2_PR
NEW met1 ( 254150 2428790 ) M1M2_PR
NEW met1 ( 254150 2905810 ) M1M2_PR
NEW met1 ( 338330 2429130 ) M1M2_PR
NEW met2 ( 338330 2429300 ) M2M3_PR
NEW met1 ( 328210 2429130 ) M1M2_PR
NEW met1 ( 361330 2908530 ) M1M2_PR
NEW met2 ( 1314910 17340 ) M2M3_PR
NEW met2 ( 1317670 17340 ) M2M3_PR
NEW met3 ( 1315140 407660 ) M3M4_PR
NEW met2 ( 1317670 407660 ) M2M3_PR
NEW met3 ( 1315140 413780 ) M3M4_PR
NEW met3 ( 1315140 1932220 ) M3M4_PR
NEW met3 ( 1679460 413780 ) M3M4_PR
NEW met1 ( 328210 2183650 ) M1M2_PR
NEW met3 ( 1679460 842180 ) M3M4_PR
NEW met2 ( 383410 1932220 ) RECT ( -70 -485 70 0 )
NEW met1 ( 328210 2429130 ) RECT ( -595 -70 0 70 )
NEW met4 ( 1315140 413780 ) RECT ( -150 -800 150 0 ) ;
- la_oenb[39] ( PIN la_oenb[39] ) ( wrapped_vga_clock_1 la1_oenb[7] ) ( wrapped_rgb_mixer_3 la1_oenb[7] ) ( wrapped_hack_soc_dffram_11 la1_oenb[7] ) ( wrapped_frequency_counter_2 la1_oenb[7] ) + USE SIGNAL
+ ROUTED met3 ( 500020 2421820 ) ( 511750 * )
NEW met2 ( 485530 2700620 ) ( 485565 * 0 )
NEW met2 ( 511290 1566210 ) ( * 1700340 0 )
NEW met2 ( 488750 2194700 ) ( * 2200140 0 )
NEW met3 ( 483230 2194700 ) ( 488750 * )
NEW met2 ( 483230 2018070 ) ( * 2194700 )
NEW met2 ( 485530 2688550 ) ( * 2700620 )
NEW met1 ( 511290 1566210 ) ( 636410 * )
NEW met1 ( 483230 2018070 ) ( 636410 * )
NEW met2 ( 1332850 1700 0 ) ( * 17340 )
NEW met3 ( 1332850 17340 ) ( 1338370 * )
NEW met3 ( 1334460 406980 ) ( 1338370 * )
NEW met3 ( 636410 1562300 ) ( 1334460 * )
NEW met3 ( 1338370 406980 ) ( 1694180 * )
NEW met2 ( 636410 1562300 ) ( * 2018070 )
NEW met2 ( 1338370 17340 ) ( * 406980 )
NEW met4 ( 1334460 406980 ) ( * 1562300 )
NEW met4 ( 1694180 406980 ) ( * 828580 )
NEW met3 ( 2100820 828580 ) ( * 834020 0 )
NEW met3 ( 1694180 828580 ) ( 2100820 * )
NEW met3 ( 488750 2198780 ) ( 500020 * )
NEW met4 ( 500020 2198780 ) ( * 2421820 )
NEW met1 ( 485530 2688550 ) ( 510830 * )
NEW met2 ( 510830 2477100 ) ( * 2688550 )
NEW met2 ( 510830 2477100 ) ( 511750 * )
NEW met2 ( 511750 2421820 ) ( * 2477100 )
NEW met1 ( 511290 1566210 ) M1M2_PR
NEW met1 ( 483230 2018070 ) M1M2_PR
NEW met3 ( 500020 2421820 ) M3M4_PR
NEW met2 ( 511750 2421820 ) M2M3_PR
NEW met2 ( 488750 2194700 ) M2M3_PR
NEW met2 ( 483230 2194700 ) M2M3_PR
NEW met2 ( 488750 2198780 ) M2M3_PR
NEW met1 ( 485530 2688550 ) M1M2_PR
NEW met2 ( 636410 1562300 ) M2M3_PR
NEW met1 ( 636410 1566210 ) M1M2_PR
NEW met1 ( 636410 2018070 ) M1M2_PR
NEW met2 ( 1332850 17340 ) M2M3_PR
NEW met2 ( 1338370 17340 ) M2M3_PR
NEW met2 ( 1338370 406980 ) M2M3_PR
NEW met3 ( 1334460 406980 ) M3M4_PR
NEW met3 ( 1334460 1562300 ) M3M4_PR
NEW met3 ( 1694180 406980 ) M3M4_PR
NEW met3 ( 1694180 828580 ) M3M4_PR
NEW met3 ( 500020 2198780 ) M3M4_PR
NEW met1 ( 510830 2688550 ) M1M2_PR
NEW met2 ( 488750 2198780 ) RECT ( -70 -485 70 0 )
NEW met2 ( 636410 1566210 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) ( wrapped_vga_clock_1 la1_oenb[8] ) ( wrapped_rgb_mixer_3 la1_oenb[8] ) ( wrapped_hack_soc_dffram_11 la1_oenb[8] ) ( wrapped_frequency_counter_2 la1_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 1350330 1700 0 ) ( 1351710 * )
NEW met2 ( 316710 1755930 ) ( * 2242980 )
NEW met3 ( 1345500 58820 ) ( 1351710 * )
NEW met2 ( 1351710 1700 ) ( * 58820 )
NEW met2 ( 1345730 237490 ) ( * 237660 )
NEW met3 ( 1345500 237660 ) ( 1345730 * )
NEW met4 ( 1345500 58820 ) ( * 1645260 )
NEW met2 ( 339710 1755930 ) ( * 1756100 )
NEW met3 ( 339710 1756100 ) ( 350980 * )
NEW met3 ( 350980 1756100 ) ( * 1758140 0 )
NEW met1 ( 316710 1755930 ) ( 339710 * )
NEW met2 ( 338790 2242980 ) ( * 2246380 )
NEW met3 ( 338790 2246380 ) ( 350980 * )
NEW met3 ( 350980 2246380 ) ( * 2247740 0 )
NEW met3 ( 309580 2242980 ) ( 338790 * )
NEW met3 ( 343850 1645260 ) ( 1345500 * )
NEW met2 ( 343850 1645260 ) ( * 1756100 )
NEW met3 ( 309580 2747540 ) ( 324300 * )
NEW met3 ( 324300 2746860 ) ( * 2747540 )
NEW met3 ( 324300 2746860 ) ( 350980 * )
NEW met3 ( 350980 2746860 ) ( * 2747540 0 )
NEW met1 ( 1345730 237490 ) ( 1386900 * )
NEW met1 ( 1386900 237490 ) ( * 237830 )
NEW met1 ( 1386900 237830 ) ( 1701310 * )
NEW met2 ( 1701310 237830 ) ( * 821270 )
NEW met2 ( 2084030 821270 ) ( * 825180 )
NEW met3 ( 2084030 825180 ) ( 2100820 * 0 )
NEW met1 ( 1701310 821270 ) ( 2084030 * )
NEW met4 ( 309580 2242980 ) ( * 2747540 )
NEW met1 ( 316710 1755930 ) M1M2_PR
NEW met3 ( 309580 2242980 ) M3M4_PR
NEW met2 ( 316710 2242980 ) M2M3_PR
NEW met3 ( 1345500 1645260 ) M3M4_PR
NEW met3 ( 309580 2747540 ) M3M4_PR
NEW met3 ( 1345500 58820 ) M3M4_PR
NEW met2 ( 1351710 58820 ) M2M3_PR
NEW met1 ( 1345730 237490 ) M1M2_PR
NEW met2 ( 1345730 237660 ) M2M3_PR
NEW met3 ( 1345500 237660 ) M3M4_PR
NEW met2 ( 343850 1645260 ) M2M3_PR
NEW met1 ( 339710 1755930 ) M1M2_PR
NEW met2 ( 339710 1756100 ) M2M3_PR
NEW met2 ( 343850 1756100 ) M2M3_PR
NEW met2 ( 338790 2242980 ) M2M3_PR
NEW met2 ( 338790 2246380 ) M2M3_PR
NEW met1 ( 1701310 237830 ) M1M2_PR
NEW met1 ( 1701310 821270 ) M1M2_PR
NEW met1 ( 2084030 821270 ) M1M2_PR
NEW met2 ( 2084030 825180 ) M2M3_PR
NEW met3 ( 316710 2242980 ) RECT ( -800 -150 0 150 )
NEW met3 ( 1345730 237660 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1345500 237660 ) RECT ( -150 -800 150 0 )
NEW met3 ( 343850 1756100 ) RECT ( -800 -150 0 150 ) ;
- la_oenb[41] ( PIN la_oenb[41] ) ( wrapped_vga_clock_1 la1_oenb[9] ) ( wrapped_rgb_mixer_3 la1_oenb[9] ) ( wrapped_hack_soc_dffram_11 la1_oenb[9] ) ( wrapped_frequency_counter_2 la1_oenb[9] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 1700 ) ( 1368270 * 0 )
NEW met4 ( 308660 355300 ) ( * 1898220 )
NEW met2 ( 1366430 1700 ) ( * 206380 )
NEW met2 ( 1371030 206380 ) ( * 355300 )
NEW met4 ( 1728220 206380 ) ( * 814300 )
NEW met3 ( 1366430 206380 ) ( 1728220 * )
NEW met3 ( 350980 1897540 0 ) ( * 1898220 )
NEW met3 ( 308660 1898220 ) ( 350980 * )
NEW met3 ( 308660 355300 ) ( 1371030 * )
NEW met3 ( 2100820 814300 ) ( * 817020 0 )
NEW met3 ( 1728220 814300 ) ( 2100820 * )
NEW met3 ( 337410 2863820 ) ( 350060 * )
NEW met3 ( 350060 2863500 ) ( * 2863820 )
NEW met3 ( 350060 2863500 ) ( 350980 * )
NEW met3 ( 350980 2863500 ) ( * 2863820 0 )
NEW met3 ( 333500 2366740 ) ( 337410 * )
NEW met3 ( 350980 2370820 0 ) ( * 2371500 )
NEW met3 ( 337410 2371500 ) ( 350980 * )
NEW met4 ( 333500 1898220 ) ( * 2366740 )
NEW met2 ( 337410 2366740 ) ( * 2863820 )
NEW met2 ( 1366430 206380 ) M2M3_PR
NEW met2 ( 1371030 206380 ) M2M3_PR
NEW met3 ( 1728220 206380 ) M3M4_PR
NEW met3 ( 308660 355300 ) M3M4_PR
NEW met3 ( 308660 1898220 ) M3M4_PR
NEW met2 ( 1371030 355300 ) M2M3_PR
NEW met3 ( 1728220 814300 ) M3M4_PR
NEW met3 ( 333500 1898220 ) M3M4_PR
NEW met2 ( 337410 2863820 ) M2M3_PR
NEW met2 ( 337410 2366740 ) M2M3_PR
NEW met3 ( 333500 2366740 ) M3M4_PR
NEW met2 ( 337410 2371500 ) M2M3_PR
NEW met3 ( 1371030 206380 ) RECT ( -800 -150 0 150 )
NEW met3 ( 333500 1898220 ) RECT ( -800 -150 0 150 )
NEW met2 ( 337410 2371500 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[42] ( PIN la_oenb[42] ) ( wrapped_vga_clock_1 la1_oenb[10] ) ( wrapped_rgb_mixer_3 la1_oenb[10] ) ( wrapped_hack_soc_dffram_11 la1_oenb[10] ) ( wrapped_frequency_counter_2 la1_oenb[10] ) + USE SIGNAL
+ ROUTED met2 ( 511290 2908700 0 ) ( 513130 * )
NEW met2 ( 1383450 1700 ) ( 1385750 * 0 )
NEW met2 ( 482310 2449700 0 ) ( * 2456500 )
NEW met2 ( 481850 2456500 ) ( 482310 * )
NEW met2 ( 481850 2456500 ) ( * 2458370 )
NEW met1 ( 475870 2458370 ) ( 481850 * )
NEW met3 ( 482310 2456500 ) ( 496340 * )
NEW met4 ( 496340 2439500 ) ( * 2456500 )
NEW met2 ( 475870 2458370 ) ( * 2695010 )
NEW met2 ( 596850 1893970 ) ( * 1940210 )
NEW met2 ( 1380230 82800 ) ( 1383450 * )
NEW met2 ( 1383450 1700 ) ( * 82800 )
NEW met2 ( 1380230 82800 ) ( * 213350 )
NEW met2 ( 1383450 213350 ) ( * 1893970 )
NEW met2 ( 559590 1929500 0 ) ( 560970 * )
NEW met2 ( 560970 1929500 ) ( * 1940210 )
NEW met1 ( 560970 1940210 ) ( 562350 * )
NEW met1 ( 562350 1940210 ) ( 596850 * )
NEW met3 ( 513130 2908700 ) ( 545790 * )
NEW met1 ( 1380230 213350 ) ( 1714650 * )
NEW met2 ( 562350 1940210 ) ( * 2440180 )
NEW met2 ( 545790 2695010 ) ( * 2908700 )
NEW met1 ( 596850 1893970 ) ( 1383450 * )
NEW met2 ( 1714650 213350 ) ( * 807330 )
NEW met2 ( 2084030 807330 ) ( * 808860 )
NEW met3 ( 2084030 808860 ) ( 2100820 * 0 )
NEW met1 ( 1714650 807330 ) ( 2084030 * )
NEW met1 ( 475870 2695010 ) ( 545790 * )
NEW met4 ( 497260 2439500 ) ( * 2440180 )
NEW met4 ( 496340 2439500 ) ( 497260 * )
NEW met3 ( 497260 2440180 ) ( 562350 * )
NEW met1 ( 475870 2695010 ) M1M2_PR
NEW met2 ( 513130 2908700 ) M2M3_PR
NEW met1 ( 596850 1940210 ) M1M2_PR
NEW met1 ( 1380230 213350 ) M1M2_PR
NEW met1 ( 1383450 213350 ) M1M2_PR
NEW met1 ( 481850 2458370 ) M1M2_PR
NEW met1 ( 475870 2458370 ) M1M2_PR
NEW met3 ( 496340 2456500 ) M3M4_PR
NEW met2 ( 482310 2456500 ) M2M3_PR
NEW met1 ( 596850 1893970 ) M1M2_PR
NEW met1 ( 1383450 1893970 ) M1M2_PR
NEW met1 ( 562350 1940210 ) M1M2_PR
NEW met1 ( 560970 1940210 ) M1M2_PR
NEW met2 ( 562350 2440180 ) M2M3_PR
NEW met1 ( 545790 2695010 ) M1M2_PR
NEW met2 ( 545790 2908700 ) M2M3_PR
NEW met1 ( 1714650 213350 ) M1M2_PR
NEW met1 ( 1714650 807330 ) M1M2_PR
NEW met1 ( 2084030 807330 ) M1M2_PR
NEW met2 ( 2084030 808860 ) M2M3_PR
NEW met3 ( 497260 2440180 ) M3M4_PR
NEW met1 ( 1383450 213350 ) RECT ( -595 -70 0 70 )
NEW met2 ( 482310 2456500 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[43] ( PIN la_oenb[43] ) ( wrapped_vga_clock_1 la1_oenb[11] ) ( wrapped_rgb_mixer_3 la1_oenb[11] ) ( wrapped_hack_soc_dffram_11 la1_oenb[11] ) ( wrapped_frequency_counter_2 la1_oenb[11] ) + USE SIGNAL
+ ROUTED met1 ( 302450 2318290 ) ( 309350 * )
NEW met2 ( 302450 1835150 ) ( * 2318290 )
NEW met3 ( 350980 1829540 0 ) ( * 1830220 )
NEW met3 ( 340170 1830220 ) ( 350980 * )
NEW met2 ( 340170 1830220 ) ( * 1835150 )
NEW met1 ( 302450 1835150 ) ( 340170 * )
NEW met2 ( 338790 2314380 ) ( * 2318290 )
NEW met3 ( 338790 2314380 ) ( 351900 * )
NEW met3 ( 351900 2313020 0 ) ( * 2314380 )
NEW met1 ( 309350 2318290 ) ( 338790 * )
NEW met2 ( 338330 2808570 ) ( * 2810780 )
NEW met3 ( 338330 2810780 ) ( 351900 * )
NEW met3 ( 351900 2809420 0 ) ( * 2810780 )
NEW met1 ( 309350 2808570 ) ( 338330 * )
NEW met1 ( 1400930 220830 ) ( 1404610 * )
NEW met3 ( 344540 1652060 ) ( 1404610 * )
NEW met1 ( 1404610 227630 ) ( 1680150 * )
NEW met2 ( 2084030 793730 ) ( * 800020 )
NEW met3 ( 2084030 800020 ) ( 2100820 * 0 )
NEW met1 ( 1680150 793730 ) ( 2084030 * )
NEW met4 ( 344540 1652060 ) ( * 1830220 )
NEW met2 ( 1400930 82800 ) ( 1403690 * )
NEW met2 ( 1403690 1700 0 ) ( * 82800 )
NEW met2 ( 1400930 82800 ) ( * 220830 )
NEW met2 ( 1404610 220830 ) ( * 1652060 )
NEW met2 ( 1680150 227630 ) ( * 793730 )
NEW met2 ( 309350 2318290 ) ( * 2808570 )
NEW met1 ( 302450 1835150 ) M1M2_PR
NEW met1 ( 309350 2318290 ) M1M2_PR
NEW met1 ( 302450 2318290 ) M1M2_PR
NEW met1 ( 309350 2808570 ) M1M2_PR
NEW met3 ( 344540 1652060 ) M3M4_PR
NEW met2 ( 340170 1830220 ) M2M3_PR
NEW met1 ( 340170 1835150 ) M1M2_PR
NEW met3 ( 344540 1830220 ) M3M4_PR
NEW met1 ( 338790 2318290 ) M1M2_PR
NEW met2 ( 338790 2314380 ) M2M3_PR
NEW met1 ( 338330 2808570 ) M1M2_PR
NEW met2 ( 338330 2810780 ) M2M3_PR
NEW met1 ( 1404610 220830 ) M1M2_PR
NEW met1 ( 1400930 220830 ) M1M2_PR
NEW met1 ( 1404610 227630 ) M1M2_PR
NEW met2 ( 1404610 1652060 ) M2M3_PR
NEW met1 ( 1680150 227630 ) M1M2_PR
NEW met1 ( 1680150 793730 ) M1M2_PR
NEW met1 ( 2084030 793730 ) M1M2_PR
NEW met2 ( 2084030 800020 ) M2M3_PR
NEW met3 ( 344540 1830220 ) RECT ( -800 -150 0 150 )
NEW met2 ( 1404610 227630 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[44] ( PIN la_oenb[44] ) ( wrapped_vga_clock_1 la1_oenb[12] ) ( wrapped_rgb_mixer_3 la1_oenb[12] ) ( wrapped_hack_soc_dffram_11 la1_oenb[12] ) ( wrapped_frequency_counter_2 la1_oenb[12] ) + USE SIGNAL
+ ROUTED met4 ( 315100 347820 ) ( * 1841780 )
NEW met2 ( 320850 1841780 ) ( * 2318970 )
NEW met2 ( 302450 2318970 ) ( * 2815710 )
NEW met3 ( 350980 1836340 0 ) ( * 1841780 )
NEW met3 ( 315100 1841780 ) ( 350980 * )
NEW met2 ( 338330 2318460 ) ( * 2318970 )
NEW met3 ( 338330 2318460 ) ( 349140 * )
NEW met3 ( 349140 2317780 ) ( * 2318460 )
NEW met3 ( 349140 2317780 ) ( 351900 * )
NEW met3 ( 351900 2317780 ) ( * 2319140 0 )
NEW met1 ( 302450 2318970 ) ( 338330 * )
NEW met2 ( 338330 2815540 ) ( * 2815710 )
NEW met3 ( 338330 2815540 ) ( 350060 * )
NEW met3 ( 350060 2814860 ) ( * 2815540 )
NEW met3 ( 350060 2814860 ) ( 350980 * )
NEW met3 ( 350980 2814860 ) ( * 2815540 0 )
NEW met1 ( 302450 2815710 ) ( 338330 * )
NEW met2 ( 1421630 1700 0 ) ( * 17340 )
NEW met3 ( 1421630 17340 ) ( 1428070 * )
NEW met2 ( 2084030 786930 ) ( * 791860 )
NEW met3 ( 2084030 791860 ) ( 2100820 * 0 )
NEW met1 ( 1707750 786930 ) ( 2084030 * )
NEW met3 ( 1421860 58820 ) ( 1428070 * )
NEW met2 ( 1428070 17340 ) ( * 58820 )
NEW met2 ( 1422090 244970 ) ( * 245140 )
NEW met3 ( 1421860 245140 ) ( 1422090 * )
NEW met3 ( 315100 347820 ) ( 1421860 * )
NEW met4 ( 1421860 58820 ) ( * 347820 )
NEW met1 ( 1422090 244970 ) ( 1707750 * )
NEW met2 ( 1707750 244970 ) ( * 786930 )
NEW met3 ( 315100 1841780 ) M3M4_PR
NEW met2 ( 320850 1841780 ) M2M3_PR
NEW met1 ( 302450 2318970 ) M1M2_PR
NEW met1 ( 320850 2318970 ) M1M2_PR
NEW met1 ( 302450 2815710 ) M1M2_PR
NEW met3 ( 315100 347820 ) M3M4_PR
NEW met1 ( 338330 2318970 ) M1M2_PR
NEW met2 ( 338330 2318460 ) M2M3_PR
NEW met1 ( 338330 2815710 ) M1M2_PR
NEW met2 ( 338330 2815540 ) M2M3_PR
NEW met2 ( 1421630 17340 ) M2M3_PR
NEW met2 ( 1428070 17340 ) M2M3_PR
NEW met1 ( 1707750 786930 ) M1M2_PR
NEW met1 ( 2084030 786930 ) M1M2_PR
NEW met2 ( 2084030 791860 ) M2M3_PR
NEW met3 ( 1421860 58820 ) M3M4_PR
NEW met2 ( 1428070 58820 ) M2M3_PR
NEW met1 ( 1422090 244970 ) M1M2_PR
NEW met2 ( 1422090 245140 ) M2M3_PR
NEW met3 ( 1421860 245140 ) M3M4_PR
NEW met3 ( 1421860 347820 ) M3M4_PR
NEW met1 ( 1707750 244970 ) M1M2_PR
NEW met3 ( 320850 1841780 ) RECT ( -800 -150 0 150 )
NEW met1 ( 320850 2318970 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1422090 245140 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1421860 245140 ) RECT ( -150 -800 150 0 ) ;
- la_oenb[45] ( PIN la_oenb[45] ) ( wrapped_vga_clock_1 la1_oenb[13] ) ( wrapped_rgb_mixer_3 la1_oenb[13] ) ( wrapped_hack_soc_dffram_11 la1_oenb[13] ) ( wrapped_frequency_counter_2 la1_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 488750 1641690 ) ( * 1700340 0 )
NEW met2 ( 469430 2203540 0 ) ( 470810 * )
NEW met2 ( 470810 2203370 ) ( * 2203540 )
NEW met2 ( 469430 2192660 ) ( * 2200140 0 )
NEW met2 ( 469430 2200140 0 ) ( 469890 * )
NEW met2 ( 469890 2200140 ) ( * 2203540 )
NEW met2 ( 587650 2204390 ) ( * 2488290 )
NEW met2 ( 1439110 1700 0 ) ( * 48110 )
NEW met2 ( 1762950 231370 ) ( * 779790 )
NEW met2 ( 466210 2700620 ) ( 466245 * 0 )
NEW met2 ( 652050 1638630 ) ( * 1641690 )
NEW met1 ( 488750 1641690 ) ( 652050 * )
NEW met1 ( 652050 1638630 ) ( 1424850 * )
NEW met2 ( 2084030 779790 ) ( * 783020 )
NEW met3 ( 2084030 783020 ) ( 2100820 * 0 )
NEW met1 ( 1762950 779790 ) ( 2084030 * )
NEW met2 ( 468970 2011270 ) ( * 2192660 )
NEW met2 ( 468970 2192660 ) ( 469430 * )
NEW met2 ( 466210 2488290 ) ( * 2700620 )
NEW met1 ( 466210 2488290 ) ( 587650 * )
NEW met1 ( 468970 2011270 ) ( 650210 * )
NEW met2 ( 650210 1641690 ) ( * 2011270 )
NEW met1 ( 1424850 48110 ) ( 1439110 * )
NEW met2 ( 1424850 48110 ) ( * 1638630 )
NEW met1 ( 1424850 231370 ) ( 1762950 * )
NEW met1 ( 470810 2203370 ) ( 496800 * )
NEW met1 ( 496800 2203370 ) ( * 2204390 )
NEW met1 ( 496800 2204390 ) ( 587650 * )
NEW met1 ( 488750 1641690 ) M1M2_PR
NEW met1 ( 1762950 779790 ) M1M2_PR
NEW met1 ( 470810 2203370 ) M1M2_PR
NEW met1 ( 587650 2204390 ) M1M2_PR
NEW met1 ( 587650 2488290 ) M1M2_PR
NEW met1 ( 1439110 48110 ) M1M2_PR
NEW met1 ( 1762950 231370 ) M1M2_PR
NEW met1 ( 652050 1638630 ) M1M2_PR
NEW met1 ( 652050 1641690 ) M1M2_PR
NEW met1 ( 650210 1641690 ) M1M2_PR
NEW met1 ( 1424850 1638630 ) M1M2_PR
NEW met1 ( 2084030 779790 ) M1M2_PR
NEW met2 ( 2084030 783020 ) M2M3_PR
NEW met1 ( 468970 2011270 ) M1M2_PR
NEW met1 ( 466210 2488290 ) M1M2_PR
NEW met1 ( 650210 2011270 ) M1M2_PR
NEW met1 ( 1424850 48110 ) M1M2_PR
NEW met1 ( 1424850 231370 ) M1M2_PR
NEW met1 ( 650210 1641690 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1424850 231370 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[46] ( PIN la_oenb[46] ) ( wrapped_vga_clock_1 la1_oenb[14] ) ( wrapped_rgb_mixer_3 la1_oenb[14] ) ( wrapped_hack_soc_dffram_11 la1_oenb[14] ) ( wrapped_frequency_counter_2 la1_oenb[14] ) + USE SIGNAL
+ ROUTED met2 ( 1456130 82800 ) ( 1457050 * )
NEW met2 ( 1457050 1700 0 ) ( * 82800 )
NEW met1 ( 1456130 158950 ) ( 1459350 * )
NEW met2 ( 1456130 82800 ) ( * 158950 )
NEW met2 ( 1459350 158950 ) ( * 1672970 )
NEW met2 ( 348910 2700620 ) ( 350290 * 0 )
NEW met1 ( 359030 1672970 ) ( 1459350 * )
NEW met2 ( 2084030 772990 ) ( * 774860 )
NEW met3 ( 2084030 774860 ) ( 2100820 * 0 )
NEW met1 ( 1784110 772990 ) ( 2084030 * )
NEW met2 ( 350290 1690310 ) ( * 1700340 0 )
NEW met1 ( 350290 1690310 ) ( 359030 * )
NEW met2 ( 348450 1700340 ) ( 350290 * 0 )
NEW met2 ( 359030 1672970 ) ( * 1690310 )
NEW met2 ( 347070 1821600 ) ( 348450 * )
NEW met2 ( 348450 1700340 ) ( * 1821600 )
NEW met2 ( 347070 1821600 ) ( * 2159700 )
NEW met2 ( 348450 2200140 ) ( 350290 * 0 )
NEW met2 ( 348450 2159700 ) ( * 2200140 )
NEW met2 ( 347070 2159700 ) ( 348450 * )
NEW met2 ( 346610 2304600 ) ( 348450 * )
NEW met2 ( 348450 2200140 ) ( * 2304600 )
NEW met1 ( 346610 2666790 ) ( 348910 * )
NEW met2 ( 348910 2666790 ) ( * 2700620 )
NEW met1 ( 1459350 165070 ) ( 1784110 * )
NEW met2 ( 1784110 165070 ) ( * 772990 )
NEW met2 ( 346610 2304600 ) ( * 2666790 )
NEW met1 ( 1459350 1672970 ) M1M2_PR
NEW met1 ( 1459350 158950 ) M1M2_PR
NEW met1 ( 1456130 158950 ) M1M2_PR
NEW met1 ( 1459350 165070 ) M1M2_PR
NEW met1 ( 359030 1672970 ) M1M2_PR
NEW met1 ( 1784110 772990 ) M1M2_PR
NEW met1 ( 2084030 772990 ) M1M2_PR
NEW met2 ( 2084030 774860 ) M2M3_PR
NEW met1 ( 350290 1690310 ) M1M2_PR
NEW met1 ( 359030 1690310 ) M1M2_PR
NEW met1 ( 346610 2666790 ) M1M2_PR
NEW met1 ( 348910 2666790 ) M1M2_PR
NEW met1 ( 1784110 165070 ) M1M2_PR
NEW met2 ( 1459350 165070 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[47] ( PIN la_oenb[47] ) ( wrapped_vga_clock_1 la1_oenb[15] ) ( wrapped_rgb_mixer_3 la1_oenb[15] ) ( wrapped_hack_soc_dffram_11 la1_oenb[15] ) ( wrapped_frequency_counter_2 la1_oenb[15] ) + USE SIGNAL
+ ROUTED met2 ( 488750 2700620 ) ( 488785 * 0 )
NEW met2 ( 682870 1556010 ) ( * 1559070 )
NEW met2 ( 1469930 19550 ) ( * 23970 )
NEW met2 ( 1474530 1700 0 ) ( * 23970 )
NEW met2 ( 514510 1559070 ) ( * 1700340 0 )
NEW met2 ( 491970 2189260 ) ( * 2200140 0 )
NEW met3 ( 491970 2189260 ) ( 496570 * )
NEW met2 ( 496570 1970130 ) ( * 2189260 )
NEW met2 ( 496570 2189260 ) ( * 2218500 )
NEW met2 ( 488750 2501380 ) ( * 2700620 )
NEW met4 ( 572700 2218500 ) ( * 2501380 )
NEW met2 ( 677350 1559070 ) ( * 1970130 )
NEW met2 ( 1369650 19550 ) ( * 1556010 )
NEW met3 ( 488750 2501380 ) ( 572700 * )
NEW met1 ( 514510 1559070 ) ( 682870 * )
NEW met1 ( 682870 1556010 ) ( 1369650 * )
NEW met1 ( 1369650 19550 ) ( 1469930 * )
NEW met1 ( 1469930 23970 ) ( 1797450 * )
NEW met2 ( 2084030 766020 ) ( * 766190 )
NEW met3 ( 2084030 766020 ) ( 2100820 * 0 )
NEW met1 ( 1797450 766190 ) ( 2084030 * )
NEW met1 ( 496570 1970130 ) ( 677350 * )
NEW met2 ( 1797450 23970 ) ( * 766190 )
NEW met3 ( 496570 2218500 ) ( 572700 * )
NEW met1 ( 514510 1559070 ) M1M2_PR
NEW met2 ( 496570 2218500 ) M2M3_PR
NEW met2 ( 488750 2501380 ) M2M3_PR
NEW met3 ( 572700 2218500 ) M3M4_PR
NEW met3 ( 572700 2501380 ) M3M4_PR
NEW met1 ( 682870 1559070 ) M1M2_PR
NEW met1 ( 682870 1556010 ) M1M2_PR
NEW met1 ( 677350 1559070 ) M1M2_PR
NEW met1 ( 1369650 19550 ) M1M2_PR
NEW met1 ( 1369650 1556010 ) M1M2_PR
NEW met1 ( 1469930 23970 ) M1M2_PR
NEW met1 ( 1469930 19550 ) M1M2_PR
NEW met1 ( 1474530 23970 ) M1M2_PR
NEW met1 ( 496570 1970130 ) M1M2_PR
NEW met2 ( 491970 2189260 ) M2M3_PR
NEW met2 ( 496570 2189260 ) M2M3_PR
NEW met1 ( 677350 1970130 ) M1M2_PR
NEW met1 ( 1797450 23970 ) M1M2_PR
NEW met1 ( 1797450 766190 ) M1M2_PR
NEW met1 ( 2084030 766190 ) M1M2_PR
NEW met2 ( 2084030 766020 ) M2M3_PR
NEW met1 ( 677350 1559070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1474530 23970 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[48] ( PIN la_oenb[48] ) ( wrapped_vga_clock_1 la1_oenb[16] ) ( wrapped_rgb_mixer_3 la1_oenb[16] ) ( wrapped_hack_soc_dffram_11 la1_oenb[16] ) ( wrapped_frequency_counter_2 la1_oenb[16] ) + USE SIGNAL
+ ROUTED met2 ( 301990 1869830 ) ( * 2339370 )
NEW met2 ( 1659450 217090 ) ( * 752250 )
NEW met2 ( 329590 1869660 ) ( * 1869830 )
NEW met3 ( 329590 1869660 ) ( 330740 * )
NEW met3 ( 350980 1863540 0 ) ( * 1864220 )
NEW met3 ( 330740 1864220 ) ( 350980 * )
NEW met1 ( 301990 1869830 ) ( 329590 * )
NEW met2 ( 1492470 1700 0 ) ( * 17340 )
NEW met3 ( 1492470 17340 ) ( 1497070 * )
NEW met2 ( 1491090 216580 ) ( * 217090 )
NEW met3 ( 1490860 216580 ) ( 1491090 * )
NEW met1 ( 1491090 217090 ) ( 1659450 * )
NEW met4 ( 330740 1493620 ) ( * 1869660 )
NEW met2 ( 338790 2836110 ) ( * 2837980 )
NEW met3 ( 338790 2837980 ) ( 350980 * )
NEW met3 ( 350980 2837980 ) ( * 2839340 0 )
NEW met1 ( 316710 2836110 ) ( 338790 * )
NEW met3 ( 1490860 58820 ) ( 1497070 * )
NEW met2 ( 1497070 17340 ) ( * 58820 )
NEW met3 ( 330740 1493620 ) ( 1490860 * )
NEW met4 ( 1490860 58820 ) ( * 1493620 )
NEW met2 ( 2084030 752250 ) ( * 757860 )
NEW met3 ( 2084030 757860 ) ( 2100820 * 0 )
NEW met1 ( 1659450 752250 ) ( 2084030 * )
NEW met2 ( 338790 2339370 ) ( * 2341580 )
NEW met3 ( 338790 2341580 ) ( 350980 * )
NEW met3 ( 350980 2341580 ) ( * 2342940 0 )
NEW met1 ( 301990 2339370 ) ( 338790 * )
NEW met2 ( 316710 2339370 ) ( * 2836110 )
NEW met1 ( 301990 1869830 ) M1M2_PR
NEW met1 ( 301990 2339370 ) M1M2_PR
NEW met1 ( 1659450 217090 ) M1M2_PR
NEW met1 ( 316710 2836110 ) M1M2_PR
NEW met1 ( 1659450 752250 ) M1M2_PR
NEW met1 ( 329590 1869830 ) M1M2_PR
NEW met2 ( 329590 1869660 ) M2M3_PR
NEW met3 ( 330740 1869660 ) M3M4_PR
NEW met3 ( 330740 1864220 ) M3M4_PR
NEW met2 ( 1492470 17340 ) M2M3_PR
NEW met2 ( 1497070 17340 ) M2M3_PR
NEW met1 ( 1491090 217090 ) M1M2_PR
NEW met2 ( 1491090 216580 ) M2M3_PR
NEW met3 ( 1490860 216580 ) M3M4_PR
NEW met3 ( 330740 1493620 ) M3M4_PR
NEW met1 ( 338790 2836110 ) M1M2_PR
NEW met2 ( 338790 2837980 ) M2M3_PR
NEW met3 ( 1490860 58820 ) M3M4_PR
NEW met2 ( 1497070 58820 ) M2M3_PR
NEW met3 ( 1490860 1493620 ) M3M4_PR
NEW met1 ( 2084030 752250 ) M1M2_PR
NEW met2 ( 2084030 757860 ) M2M3_PR
NEW met1 ( 338790 2339370 ) M1M2_PR
NEW met2 ( 338790 2341580 ) M2M3_PR
NEW met1 ( 316710 2339370 ) M1M2_PR
NEW met4 ( 330740 1864220 ) RECT ( -150 -800 150 0 )
NEW met3 ( 1491090 216580 ) RECT ( 0 -150 390 150 )
NEW met4 ( 1490860 216580 ) RECT ( -150 -800 150 0 )
NEW met1 ( 316710 2339370 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[49] ( PIN la_oenb[49] ) ( wrapped_vga_clock_1 la1_oenb[17] ) ( wrapped_rgb_mixer_3 la1_oenb[17] ) ( wrapped_hack_soc_dffram_11 la1_oenb[17] ) ( wrapped_frequency_counter_2 la1_oenb[17] ) + USE SIGNAL
+ ROUTED met4 ( 597540 1852660 ) ( * 1939020 )
NEW met2 ( 366390 1929500 0 ) ( 367770 * )
NEW met2 ( 367770 1929500 ) ( * 1939020 )
NEW met3 ( 367770 1939020 ) ( 369150 * )
NEW met3 ( 350980 2415020 0 ) ( * 2415700 )
NEW met3 ( 350060 2415700 ) ( 350980 * )
NEW met3 ( 350060 2414340 ) ( * 2415700 )
NEW met3 ( 345690 2414340 ) ( 350060 * )
NEW met3 ( 369150 1939020 ) ( 597540 * )
NEW met2 ( 1507650 1700 ) ( 1509950 * 0 )
NEW met3 ( 597540 1852660 ) ( 1507650 * )
NEW met3 ( 345690 2187900 ) ( 369150 * )
NEW met2 ( 369150 1939020 ) ( * 2187900 )
NEW met2 ( 1507650 1700 ) ( * 1852660 )
NEW met1 ( 1507650 82790 ) ( 1818150 * )
NEW met2 ( 1818150 82790 ) ( * 745450 )
NEW met2 ( 2084030 745450 ) ( * 749020 )
NEW met3 ( 2084030 749020 ) ( 2100820 * 0 )
NEW met1 ( 1818150 745450 ) ( 2084030 * )
NEW met1 ( 345690 2885070 ) ( 348910 * )
NEW met2 ( 348910 2885070 ) ( * 2906660 )
NEW met2 ( 348910 2906660 ) ( 350290 * 0 )
NEW met2 ( 345690 2414340 ) ( * 2885070 )
NEW met2 ( 345690 2187900 ) ( * 2414340 )
NEW met3 ( 597540 1852660 ) M3M4_PR
NEW met3 ( 597540 1939020 ) M3M4_PR
NEW met2 ( 369150 1939020 ) M2M3_PR
NEW met2 ( 367770 1939020 ) M2M3_PR
NEW met2 ( 345690 2414340 ) M2M3_PR
NEW met2 ( 1507650 1852660 ) M2M3_PR
NEW met2 ( 345690 2187900 ) M2M3_PR
NEW met2 ( 369150 2187900 ) M2M3_PR
NEW met1 ( 1507650 82790 ) M1M2_PR
NEW met1 ( 1818150 82790 ) M1M2_PR
NEW met1 ( 1818150 745450 ) M1M2_PR
NEW met1 ( 2084030 745450 ) M1M2_PR
NEW met2 ( 2084030 749020 ) M2M3_PR
NEW met1 ( 345690 2885070 ) M1M2_PR
NEW met1 ( 348910 2885070 ) M1M2_PR
NEW met2 ( 1507650 82790 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) ( wrapped_vga_clock_1 la1_oenb[18] ) ( wrapped_rgb_mixer_3 la1_oenb[18] ) ( wrapped_hack_soc_dffram_11 la1_oenb[18] ) ( wrapped_frequency_counter_2 la1_oenb[18] ) + USE SIGNAL
+ ROUTED met1 ( 600530 1942590 ) ( 610190 * )
NEW met2 ( 388930 2449700 0 ) ( * 2463470 )
NEW met1 ( 388930 2463130 ) ( * 2463470 )
NEW met2 ( 610190 1918200 ) ( * 1942590 )
NEW met2 ( 610190 1918200 ) ( 610650 * )
NEW met2 ( 610650 1824950 ) ( * 1918200 )
NEW met2 ( 600530 1942590 ) ( * 2463470 )
NEW met2 ( 453330 1929500 0 ) ( 455170 * )
NEW met2 ( 455170 1929500 ) ( * 1942590 )
NEW met2 ( 421130 2909380 0 ) ( 421590 * )
NEW met1 ( 455170 1942590 ) ( 600530 * )
NEW met1 ( 610650 1824950 ) ( 1528350 * )
NEW met2 ( 261970 2666790 ) ( * 2932670 )
NEW met1 ( 362250 2463130 ) ( 388930 * )
NEW met1 ( 261970 2666790 ) ( 324300 * )
NEW met1 ( 324300 2666450 ) ( * 2666790 )
NEW met1 ( 324300 2666450 ) ( 362250 * )
NEW met2 ( 362250 2463130 ) ( * 2666450 )
NEW met1 ( 261970 2932670 ) ( 421590 * )
NEW met2 ( 421590 2909380 ) ( * 2932670 )
NEW met2 ( 1527890 1700 0 ) ( * 34500 )
NEW met2 ( 1527890 34500 ) ( 1528350 * )
NEW met2 ( 1528350 34500 ) ( * 48110 )
NEW met2 ( 1528350 48110 ) ( * 1824950 )
NEW met1 ( 1528350 48110 ) ( 1873350 * )
NEW met2 ( 1873350 48110 ) ( * 738310 )
NEW met2 ( 2084030 738310 ) ( * 740860 )
NEW met3 ( 2084030 740860 ) ( 2100820 * 0 )
NEW met1 ( 1873350 738310 ) ( 2084030 * )
NEW met1 ( 388930 2463470 ) ( 600530 * )
NEW met1 ( 610650 1824950 ) M1M2_PR
NEW met1 ( 600530 1942590 ) M1M2_PR
NEW met1 ( 610190 1942590 ) M1M2_PR
NEW met1 ( 388930 2463470 ) M1M2_PR
NEW met1 ( 600530 2463470 ) M1M2_PR
NEW met1 ( 455170 1942590 ) M1M2_PR
NEW met1 ( 1528350 1824950 ) M1M2_PR
NEW met1 ( 261970 2666790 ) M1M2_PR
NEW met1 ( 261970 2932670 ) M1M2_PR
NEW met1 ( 362250 2463130 ) M1M2_PR
NEW met1 ( 362250 2666450 ) M1M2_PR
NEW met1 ( 421590 2932670 ) M1M2_PR
NEW met1 ( 1528350 48110 ) M1M2_PR
NEW met1 ( 1873350 48110 ) M1M2_PR
NEW met1 ( 1873350 738310 ) M1M2_PR
NEW met1 ( 2084030 738310 ) M1M2_PR
NEW met2 ( 2084030 740860 ) M2M3_PR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( wrapped_vga_clock_1 la1_oenb[19] ) ( wrapped_rgb_mixer_3 la1_oenb[19] ) ( wrapped_hack_soc_dffram_11 la1_oenb[19] ) ( wrapped_frequency_counter_2 la1_oenb[19] ) + USE SIGNAL
+ ROUTED met1 ( 316250 1828350 ) ( 323610 * )
NEW met1 ( 296470 2311150 ) ( 316250 * )
NEW met2 ( 1545370 1700 0 ) ( * 17510 )
NEW met1 ( 1538930 17510 ) ( 1545370 * )
NEW met1 ( 1538930 186150 ) ( 1542610 * )
NEW met2 ( 323610 186150 ) ( * 1828350 )
NEW met2 ( 316250 1828350 ) ( * 2311150 )
NEW met2 ( 296470 2311150 ) ( * 2801770 )
NEW met2 ( 1538930 17510 ) ( * 186150 )
NEW met2 ( 1542610 186150 ) ( * 418030 )
NEW met2 ( 1673710 418030 ) ( * 731510 )
NEW met2 ( 338330 1821890 ) ( * 1822060 )
NEW met3 ( 338330 1822060 ) ( 350980 * )
NEW met3 ( 350980 1822060 ) ( * 1822740 0 )
NEW met1 ( 323610 1821890 ) ( 338330 * )
NEW met2 ( 338330 2304690 ) ( * 2306900 )
NEW met3 ( 338330 2306900 ) ( 350980 * )
NEW met3 ( 350980 2306220 0 ) ( * 2306900 )
NEW met1 ( 316250 2304690 ) ( 338330 * )
NEW met2 ( 338790 2801770 ) ( * 2803980 )
NEW met3 ( 338790 2803980 ) ( 350980 * )
NEW met3 ( 350980 2803980 ) ( * 2805340 0 )
NEW met1 ( 296470 2801770 ) ( 338790 * )
NEW met1 ( 323610 186150 ) ( 1538930 * )
NEW met1 ( 1542610 418030 ) ( 1673710 * )
NEW met2 ( 2084030 731510 ) ( * 732700 )
NEW met3 ( 2084030 732700 ) ( 2100820 * 0 )
NEW met1 ( 1673710 731510 ) ( 2084030 * )
NEW met1 ( 323610 186150 ) M1M2_PR
NEW met1 ( 323610 1828350 ) M1M2_PR
NEW met1 ( 316250 1828350 ) M1M2_PR
NEW met1 ( 323610 1821890 ) M1M2_PR
NEW met1 ( 316250 2311150 ) M1M2_PR
NEW met1 ( 296470 2311150 ) M1M2_PR
NEW met1 ( 316250 2304690 ) M1M2_PR
NEW met1 ( 296470 2801770 ) M1M2_PR
NEW met1 ( 1545370 17510 ) M1M2_PR
NEW met1 ( 1538930 17510 ) M1M2_PR
NEW met1 ( 1538930 186150 ) M1M2_PR
NEW met1 ( 1542610 186150 ) M1M2_PR
NEW met1 ( 1542610 418030 ) M1M2_PR
NEW met1 ( 1673710 418030 ) M1M2_PR
NEW met1 ( 1673710 731510 ) M1M2_PR
NEW met1 ( 338330 1821890 ) M1M2_PR
NEW met2 ( 338330 1822060 ) M2M3_PR
NEW met1 ( 338330 2304690 ) M1M2_PR
NEW met2 ( 338330 2306900 ) M2M3_PR
NEW met1 ( 338790 2801770 ) M1M2_PR
NEW met2 ( 338790 2803980 ) M2M3_PR
NEW met1 ( 2084030 731510 ) M1M2_PR
NEW met2 ( 2084030 732700 ) M2M3_PR
NEW met2 ( 323610 1821890 ) RECT ( -70 0 70 485 )
NEW met2 ( 316250 2304690 ) RECT ( -70 0 70 485 ) ;
- la_oenb[52] ( PIN la_oenb[52] ) ( wrapped_vga_clock_1 la1_oenb[20] ) ( wrapped_rgb_mixer_3 la1_oenb[20] ) ( wrapped_hack_soc_dffram_11 la1_oenb[20] ) ( wrapped_frequency_counter_2 la1_oenb[20] ) + USE SIGNAL
+ ROUTED met3 ( 1545830 508980 ) ( 1548820 * )
NEW met4 ( 1548820 508300 ) ( * 508980 )
NEW met4 ( 1548820 508300 ) ( 1549740 * )
NEW met2 ( 198490 1682830 ) ( * 2659990 )
NEW met3 ( 1550660 58820 ) ( 1563310 * )
NEW met2 ( 1563310 1700 0 ) ( * 58820 )
NEW met4 ( 1549740 469200 ) ( * 508300 )
NEW met4 ( 1549740 469200 ) ( 1550660 * )
NEW met2 ( 1559630 451860 ) ( * 452030 )
NEW met3 ( 1550660 451860 ) ( 1559630 * )
NEW met4 ( 1550660 58820 ) ( * 469200 )
NEW met2 ( 1545830 508980 ) ( * 1666170 )
NEW met2 ( 359490 2695860 ) ( 359950 * )
NEW met2 ( 359950 2695860 ) ( * 2700620 )
NEW met2 ( 359950 2700620 ) ( 359985 * 0 )
NEW met1 ( 359950 1666170 ) ( 1545830 * )
NEW met1 ( 198490 1682830 ) ( 227700 * )
NEW met1 ( 227700 1682150 ) ( * 1682830 )
NEW met1 ( 227700 1682150 ) ( 276000 * )
NEW met1 ( 276000 1682150 ) ( * 1682830 )
NEW met1 ( 276000 1682830 ) ( 359950 * )
NEW met2 ( 359950 1666170 ) ( * 1700340 0 )
NEW met2 ( 359950 2186370 ) ( * 2200140 0 )
NEW met1 ( 198490 2186370 ) ( 359950 * )
NEW met1 ( 198490 2659990 ) ( 359490 * )
NEW met2 ( 359490 2659990 ) ( * 2695860 )
NEW met1 ( 1559630 452030 ) ( 1790550 * )
NEW met2 ( 1790550 452030 ) ( * 717910 )
NEW met2 ( 2084030 717910 ) ( * 723860 )
NEW met3 ( 2084030 723860 ) ( 2100820 * 0 )
NEW met1 ( 1790550 717910 ) ( 2084030 * )
NEW met2 ( 1545830 508980 ) M2M3_PR
NEW met3 ( 1548820 508980 ) M3M4_PR
NEW met1 ( 1545830 1666170 ) M1M2_PR
NEW met1 ( 198490 1682830 ) M1M2_PR
NEW met1 ( 198490 2186370 ) M1M2_PR
NEW met1 ( 198490 2659990 ) M1M2_PR
NEW met3 ( 1550660 58820 ) M3M4_PR
NEW met2 ( 1563310 58820 ) M2M3_PR
NEW met1 ( 1559630 452030 ) M1M2_PR
NEW met2 ( 1559630 451860 ) M2M3_PR
NEW met3 ( 1550660 451860 ) M3M4_PR
NEW met1 ( 359950 1666170 ) M1M2_PR
NEW met1 ( 359950 1682830 ) M1M2_PR
NEW met1 ( 359950 2186370 ) M1M2_PR
NEW met1 ( 359490 2659990 ) M1M2_PR
NEW met1 ( 1790550 452030 ) M1M2_PR
NEW met1 ( 1790550 717910 ) M1M2_PR
NEW met1 ( 2084030 717910 ) M1M2_PR
NEW met2 ( 2084030 723860 ) M2M3_PR
NEW met2 ( 198490 2186370 ) RECT ( -70 -485 70 0 )
NEW met4 ( 1550660 451860 ) RECT ( -150 -800 150 0 )
NEW met2 ( 359950 1682830 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[53] ( PIN la_oenb[53] ) ( wrapped_vga_clock_1 la1_oenb[21] ) ( wrapped_rgb_mixer_3 la1_oenb[21] ) ( wrapped_hack_soc_dffram_11 la1_oenb[21] ) ( wrapped_frequency_counter_2 la1_oenb[21] ) + USE SIGNAL
+ ROUTED met2 ( 497030 1929500 ) ( 498410 * 0 )
NEW met2 ( 500250 1926610 ) ( * 1929500 )
NEW met2 ( 498410 1929500 0 ) ( 500250 * )
NEW met2 ( 1438650 19210 ) ( * 1925590 )
NEW met2 ( 1728450 444890 ) ( * 710770 )
NEW met2 ( 458390 2909380 ) ( 459540 * 0 )
NEW met2 ( 458390 2909380 ) ( * 2931820 )
NEW met3 ( 268180 2931820 ) ( 458390 * )
NEW met1 ( 500250 1926610 ) ( 517500 * )
NEW met1 ( 517500 1925590 ) ( * 1926610 )
NEW met1 ( 517500 1925590 ) ( 1438650 * )
NEW met2 ( 1581250 1700 0 ) ( * 19210 )
NEW met1 ( 1438650 19210 ) ( 1583550 * )
NEW met4 ( 268180 2680220 ) ( * 2931820 )
NEW met2 ( 430790 2449700 0 ) ( * 2460410 )
NEW met1 ( 430790 2460410 ) ( 435850 * )
NEW met2 ( 449650 2453610 ) ( * 2460410 )
NEW met1 ( 435850 2460410 ) ( 449650 * )
NEW met3 ( 268180 2680220 ) ( 435850 * )
NEW met2 ( 435850 2460410 ) ( * 2680220 )
NEW met2 ( 1583550 19210 ) ( * 444890 )
NEW met1 ( 1583550 444890 ) ( 1728450 * )
NEW met2 ( 2084030 710770 ) ( * 715700 )
NEW met3 ( 2084030 715700 ) ( 2100820 * 0 )
NEW met1 ( 1728450 710770 ) ( 2084030 * )
NEW met1 ( 449650 2453610 ) ( 497030 * )
NEW met2 ( 497030 1929500 ) ( * 2453610 )
NEW met1 ( 500250 1926610 ) M1M2_PR
NEW met1 ( 1438650 19210 ) M1M2_PR
NEW met1 ( 1438650 1925590 ) M1M2_PR
NEW met1 ( 1728450 444890 ) M1M2_PR
NEW met1 ( 1728450 710770 ) M1M2_PR
NEW met3 ( 268180 2931820 ) M3M4_PR
NEW met2 ( 458390 2931820 ) M2M3_PR
NEW met1 ( 1583550 19210 ) M1M2_PR
NEW met1 ( 1581250 19210 ) M1M2_PR
NEW met3 ( 268180 2680220 ) M3M4_PR
NEW met1 ( 430790 2460410 ) M1M2_PR
NEW met1 ( 435850 2460410 ) M1M2_PR
NEW met1 ( 449650 2453610 ) M1M2_PR
NEW met1 ( 449650 2460410 ) M1M2_PR
NEW met2 ( 435850 2680220 ) M2M3_PR
NEW met1 ( 1583550 444890 ) M1M2_PR
NEW met1 ( 2084030 710770 ) M1M2_PR
NEW met2 ( 2084030 715700 ) M2M3_PR
NEW met1 ( 497030 2453610 ) M1M2_PR
NEW met1 ( 1581250 19210 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[54] ( PIN la_oenb[54] ) ( wrapped_vga_clock_1 la1_oenb[22] ) ( wrapped_rgb_mixer_3 la1_oenb[22] ) ( wrapped_hack_soc_dffram_11 la1_oenb[22] ) ( wrapped_frequency_counter_2 la1_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 416990 2909380 ) ( 417680 * 0 )
NEW met2 ( 416990 2909380 ) ( * 2918730 )
NEW met2 ( 383870 2449700 ) ( 385480 * 0 )
NEW met2 ( 383870 2449700 ) ( * 2457350 )
NEW met2 ( 1542150 19550 ) ( * 1925250 )
NEW met2 ( 1667270 424150 ) ( * 703970 )
NEW met1 ( 351670 2695350 ) ( 359950 * )
NEW met1 ( 351670 2918730 ) ( 416990 * )
NEW met2 ( 450110 1929500 0 ) ( 450570 * )
NEW met1 ( 469200 1925250 ) ( * 1926610 )
NEW met1 ( 451490 1926610 ) ( 469200 * )
NEW met2 ( 451490 1926610 ) ( * 1929500 )
NEW met2 ( 450570 1929500 ) ( 451490 * )
NEW met1 ( 469200 1925250 ) ( 1542150 * )
NEW met1 ( 1542150 19550 ) ( 1598730 * )
NEW met2 ( 2084030 703970 ) ( * 706860 )
NEW met3 ( 2084030 706860 ) ( 2100820 * 0 )
NEW met1 ( 1667270 703970 ) ( 2084030 * )
NEW met4 ( 240580 1990020 ) ( * 2459220 )
NEW met2 ( 359030 2457350 ) ( * 2459220 )
NEW met2 ( 359030 2497800 ) ( 359950 * )
NEW met2 ( 359030 2459220 ) ( * 2497800 )
NEW met3 ( 240580 2459220 ) ( 359030 * )
NEW met1 ( 359030 2457350 ) ( 383870 * )
NEW met2 ( 359950 2497800 ) ( * 2695350 )
NEW met3 ( 240580 1990020 ) ( 450570 * )
NEW met2 ( 450570 1929500 ) ( * 1990020 )
NEW met2 ( 1597350 82800 ) ( 1598730 * )
NEW met2 ( 1598730 1700 0 ) ( * 82800 )
NEW met2 ( 1597350 82800 ) ( * 424150 )
NEW met1 ( 1597350 424150 ) ( 1667270 * )
NEW met2 ( 351670 2695350 ) ( * 2918730 )
NEW met1 ( 416990 2918730 ) M1M2_PR
NEW met1 ( 1542150 19550 ) M1M2_PR
NEW met1 ( 1542150 1925250 ) M1M2_PR
NEW met1 ( 1667270 703970 ) M1M2_PR
NEW met1 ( 383870 2457350 ) M1M2_PR
NEW met1 ( 1667270 424150 ) M1M2_PR
NEW met1 ( 351670 2695350 ) M1M2_PR
NEW met1 ( 359950 2695350 ) M1M2_PR
NEW met1 ( 351670 2918730 ) M1M2_PR
NEW met1 ( 451490 1926610 ) M1M2_PR
NEW met1 ( 1598730 19550 ) M1M2_PR
NEW met1 ( 2084030 703970 ) M1M2_PR
NEW met2 ( 2084030 706860 ) M2M3_PR
NEW met3 ( 240580 1990020 ) M3M4_PR
NEW met3 ( 240580 2459220 ) M3M4_PR
NEW met1 ( 359030 2457350 ) M1M2_PR
NEW met2 ( 359030 2459220 ) M2M3_PR
NEW met2 ( 450570 1990020 ) M2M3_PR
NEW met1 ( 1597350 424150 ) M1M2_PR
NEW met2 ( 1598730 19550 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[55] ( PIN la_oenb[55] ) ( wrapped_vga_clock_1 la1_oenb[23] ) ( wrapped_rgb_mixer_3 la1_oenb[23] ) ( wrapped_hack_soc_dffram_11 la1_oenb[23] ) ( wrapped_frequency_counter_2 la1_oenb[23] ) + USE SIGNAL
+ ROUTED met2 ( 303370 1669230 ) ( * 1963330 )
NEW met2 ( 567870 2197930 ) ( * 2494410 )
NEW met2 ( 1473150 20230 ) ( * 1528130 )
NEW met2 ( 2059650 499970 ) ( * 697170 )
NEW met1 ( 462990 1663110 ) ( 465750 * )
NEW met1 ( 303370 1669230 ) ( 462990 * )
NEW met1 ( 303370 1963330 ) ( 449190 * )
NEW met2 ( 446735 2700620 0 ) ( 446890 * )
NEW met1 ( 1473150 20230 ) ( 1616670 * )
NEW met1 ( 1618050 499970 ) ( 2059650 * )
NEW met2 ( 2084030 697170 ) ( * 698700 )
NEW met3 ( 2084030 698700 ) ( 2100820 * 0 )
NEW met1 ( 2059650 697170 ) ( 2084030 * )
NEW met2 ( 465750 1528130 ) ( * 1663110 )
NEW met2 ( 462990 1663110 ) ( * 1700340 0 )
NEW met2 ( 446890 2200140 0 ) ( 449190 * )
NEW met2 ( 449190 1963330 ) ( * 2200140 )
NEW met2 ( 446890 2494410 ) ( * 2700620 )
NEW met1 ( 446890 2494410 ) ( 567870 * )
NEW met1 ( 465750 1528130 ) ( 1473150 * )
NEW met2 ( 1616670 1700 0 ) ( * 34500 )
NEW met2 ( 1616670 34500 ) ( 1618050 * )
NEW met2 ( 1618050 34500 ) ( * 499970 )
NEW met1 ( 449190 2197930 ) ( 567870 * )
NEW met1 ( 303370 1669230 ) M1M2_PR
NEW met1 ( 303370 1963330 ) M1M2_PR
NEW met1 ( 1473150 20230 ) M1M2_PR
NEW met1 ( 2059650 499970 ) M1M2_PR
NEW met1 ( 2059650 697170 ) M1M2_PR
NEW met1 ( 567870 2197930 ) M1M2_PR
NEW met1 ( 567870 2494410 ) M1M2_PR
NEW met1 ( 1473150 1528130 ) M1M2_PR
NEW met1 ( 462990 1663110 ) M1M2_PR
NEW met1 ( 465750 1663110 ) M1M2_PR
NEW met1 ( 462990 1669230 ) M1M2_PR
NEW met1 ( 449190 1963330 ) M1M2_PR
NEW met1 ( 1616670 20230 ) M1M2_PR
NEW met1 ( 1618050 499970 ) M1M2_PR
NEW met1 ( 2084030 697170 ) M1M2_PR
NEW met2 ( 2084030 698700 ) M2M3_PR
NEW met1 ( 465750 1528130 ) M1M2_PR
NEW met1 ( 449190 2197930 ) M1M2_PR
NEW met1 ( 446890 2494410 ) M1M2_PR
NEW met2 ( 462990 1669230 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1616670 20230 ) RECT ( -70 -485 70 0 )
NEW met2 ( 449190 2197930 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[56] ( PIN la_oenb[56] ) ( wrapped_vga_clock_1 la1_oenb[24] ) ( wrapped_rgb_mixer_3 la1_oenb[24] ) ( wrapped_hack_soc_dffram_11 la1_oenb[24] ) ( wrapped_frequency_counter_2 la1_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 489670 2909380 ) ( * 2925870 )
NEW met2 ( 488750 2909380 0 ) ( 489670 * )
NEW met2 ( 1631850 1700 ) ( 1634150 * 0 )
NEW met1 ( 1628630 400010 ) ( 1631850 * )
NEW met2 ( 475410 2469250 ) ( * 2480470 )
NEW met2 ( 705410 1942250 ) ( * 2480470 )
NEW met2 ( 1628630 82800 ) ( 1631850 * )
NEW met2 ( 1631850 1700 ) ( * 82800 )
NEW met2 ( 1628630 82800 ) ( * 400010 )
NEW met2 ( 1631850 400010 ) ( * 507110 )
NEW met2 ( 533830 1929500 0 ) ( 534750 * )
NEW met2 ( 534750 1929500 ) ( * 1942250 )
NEW met1 ( 489670 2925870 ) ( 655730 * )
NEW met1 ( 534750 1942250 ) ( 1024650 * )
NEW met1 ( 1024650 400010 ) ( 1628630 * )
NEW met1 ( 1631850 507110 ) ( 1880250 * )
NEW met2 ( 2084030 683230 ) ( * 689860 )
NEW met3 ( 2084030 689860 ) ( 2100820 * 0 )
NEW met1 ( 1880250 683230 ) ( 2084030 * )
NEW met2 ( 459770 2449700 0 ) ( * 2469250 )
NEW met1 ( 459770 2469250 ) ( 475410 * )
NEW met1 ( 475410 2480470 ) ( 705410 * )
NEW met2 ( 655730 2480470 ) ( * 2925870 )
NEW met2 ( 1024650 400010 ) ( * 1942250 )
NEW met2 ( 1880250 507110 ) ( * 683230 )
NEW met1 ( 489670 2925870 ) M1M2_PR
NEW met1 ( 705410 1942250 ) M1M2_PR
NEW met1 ( 1628630 400010 ) M1M2_PR
NEW met1 ( 1631850 400010 ) M1M2_PR
NEW met1 ( 1631850 507110 ) M1M2_PR
NEW met1 ( 475410 2469250 ) M1M2_PR
NEW met1 ( 475410 2480470 ) M1M2_PR
NEW met1 ( 705410 2480470 ) M1M2_PR
NEW met1 ( 534750 1942250 ) M1M2_PR
NEW met1 ( 655730 2925870 ) M1M2_PR
NEW met1 ( 1024650 400010 ) M1M2_PR
NEW met1 ( 1024650 1942250 ) M1M2_PR
NEW met1 ( 1880250 507110 ) M1M2_PR
NEW met1 ( 1880250 683230 ) M1M2_PR
NEW met1 ( 2084030 683230 ) M1M2_PR
NEW met2 ( 2084030 689860 ) M2M3_PR
NEW met1 ( 459770 2469250 ) M1M2_PR
NEW met1 ( 655730 2480470 ) M1M2_PR
NEW met1 ( 705410 1942250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 655730 2480470 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[57] ( PIN la_oenb[57] ) ( wrapped_vga_clock_1 la1_oenb[25] ) ( wrapped_rgb_mixer_3 la1_oenb[25] ) ( wrapped_hack_soc_dffram_11 la1_oenb[25] ) ( wrapped_frequency_counter_2 la1_oenb[25] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1744540 0 ) ( 589490 * )
NEW met2 ( 589490 1738930 ) ( * 1744540 )
NEW met3 ( 576610 1745900 ) ( 577300 * )
NEW met3 ( 577300 1745220 ) ( * 1745900 )
NEW met3 ( 577300 1745220 ) ( 579140 * )
NEW met3 ( 579140 1744540 0 ) ( * 1745220 )
NEW met1 ( 1649330 386070 ) ( 1652550 * )
NEW met3 ( 499100 2292620 0 ) ( * 2293300 )
NEW met3 ( 499100 2293300 ) ( 516350 * )
NEW met2 ( 516350 2290750 ) ( * 2293300 )
NEW met2 ( 1649330 82800 ) ( 1652090 * )
NEW met2 ( 1652090 1700 0 ) ( * 82800 )
NEW met2 ( 1649330 82800 ) ( * 386070 )
NEW met2 ( 1652550 386070 ) ( * 520710 )
NEW met2 ( 2018250 520710 ) ( * 676430 )
NEW met1 ( 516350 1949390 ) ( 576610 * )
NEW met1 ( 589490 1738930 ) ( 1486950 * )
NEW met1 ( 1486950 386070 ) ( 1649330 * )
NEW met2 ( 2084030 676430 ) ( * 681700 )
NEW met3 ( 2084030 681700 ) ( 2100820 * 0 )
NEW met1 ( 2018250 676430 ) ( 2084030 * )
NEW met3 ( 529460 2754340 0 ) ( 544870 * )
NEW met2 ( 544870 2753150 ) ( * 2754340 )
NEW met1 ( 516350 2290750 ) ( 628130 * )
NEW met1 ( 544870 2753150 ) ( 628130 * )
NEW met2 ( 628130 2290750 ) ( * 2753150 )
NEW met2 ( 1486950 386070 ) ( * 1738930 )
NEW met1 ( 1652550 520710 ) ( 2018250 * )
NEW met2 ( 516350 1949390 ) ( * 2290750 )
NEW met2 ( 576610 1745900 ) ( * 1787100 )
NEW met2 ( 576610 1787100 ) ( 577070 * )
NEW met2 ( 577070 1787100 ) ( * 1825460 )
NEW met2 ( 576610 1825460 ) ( 577070 * )
NEW met2 ( 576610 1825460 ) ( * 1949390 )
NEW met1 ( 516350 1949390 ) M1M2_PR
NEW met2 ( 589490 1744540 ) M2M3_PR
NEW met1 ( 589490 1738930 ) M1M2_PR
NEW met2 ( 576610 1745900 ) M2M3_PR
NEW met1 ( 576610 1949390 ) M1M2_PR
NEW met1 ( 1649330 386070 ) M1M2_PR
NEW met1 ( 1652550 386070 ) M1M2_PR
NEW met1 ( 2018250 676430 ) M1M2_PR
NEW met1 ( 516350 2290750 ) M1M2_PR
NEW met2 ( 516350 2293300 ) M2M3_PR
NEW met1 ( 1652550 520710 ) M1M2_PR
NEW met1 ( 2018250 520710 ) M1M2_PR
NEW met1 ( 1486950 386070 ) M1M2_PR
NEW met1 ( 1486950 1738930 ) M1M2_PR
NEW met1 ( 2084030 676430 ) M1M2_PR
NEW met2 ( 2084030 681700 ) M2M3_PR
NEW met2 ( 544870 2754340 ) M2M3_PR
NEW met1 ( 544870 2753150 ) M1M2_PR
NEW met1 ( 628130 2290750 ) M1M2_PR
NEW met1 ( 628130 2753150 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( wrapped_vga_clock_1 la1_oenb[26] ) ( wrapped_rgb_mixer_3 la1_oenb[26] ) ( wrapped_hack_soc_dffram_11 la1_oenb[26] ) ( wrapped_frequency_counter_2 la1_oenb[26] ) + USE SIGNAL
+ ROUTED met2 ( 1669570 1700 0 ) ( * 17510 )
NEW met1 ( 1663130 17510 ) ( 1669570 * )
NEW met3 ( 295550 1890060 ) ( 303140 * )
NEW met4 ( 303140 334220 ) ( * 1890060 )
NEW met2 ( 295550 1890060 ) ( * 2352970 )
NEW met2 ( 1663130 17510 ) ( * 334220 )
NEW met2 ( 1928550 330650 ) ( * 904230 )
NEW met3 ( 2456630 896580 ) ( 2468820 * )
NEW met3 ( 2468820 894540 0 ) ( * 896580 )
NEW met3 ( 303140 1883940 ) ( 324300 * )
NEW met3 ( 324300 1883940 ) ( * 1884620 )
NEW met3 ( 324300 1884620 ) ( 350980 * )
NEW met3 ( 350980 1883940 0 ) ( * 1884620 )
NEW met3 ( 324990 2387820 ) ( 329820 * )
NEW met3 ( 329820 2851580 ) ( 350980 * )
NEW met3 ( 350980 2851580 ) ( * 2852940 0 )
NEW met4 ( 329820 2387820 ) ( * 2851580 )
NEW met3 ( 303140 334220 ) ( 1663130 * )
NEW met1 ( 1663130 330650 ) ( 1928550 * )
NEW met1 ( 1928550 904230 ) ( 2456630 * )
NEW met2 ( 2456630 896580 ) ( * 904230 )
NEW met3 ( 350980 2357220 0 ) ( * 2357900 )
NEW met3 ( 338330 2357900 ) ( 350980 * )
NEW met2 ( 338330 2357900 ) ( * 2358070 )
NEW met1 ( 324990 2358070 ) ( 338330 * )
NEW met1 ( 295550 2352970 ) ( 324990 * )
NEW met2 ( 324990 2352970 ) ( * 2387820 )
NEW met1 ( 1669570 17510 ) M1M2_PR
NEW met1 ( 1663130 17510 ) M1M2_PR
NEW met3 ( 303140 334220 ) M3M4_PR
NEW met3 ( 303140 1890060 ) M3M4_PR
NEW met2 ( 295550 1890060 ) M2M3_PR
NEW met3 ( 303140 1883940 ) M3M4_PR
NEW met1 ( 295550 2352970 ) M1M2_PR
NEW met2 ( 1663130 334220 ) M2M3_PR
NEW met1 ( 1663130 330650 ) M1M2_PR
NEW met1 ( 1928550 330650 ) M1M2_PR
NEW met1 ( 1928550 904230 ) M1M2_PR
NEW met2 ( 2456630 896580 ) M2M3_PR
NEW met2 ( 324990 2387820 ) M2M3_PR
NEW met3 ( 329820 2387820 ) M3M4_PR
NEW met3 ( 329820 2851580 ) M3M4_PR
NEW met1 ( 2456630 904230 ) M1M2_PR
NEW met1 ( 324990 2352970 ) M1M2_PR
NEW met2 ( 338330 2357900 ) M2M3_PR
NEW met1 ( 338330 2358070 ) M1M2_PR
NEW met1 ( 324990 2358070 ) M1M2_PR
NEW met4 ( 303140 1883940 ) RECT ( -150 -800 150 0 )
NEW met2 ( 1663130 330650 ) RECT ( -70 -485 70 0 )
NEW met2 ( 324990 2358070 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[59] ( PIN la_oenb[59] ) ( wrapped_vga_clock_1 la1_oenb[27] ) ( wrapped_rgb_mixer_3 la1_oenb[27] ) ( wrapped_hack_soc_dffram_11 la1_oenb[27] ) ( wrapped_frequency_counter_2 la1_oenb[27] ) + USE SIGNAL
+ ROUTED met3 ( 579140 1863540 0 ) ( 589260 * )
NEW met3 ( 588570 1931540 ) ( 589260 * )
NEW met2 ( 510830 2387650 ) ( * 2389180 )
NEW met3 ( 499100 2389180 ) ( 510830 * )
NEW met3 ( 499100 2389180 ) ( * 2390540 0 )
NEW met4 ( 589260 1863540 ) ( * 1931540 )
NEW met2 ( 579370 2387140 ) ( * 2387650 )
NEW met2 ( 588570 1931540 ) ( * 2387140 )
NEW met3 ( 589260 1863540 ) ( 616860 * )
NEW met2 ( 1685210 1700 ) ( 1687510 * 0 )
NEW met1 ( 1683830 473450 ) ( 1687050 * )
NEW met3 ( 616860 1748620 ) ( 1687050 * )
NEW met1 ( 1687050 475830 ) ( 2492510 * )
NEW met3 ( 2471580 889100 0 ) ( 2485150 * )
NEW met2 ( 2485150 884850 ) ( * 889100 )
NEW met1 ( 2485150 884850 ) ( 2492510 * )
NEW met1 ( 510830 2387650 ) ( 579370 * )
NEW met3 ( 529460 2851580 ) ( * 2852940 0 )
NEW met4 ( 616860 1748620 ) ( * 1863540 )
NEW met3 ( 579370 2387140 ) ( 649060 * )
NEW met3 ( 529460 2851580 ) ( 649060 * )
NEW met4 ( 649060 2387140 ) ( * 2851580 )
NEW met2 ( 1683830 82800 ) ( 1685210 * )
NEW met2 ( 1685210 1700 ) ( * 82800 )
NEW met2 ( 1683830 82800 ) ( * 473450 )
NEW met2 ( 1687050 473450 ) ( * 1748620 )
NEW met2 ( 2492510 475830 ) ( * 884850 )
NEW met3 ( 589260 1863540 ) M3M4_PR
NEW met2 ( 588570 1931540 ) M2M3_PR
NEW met3 ( 589260 1931540 ) M3M4_PR
NEW met1 ( 510830 2387650 ) M1M2_PR
NEW met2 ( 510830 2389180 ) M2M3_PR
NEW met1 ( 579370 2387650 ) M1M2_PR
NEW met2 ( 579370 2387140 ) M2M3_PR
NEW met2 ( 588570 2387140 ) M2M3_PR
NEW met3 ( 616860 1748620 ) M3M4_PR
NEW met3 ( 616860 1863540 ) M3M4_PR
NEW met1 ( 1683830 473450 ) M1M2_PR
NEW met1 ( 1687050 473450 ) M1M2_PR
NEW met1 ( 1687050 475830 ) M1M2_PR
NEW met2 ( 1687050 1748620 ) M2M3_PR
NEW met1 ( 2492510 475830 ) M1M2_PR
NEW met2 ( 2485150 889100 ) M2M3_PR
NEW met1 ( 2485150 884850 ) M1M2_PR
NEW met1 ( 2492510 884850 ) M1M2_PR
NEW met3 ( 649060 2387140 ) M3M4_PR
NEW met3 ( 649060 2851580 ) M3M4_PR
NEW met3 ( 588570 2387140 ) RECT ( -800 -150 0 150 )
NEW met2 ( 1687050 475830 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) ( wrapped_vga_clock_1 la1_oenb[28] ) ( wrapped_rgb_mixer_3 la1_oenb[28] ) ( wrapped_hack_soc_dffram_11 la1_oenb[28] ) ( wrapped_frequency_counter_2 la1_oenb[28] ) + USE SIGNAL
+ ROUTED met2 ( 388930 2700620 ) ( 388965 * 0 )
NEW met2 ( 226550 2204390 ) ( * 2673930 )
NEW met2 ( 395450 1698980 ) ( 397210 * )
NEW met2 ( 395450 1698980 ) ( * 1700340 0 )
NEW met2 ( 395830 1683170 ) ( * 1684020 )
NEW met2 ( 395830 1684020 ) ( 397210 * )
NEW met2 ( 397210 1583380 ) ( * 1698980 )
NEW met2 ( 388930 2186370 ) ( * 2200140 0 )
NEW met2 ( 386630 1983730 ) ( * 2186370 )
NEW met2 ( 388930 2673930 ) ( * 2700620 )
NEW met2 ( 2504930 17170 ) ( * 883490 )
NEW met2 ( 1710510 17170 ) ( * 17340 )
NEW met3 ( 1704300 17340 ) ( 1710510 * )
NEW met2 ( 1704990 1700 0 ) ( * 17340 )
NEW met1 ( 1710510 17170 ) ( 2504930 * )
NEW met3 ( 2471580 883660 0 ) ( 2485150 * )
NEW met2 ( 2485150 883490 ) ( * 883660 )
NEW met1 ( 2485150 883490 ) ( 2504930 * )
NEW met1 ( 233910 1682490 ) ( 269790 * )
NEW met1 ( 269790 1682490 ) ( * 1683170 )
NEW met2 ( 233910 1682490 ) ( * 1983730 )
NEW met1 ( 269790 1683170 ) ( 395830 * )
NEW met1 ( 233910 1983730 ) ( 386630 * )
NEW met1 ( 226550 2204390 ) ( 324300 * )
NEW met1 ( 324300 2203370 ) ( * 2204390 )
NEW met1 ( 324300 2203370 ) ( 360870 * )
NEW met2 ( 360870 2186370 ) ( * 2203370 )
NEW met1 ( 360870 2186370 ) ( 388930 * )
NEW met1 ( 226550 2673930 ) ( 388930 * )
NEW met3 ( 397210 1583380 ) ( 1704300 * )
NEW met4 ( 1704300 17340 ) ( * 1583380 )
NEW met1 ( 2504930 17170 ) M1M2_PR
NEW met1 ( 2504930 883490 ) M1M2_PR
NEW met1 ( 226550 2204390 ) M1M2_PR
NEW met1 ( 226550 2673930 ) M1M2_PR
NEW met2 ( 397210 1583380 ) M2M3_PR
NEW met1 ( 395830 1683170 ) M1M2_PR
NEW met1 ( 386630 1983730 ) M1M2_PR
NEW met1 ( 388930 2186370 ) M1M2_PR
NEW met1 ( 386630 2186370 ) M1M2_PR
NEW met1 ( 388930 2673930 ) M1M2_PR
NEW met1 ( 1710510 17170 ) M1M2_PR
NEW met2 ( 1710510 17340 ) M2M3_PR
NEW met3 ( 1704300 17340 ) M3M4_PR
NEW met2 ( 1704990 17340 ) M2M3_PR
NEW met2 ( 2485150 883660 ) M2M3_PR
NEW met1 ( 2485150 883490 ) M1M2_PR
NEW met1 ( 233910 1682490 ) M1M2_PR
NEW met1 ( 233910 1983730 ) M1M2_PR
NEW met1 ( 360870 2203370 ) M1M2_PR
NEW met1 ( 360870 2186370 ) M1M2_PR
NEW met3 ( 1704300 1583380 ) M3M4_PR
NEW met1 ( 386630 2186370 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1704990 17340 ) RECT ( -800 -150 0 150 ) ;
- la_oenb[61] ( PIN la_oenb[61] ) ( wrapped_vga_clock_1 la1_oenb[29] ) ( wrapped_rgb_mixer_3 la1_oenb[29] ) ( wrapped_hack_soc_dffram_11 la1_oenb[29] ) ( wrapped_frequency_counter_2 la1_oenb[29] ) + USE SIGNAL
+ ROUTED met4 ( 303140 2197420 ) ( * 2473500 )
NEW met2 ( 421130 2700620 ) ( 421165 * 0 )
NEW met3 ( 2471580 878220 0 ) ( 2490670 * )
NEW met2 ( 2490670 878220 ) ( 2491130 * )
NEW met2 ( 268870 1696430 ) ( * 1888700 )
NEW met4 ( 350060 1888700 ) ( 351900 * )
NEW met3 ( 268870 1888700 ) ( 350060 * )
NEW met3 ( 372600 2194700 ) ( * 2197420 )
NEW met3 ( 303140 2197420 ) ( 372600 * )
NEW met4 ( 351900 1888700 ) ( * 2197420 )
NEW met2 ( 434010 1689970 ) ( * 1700340 0 )
NEW met1 ( 434010 1689970 ) ( 438150 * )
NEW met1 ( 268870 1696430 ) ( 434010 * )
NEW met2 ( 438150 1500590 ) ( * 1689970 )
NEW met2 ( 421130 2194700 ) ( * 2200140 0 )
NEW met3 ( 372600 2194700 ) ( 421130 * )
NEW met3 ( 303140 2473500 ) ( 421130 * )
NEW met2 ( 421130 2473500 ) ( * 2700620 )
NEW met2 ( 1718330 82800 ) ( 1722930 * )
NEW met2 ( 1722930 1700 0 ) ( * 82800 )
NEW met1 ( 1718330 241230 ) ( 1721550 * )
NEW met2 ( 1718330 82800 ) ( * 241230 )
NEW met1 ( 438150 1500590 ) ( 1721550 * )
NEW met2 ( 1721550 241230 ) ( * 1500590 )
NEW met1 ( 1721550 241230 ) ( 2491130 * )
NEW met2 ( 2491130 241230 ) ( * 878220 )
NEW met3 ( 303140 2197420 ) M3M4_PR
NEW met3 ( 303140 2473500 ) M3M4_PR
NEW met2 ( 2490670 878220 ) M2M3_PR
NEW met1 ( 268870 1696430 ) M1M2_PR
NEW met2 ( 268870 1888700 ) M2M3_PR
NEW met3 ( 350060 1888700 ) M3M4_PR
NEW met3 ( 351900 2197420 ) M3M4_PR
NEW met1 ( 438150 1500590 ) M1M2_PR
NEW met1 ( 434010 1689970 ) M1M2_PR
NEW met1 ( 438150 1689970 ) M1M2_PR
NEW met1 ( 434010 1696430 ) M1M2_PR
NEW met2 ( 421130 2194700 ) M2M3_PR
NEW met2 ( 421130 2473500 ) M2M3_PR
NEW met1 ( 1721550 241230 ) M1M2_PR
NEW met1 ( 1718330 241230 ) M1M2_PR
NEW met1 ( 1721550 1500590 ) M1M2_PR
NEW met1 ( 2491130 241230 ) M1M2_PR
NEW met3 ( 351900 2197420 ) RECT ( -800 -150 0 150 )
NEW met2 ( 434010 1696430 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[62] ( PIN la_oenb[62] ) ( wrapped_vga_clock_1 la1_oenb[30] ) ( wrapped_rgb_mixer_3 la1_oenb[30] ) ( wrapped_hack_soc_dffram_11 la1_oenb[30] ) ( wrapped_frequency_counter_2 la1_oenb[30] ) + USE SIGNAL
+ ROUTED met2 ( 512210 2380170 ) ( * 2385780 )
NEW met3 ( 499100 2385780 ) ( 512210 * )
NEW met3 ( 499100 2385780 ) ( * 2387140 0 )
NEW met2 ( 581210 1856740 ) ( * 1956020 )
NEW met2 ( 1739030 82800 ) ( 1740410 * )
NEW met2 ( 1740410 1700 0 ) ( * 82800 )
NEW met1 ( 1739030 446250 ) ( 1742250 * )
NEW met2 ( 1739030 82800 ) ( * 446250 )
NEW met2 ( 1742250 446250 ) ( * 872780 )
NEW met2 ( 2505850 448290 ) ( * 871250 )
NEW met3 ( 517270 1956020 ) ( 581210 * )
NEW met3 ( 1679460 872780 ) ( 1742250 * )
NEW met3 ( 579140 1856740 0 ) ( 1679460 * )
NEW met3 ( 2471580 872100 0 ) ( 2485150 * )
NEW met2 ( 2485150 871250 ) ( * 872100 )
NEW met1 ( 2485150 871250 ) ( 2505850 * )
NEW met3 ( 529460 2850220 0 ) ( 544870 * )
NEW met2 ( 544870 2849710 ) ( * 2850220 )
NEW met1 ( 512210 2380170 ) ( 710930 * )
NEW met1 ( 544870 2849710 ) ( 710930 * )
NEW met2 ( 710930 2380170 ) ( * 2849710 )
NEW met4 ( 1679460 872780 ) ( * 1856740 )
NEW met1 ( 1742250 448290 ) ( 2505850 * )
NEW met2 ( 517270 1956020 ) ( * 2380170 )
NEW met2 ( 517270 1956020 ) M2M3_PR
NEW met2 ( 581210 1856740 ) M2M3_PR
NEW met2 ( 581210 1956020 ) M2M3_PR
NEW met2 ( 1742250 872780 ) M2M3_PR
NEW met1 ( 2505850 871250 ) M1M2_PR
NEW met1 ( 512210 2380170 ) M1M2_PR
NEW met2 ( 512210 2385780 ) M2M3_PR
NEW met1 ( 517270 2380170 ) M1M2_PR
NEW met1 ( 1742250 446250 ) M1M2_PR
NEW met1 ( 1739030 446250 ) M1M2_PR
NEW met1 ( 1742250 448290 ) M1M2_PR
NEW met1 ( 2505850 448290 ) M1M2_PR
NEW met3 ( 1679460 872780 ) M3M4_PR
NEW met3 ( 1679460 1856740 ) M3M4_PR
NEW met2 ( 2485150 872100 ) M2M3_PR
NEW met1 ( 2485150 871250 ) M1M2_PR
NEW met2 ( 544870 2850220 ) M2M3_PR
NEW met1 ( 544870 2849710 ) M1M2_PR
NEW met1 ( 710930 2380170 ) M1M2_PR
NEW met1 ( 710930 2849710 ) M1M2_PR
NEW met3 ( 581210 1856740 ) RECT ( -800 -150 0 150 )
NEW met1 ( 517270 2380170 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1742250 448290 ) RECT ( -70 -485 70 0 ) ;
- la_oenb[63] ( PIN la_oenb[63] ) ( wrapped_vga_clock_1 la1_oenb[31] ) ( wrapped_rgb_mixer_3 la1_oenb[31] ) ( wrapped_hack_soc_dffram_11 la1_oenb[31] ) ( wrapped_frequency_counter_2 la1_oenb[31] ) + USE SIGNAL
+ ROUTED met1 ( 507150 2324750 ) ( 511290 * )
NEW met2 ( 1758350 1700 0 ) ( * 20570 )
NEW met2 ( 479090 1689290 ) ( * 1700340 0 )
NEW met2 ( 489670 1942930 ) ( * 2191130 )
NEW met2 ( 511290 2401200 ) ( 512210 * )
NEW met2 ( 511290 2324750 ) ( * 2401200 )
NEW met2 ( 600990 1683850 ) ( * 1689290 )
NEW met3 ( 600300 1690140 ) ( 600990 * )
NEW met2 ( 600990 1689290 ) ( * 1690140 )
NEW met4 ( 600300 1690140 ) ( * 1934940 )
NEW met2 ( 1756050 20570 ) ( * 1335010 )
NEW met2 ( 459770 2700620 ) ( 459805 * 0 )
NEW met2 ( 559130 1934940 ) ( * 1942930 )
NEW met1 ( 489670 1942930 ) ( 559130 * )
NEW met3 ( 559130 1934940 ) ( 600300 * )
NEW met1 ( 1756050 20570 ) ( 1870130 * )
NEW met3 ( 2471580 866660 0 ) ( 2484460 * )
NEW met2 ( 462990 2191130 ) ( * 2200140 0 )
NEW met2 ( 459770 2497800 ) ( 460230 * )
NEW met2 ( 460230 2460410 ) ( * 2497800 )
NEW met2 ( 459770 2497800 ) ( * 2700620 )
NEW met1 ( 479090 1689290 ) ( 600990 * )
NEW met1 ( 600990 1683850 ) ( 1604250 * )
NEW met2 ( 1604250 1335010 ) ( * 1683850 )
NEW met1 ( 1604250 1335010 ) ( 1756050 * )
NEW met2 ( 1870130 20570 ) ( * 44540 )
NEW met3 ( 1870130 44540 ) ( 2484460 * )
NEW met4 ( 2484460 44540 ) ( * 866660 )
NEW met1 ( 462990 2191130 ) ( 507150 * )
NEW met2 ( 507150 2191130 ) ( * 2324750 )
NEW met1 ( 460230 2460410 ) ( 512210 * )
NEW met2 ( 512210 2401200 ) ( * 2460410 )
NEW met1 ( 489670 1942930 ) M1M2_PR
NEW met1 ( 507150 2324750 ) M1M2_PR
NEW met1 ( 511290 2324750 ) M1M2_PR
NEW met3 ( 600300 1934940 ) M3M4_PR
NEW met1 ( 1756050 20570 ) M1M2_PR
NEW met1 ( 1758350 20570 ) M1M2_PR
NEW met1 ( 479090 1689290 ) M1M2_PR
NEW met1 ( 489670 2191130 ) M1M2_PR
NEW met1 ( 600990 1683850 ) M1M2_PR
NEW met1 ( 600990 1689290 ) M1M2_PR
NEW met3 ( 600300 1690140 ) M3M4_PR
NEW met2 ( 600990 1690140 ) M2M3_PR
NEW met1 ( 1756050 1335010 ) M1M2_PR
NEW met1 ( 559130 1942930 ) M1M2_PR
NEW met2 ( 559130 1934940 ) M2M3_PR
NEW met1 ( 1870130 20570 ) M1M2_PR
NEW met3 ( 2484460 866660 ) M3M4_PR
NEW met1 ( 462990 2191130 ) M1M2_PR
NEW met1 ( 460230 2460410 ) M1M2_PR
NEW met1 ( 1604250 1335010 ) M1M2_PR
NEW met1 ( 1604250 1683850 ) M1M2_PR
NEW met2 ( 1870130 44540 ) M2M3_PR
NEW met3 ( 2484460 44540 ) M3M4_PR
NEW met1 ( 507150 2191130 ) M1M2_PR
NEW met1 ( 512210 2460410 ) M1M2_PR
NEW met1 ( 1758350 20570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 489670 2191130 ) RECT ( -595 -70 0 70 ) ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- oram_addr0\[0\] ( wb_openram_wrapper ram_addr0[0] ) ( openram_1kB addr0[0] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 503710 ) ( * 504220 )
NEW met3 ( 1069730 504220 ) ( 1084220 * )
NEW met3 ( 1084220 503540 ) ( * 504220 )
NEW met3 ( 1084220 503540 ) ( 1086980 * )
NEW met3 ( 1086980 503540 ) ( * 504900 0 )
NEW met2 ( 888030 386070 ) ( * 503710 )
NEW met4 ( 421710 474300 ) ( 421820 * )
NEW met4 ( 421710 474300 ) ( * 476000 0 )
NEW met1 ( 427570 386070 ) ( 888030 * )
NEW met1 ( 888030 503710 ) ( 1069730 * )
NEW met3 ( 421820 455940 ) ( 427570 * )
NEW met4 ( 421820 455940 ) ( * 474300 )
NEW met2 ( 427570 386070 ) ( * 455940 )
NEW met1 ( 888030 386070 ) M1M2_PR
NEW met1 ( 888030 503710 ) M1M2_PR
NEW met1 ( 1069730 503710 ) M1M2_PR
NEW met2 ( 1069730 504220 ) M2M3_PR
NEW met1 ( 427570 386070 ) M1M2_PR
NEW met3 ( 421820 455940 ) M3M4_PR
NEW met2 ( 427570 455940 ) M2M3_PR ;
- oram_addr0\[1\] ( wb_openram_wrapper ram_addr0[1] ) ( openram_1kB addr0[1] ) + USE SIGNAL
+ ROUTED met3 ( 1074330 505580 ) ( 1086060 * )
NEW met3 ( 1086060 505580 ) ( * 507620 0 )
NEW met2 ( 1074330 448290 ) ( * 505580 )
NEW met3 ( 338330 604180 ) ( 340860 * )
NEW met3 ( 340860 604180 ) ( * 604210 )
NEW met3 ( 340860 604210 ) ( 344080 * 0 )
NEW met2 ( 338330 448290 ) ( * 604180 )
NEW met1 ( 338330 448290 ) ( 1074330 * )
NEW met2 ( 1074330 505580 ) M2M3_PR
NEW met1 ( 1074330 448290 ) M1M2_PR
NEW met2 ( 338330 604180 ) M2M3_PR
NEW met1 ( 338330 448290 ) M1M2_PR ;
- oram_addr0\[2\] ( wb_openram_wrapper ram_addr0[2] ) ( openram_1kB addr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 1072260 512380 ) ( 1086980 * )
NEW met3 ( 1086980 511020 0 ) ( * 512380 )
NEW met4 ( 1072260 455260 ) ( * 512380 )
NEW met3 ( 337410 613020 ) ( 340860 * )
NEW met3 ( 340860 613020 ) ( * 613050 )
NEW met3 ( 340860 613050 ) ( 344080 * 0 )
NEW met2 ( 337410 455260 ) ( * 613020 )
NEW met3 ( 337410 455260 ) ( 1072260 * )
NEW met3 ( 1072260 512380 ) M3M4_PR
NEW met3 ( 1072260 455260 ) M3M4_PR
NEW met2 ( 337410 613020 ) M2M3_PR
NEW met2 ( 337410 455260 ) M2M3_PR ;
- oram_addr0\[3\] ( wb_openram_wrapper ram_addr0[3] ) ( openram_1kB addr0[3] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 510850 ) ( * 513060 )
NEW met3 ( 1069730 513060 ) ( 1086060 * )
NEW met3 ( 1086060 513060 ) ( * 514420 0 )
NEW met1 ( 1046270 510850 ) ( 1069730 * )
NEW met3 ( 337870 617780 ) ( 340860 * )
NEW met3 ( 340860 617780 ) ( * 617810 )
NEW met3 ( 340860 617810 ) ( 344080 * 0 )
NEW met2 ( 337870 448460 ) ( * 617780 )
NEW met3 ( 337870 448460 ) ( 1046270 * )
NEW met2 ( 1046270 448460 ) ( * 510850 )
NEW met1 ( 1069730 510850 ) M1M2_PR
NEW met2 ( 1069730 513060 ) M2M3_PR
NEW met1 ( 1046270 510850 ) M1M2_PR
NEW met2 ( 337870 448460 ) M2M3_PR
NEW met2 ( 337870 617780 ) M2M3_PR
NEW met2 ( 1046270 448460 ) M2M3_PR ;
- oram_addr0\[4\] ( wb_openram_wrapper ram_addr0[4] ) ( openram_1kB addr0[4] ) + USE SIGNAL
+ ROUTED met4 ( 860660 420580 ) ( * 517820 )
NEW met3 ( 1086060 517820 0 ) ( * 518500 )
NEW met3 ( 330970 420580 ) ( 860660 * )
NEW met3 ( 330970 625940 ) ( 340860 * )
NEW met3 ( 340860 625940 ) ( * 625970 )
NEW met3 ( 340860 625970 ) ( 344080 * 0 )
NEW met2 ( 330970 420580 ) ( * 625940 )
NEW met3 ( 860660 517820 ) ( 1000500 * )
NEW met3 ( 1000500 517820 ) ( * 518500 )
NEW met3 ( 1000500 518500 ) ( 1086060 * )
NEW met3 ( 860660 420580 ) M3M4_PR
NEW met3 ( 860660 517820 ) M3M4_PR
NEW met2 ( 330970 420580 ) M2M3_PR
NEW met2 ( 330970 625940 ) M2M3_PR ;
- oram_addr0\[5\] ( wb_openram_wrapper ram_addr0[5] ) ( openram_1kB addr0[5] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 517650 ) ( * 519180 )
NEW met3 ( 1069730 519180 ) ( 1086060 * )
NEW met3 ( 1086060 519180 ) ( * 521220 0 )
NEW met3 ( 336950 474300 ) ( 1032930 * )
NEW met3 ( 336950 631380 ) ( 340860 * )
NEW met3 ( 340860 631380 ) ( * 631410 )
NEW met3 ( 340860 631410 ) ( 344080 * 0 )
NEW met2 ( 336950 474300 ) ( * 631380 )
NEW met2 ( 1032930 474300 ) ( * 517650 )
NEW met1 ( 1032930 517650 ) ( 1069730 * )
NEW met1 ( 1069730 517650 ) M1M2_PR
NEW met2 ( 1069730 519180 ) M2M3_PR
NEW met2 ( 336950 474300 ) M2M3_PR
NEW met2 ( 1032930 474300 ) M2M3_PR
NEW met2 ( 336950 631380 ) M2M3_PR
NEW met1 ( 1032930 517650 ) M1M2_PR ;
- oram_addr0\[6\] ( wb_openram_wrapper ram_addr0[6] ) ( openram_1kB addr0[6] ) + USE SIGNAL
+ ROUTED met2 ( 296470 468180 ) ( * 634950 )
NEW met2 ( 1069730 525130 ) ( * 525300 )
NEW met3 ( 1069730 525300 ) ( 1086060 * )
NEW met3 ( 1086060 524620 0 ) ( * 525300 )
NEW met2 ( 327750 634950 ) ( * 640220 )
NEW met3 ( 327750 640220 ) ( 340860 * )
NEW met3 ( 340860 640220 ) ( * 640250 )
NEW met3 ( 340860 640250 ) ( 344080 * 0 )
NEW met1 ( 296470 634950 ) ( 327750 * )
NEW met3 ( 296470 468180 ) ( 1018210 * )
NEW met2 ( 1018210 468180 ) ( * 525130 )
NEW met1 ( 1018210 525130 ) ( 1069730 * )
NEW met2 ( 296470 468180 ) M2M3_PR
NEW met1 ( 296470 634950 ) M1M2_PR
NEW met1 ( 1069730 525130 ) M1M2_PR
NEW met2 ( 1069730 525300 ) M2M3_PR
NEW met1 ( 327750 634950 ) M1M2_PR
NEW met2 ( 327750 640220 ) M2M3_PR
NEW met2 ( 1018210 468180 ) M2M3_PR
NEW met1 ( 1018210 525130 ) M1M2_PR ;
- oram_addr0\[7\] ( wb_openram_wrapper ram_addr0[7] ) ( openram_1kB addr0[7] ) + USE SIGNAL
+ ROUTED met2 ( 867330 473620 ) ( * 524450 )
NEW met2 ( 1070650 524450 ) ( * 525980 )
NEW met3 ( 1070650 525980 ) ( 1086060 * )
NEW met3 ( 1086060 525980 ) ( * 528020 0 )
NEW met3 ( 330510 473620 ) ( 867330 * )
NEW met3 ( 330510 646340 ) ( 340860 * )
NEW met3 ( 340860 646340 ) ( * 646370 )
NEW met3 ( 340860 646370 ) ( 344080 * 0 )
NEW met2 ( 330510 473620 ) ( * 646340 )
NEW met1 ( 867330 524450 ) ( 1070650 * )
NEW met2 ( 867330 473620 ) M2M3_PR
NEW met1 ( 867330 524450 ) M1M2_PR
NEW met1 ( 1070650 524450 ) M1M2_PR
NEW met2 ( 1070650 525980 ) M2M3_PR
NEW met2 ( 330510 473620 ) M2M3_PR
NEW met2 ( 330510 646340 ) M2M3_PR ;
- oram_addr1\[0\] ( wb_openram_wrapper ram_addr1[0] ) ( openram_1kB addr1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 750380 ) ( * 751570 )
NEW met3 ( 1069730 750380 ) ( 1086060 * )
NEW met3 ( 1086060 749020 0 ) ( * 750380 )
NEW met4 ( 741310 872470 0 ) ( * 875500 )
NEW met4 ( 741060 875500 ) ( 741310 * )
NEW met4 ( 741060 875500 ) ( * 877540 )
NEW met3 ( 741060 877540 ) ( 741290 * )
NEW met2 ( 741290 877540 ) ( * 880770 )
NEW met1 ( 741290 880770 ) ( 1045810 * )
NEW met1 ( 1045810 751570 ) ( 1069730 * )
NEW met2 ( 1045810 751570 ) ( * 880770 )
NEW met1 ( 1069730 751570 ) M1M2_PR
NEW met2 ( 1069730 750380 ) M2M3_PR
NEW met3 ( 741060 877540 ) M3M4_PR
NEW met2 ( 741290 877540 ) M2M3_PR
NEW met1 ( 741290 880770 ) M1M2_PR
NEW met1 ( 1045810 880770 ) M1M2_PR
NEW met1 ( 1045810 751570 ) M1M2_PR
NEW met3 ( 741060 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_addr1\[1\] ( wb_openram_wrapper ram_addr1[1] ) ( openram_1kB addr1[1] ) + USE SIGNAL
+ ROUTED met2 ( 880210 558790 ) ( * 752250 )
NEW met2 ( 1069730 752250 ) ( * 753100 )
NEW met3 ( 1069730 753100 ) ( 1086060 * )
NEW met3 ( 1086060 752420 0 ) ( * 753100 )
NEW met2 ( 840190 558620 ) ( * 558790 )
NEW met3 ( 823620 558620 ) ( 840190 * )
NEW met3 ( 823620 558620 ) ( * 558650 0 )
NEW met1 ( 840190 558790 ) ( 880210 * )
NEW met1 ( 880210 752250 ) ( 1069730 * )
NEW met1 ( 880210 558790 ) M1M2_PR
NEW met1 ( 880210 752250 ) M1M2_PR
NEW met1 ( 1069730 752250 ) M1M2_PR
NEW met2 ( 1069730 753100 ) M2M3_PR
NEW met1 ( 840190 558790 ) M1M2_PR
NEW met2 ( 840190 558620 ) M2M3_PR ;
- oram_addr1\[2\] ( wb_openram_wrapper ram_addr1[2] ) ( openram_1kB addr1[2] ) + USE SIGNAL
+ ROUTED met1 ( 1066970 728450 ) ( 1075250 * )
NEW met2 ( 1075250 728450 ) ( * 753780 )
NEW met3 ( 1075250 753780 ) ( 1086060 * )
NEW met3 ( 1086060 753780 ) ( * 755820 0 )
NEW met2 ( 1066970 551990 ) ( * 728450 )
NEW met2 ( 840650 550460 ) ( * 551990 )
NEW met3 ( 823620 550460 ) ( 840650 * )
NEW met3 ( 823620 550460 ) ( * 550490 0 )
NEW met1 ( 840650 551990 ) ( 1066970 * )
NEW met1 ( 1066970 551990 ) M1M2_PR
NEW met1 ( 1066970 728450 ) M1M2_PR
NEW met1 ( 1075250 728450 ) M1M2_PR
NEW met2 ( 1075250 753780 ) M2M3_PR
NEW met1 ( 840650 551990 ) M1M2_PR
NEW met2 ( 840650 550460 ) M2M3_PR ;
- oram_addr1\[3\] ( wb_openram_wrapper ram_addr1[3] ) ( openram_1kB addr1[3] ) + USE SIGNAL
+ ROUTED met3 ( 1067430 759220 ) ( 1085140 * )
NEW met3 ( 1086060 759000 ) ( * 759220 0 )
NEW met3 ( 1085140 759000 ) ( * 759220 )
NEW met3 ( 1085140 759000 ) ( 1086060 * )
NEW met2 ( 1067430 714170 ) ( * 759220 )
NEW met3 ( 823620 543660 ) ( 838350 * )
NEW met3 ( 823620 543660 ) ( * 543690 0 )
NEW met2 ( 838350 543660 ) ( * 714170 )
NEW met1 ( 838350 714170 ) ( 1067430 * )
NEW met2 ( 1067430 759220 ) M2M3_PR
NEW met1 ( 1067430 714170 ) M1M2_PR
NEW met2 ( 838350 543660 ) M2M3_PR
NEW met1 ( 838350 714170 ) M1M2_PR ;
- oram_addr1\[4\] ( wb_openram_wrapper ram_addr1[4] ) ( openram_1kB addr1[4] ) + USE SIGNAL
+ ROUTED met2 ( 760610 470730 ) ( * 470900 )
NEW met3 ( 760380 470900 ) ( 760610 * )
NEW met4 ( 760380 470900 ) ( * 474300 )
NEW met4 ( 759670 474300 ) ( 760380 * )
NEW met4 ( 759670 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 759390 ) ( * 759900 )
NEW met3 ( 1069730 759900 ) ( 1086060 * )
NEW met3 ( 1086060 759900 ) ( * 762620 0 )
NEW met1 ( 760610 470730 ) ( 948750 * )
NEW met1 ( 948750 759390 ) ( 1069730 * )
NEW met2 ( 948750 470730 ) ( * 759390 )
NEW met1 ( 760610 470730 ) M1M2_PR
NEW met2 ( 760610 470900 ) M2M3_PR
NEW met3 ( 760380 470900 ) M3M4_PR
NEW met1 ( 1069730 759390 ) M1M2_PR
NEW met2 ( 1069730 759900 ) M2M3_PR
NEW met1 ( 948750 470730 ) M1M2_PR
NEW met1 ( 948750 759390 ) M1M2_PR
NEW met3 ( 760610 470900 ) RECT ( 0 -150 390 150 ) ;
- oram_addr1\[5\] ( wb_openram_wrapper ram_addr1[5] ) ( openram_1kB addr1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 759730 ) ( * 763300 )
NEW met3 ( 1070190 763300 ) ( 1086060 * )
NEW met3 ( 1086060 763300 ) ( * 765340 0 )
NEW met2 ( 865950 453390 ) ( * 759730 )
NEW met4 ( 756700 474300 ) ( 757630 * )
NEW met4 ( 757630 474300 ) ( * 476000 0 )
NEW met1 ( 865950 759730 ) ( 1070190 * )
NEW met3 ( 756700 460700 ) ( 758310 * )
NEW met2 ( 758310 453390 ) ( * 460700 )
NEW met4 ( 756700 460700 ) ( * 474300 )
NEW met1 ( 758310 453390 ) ( 865950 * )
NEW met1 ( 865950 759730 ) M1M2_PR
NEW met1 ( 1070190 759730 ) M1M2_PR
NEW met2 ( 1070190 763300 ) M2M3_PR
NEW met1 ( 865950 453390 ) M1M2_PR
NEW met3 ( 756700 460700 ) M3M4_PR
NEW met2 ( 758310 460700 ) M2M3_PR
NEW met1 ( 758310 453390 ) M1M2_PR ;
- oram_addr1\[6\] ( wb_openram_wrapper ram_addr1[6] ) ( openram_1kB addr1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 766530 ) ( * 766700 )
NEW met3 ( 1069730 766700 ) ( 1086060 * )
NEW met3 ( 1086060 766700 ) ( * 768740 0 )
NEW met2 ( 956570 466990 ) ( * 766530 )
NEW met4 ( 758310 470900 ) ( 758540 * )
NEW met4 ( 758310 470900 ) ( * 476000 0 )
NEW met1 ( 956570 766530 ) ( 1069730 * )
NEW met3 ( 758540 467500 ) ( 758770 * )
NEW met2 ( 758770 466990 ) ( * 467500 )
NEW met4 ( 758540 467500 ) ( * 470900 )
NEW met1 ( 758770 466990 ) ( 956570 * )
NEW met1 ( 956570 766530 ) M1M2_PR
NEW met1 ( 1069730 766530 ) M1M2_PR
NEW met2 ( 1069730 766700 ) M2M3_PR
NEW met1 ( 956570 466990 ) M1M2_PR
NEW met3 ( 758540 467500 ) M3M4_PR
NEW met2 ( 758770 467500 ) M2M3_PR
NEW met1 ( 758770 466990 ) M1M2_PR
NEW met3 ( 758540 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_addr1\[7\] ( wb_openram_wrapper ram_addr1[7] ) ( openram_1kB addr1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 766190 ) ( * 769420 )
NEW met3 ( 1070190 769420 ) ( 1086060 * )
NEW met3 ( 1086060 769420 ) ( * 772140 0 )
NEW met2 ( 894470 453730 ) ( * 766190 )
NEW met3 ( 757620 472940 ) ( 758990 * )
NEW met4 ( 758990 472940 ) ( * 476000 0 )
NEW met1 ( 894470 766190 ) ( 1070190 * )
NEW met3 ( 757620 462060 ) ( 757850 * )
NEW met2 ( 757850 453730 ) ( * 462060 )
NEW met4 ( 757620 462060 ) ( * 472940 )
NEW met1 ( 757850 453730 ) ( 894470 * )
NEW met1 ( 894470 766190 ) M1M2_PR
NEW met1 ( 1070190 766190 ) M1M2_PR
NEW met2 ( 1070190 769420 ) M2M3_PR
NEW met1 ( 894470 453730 ) M1M2_PR
NEW met3 ( 757620 472940 ) M3M4_PR
NEW met3 ( 758990 472940 ) M3M4_PR
NEW met3 ( 757620 462060 ) M3M4_PR
NEW met2 ( 757850 462060 ) M2M3_PR
NEW met1 ( 757850 453730 ) M1M2_PR
NEW met3 ( 757620 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_clk0 ( wb_openram_wrapper ram_clk0 ) ( openram_1kB clk0 ) + USE SIGNAL
+ ROUTED met4 ( 373430 474300 ) ( 373980 * )
NEW met4 ( 373430 474300 ) ( * 476000 0 )
NEW met3 ( 1072950 479060 ) ( 1086060 * )
NEW met3 ( 1086060 479060 ) ( * 481780 0 )
NEW met3 ( 373980 462060 ) ( 374210 * )
NEW met2 ( 374210 455770 ) ( * 462060 )
NEW met4 ( 373980 462060 ) ( * 474300 )
NEW met2 ( 1072950 434690 ) ( * 479060 )
NEW met2 ( 445050 434690 ) ( * 455770 )
NEW met1 ( 374210 455770 ) ( 445050 * )
NEW met1 ( 445050 434690 ) ( 1072950 * )
NEW met2 ( 1072950 479060 ) M2M3_PR
NEW met3 ( 373980 462060 ) M3M4_PR
NEW met2 ( 374210 462060 ) M2M3_PR
NEW met1 ( 374210 455770 ) M1M2_PR
NEW met1 ( 1072950 434690 ) M1M2_PR
NEW met1 ( 445050 455770 ) M1M2_PR
NEW met1 ( 445050 434690 ) M1M2_PR
NEW met3 ( 373980 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_clk1 ( wb_openram_wrapper ram_clk1 ) ( openram_1kB clk1 ) + USE SIGNAL
+ ROUTED met4 ( 794350 872470 0 ) ( * 875500 )
NEW met4 ( 794350 875500 ) ( 794420 * )
NEW met4 ( 794420 875500 ) ( * 877540 )
NEW met3 ( 794420 877540 ) ( 794650 * )
NEW met2 ( 794650 877540 ) ( * 881110 )
NEW met1 ( 1059610 745110 ) ( 1069730 * )
NEW met2 ( 1069730 744260 ) ( * 745110 )
NEW met3 ( 1069730 744260 ) ( 1086060 * )
NEW met3 ( 1086060 742220 0 ) ( * 744260 )
NEW met2 ( 1059610 745110 ) ( * 881110 )
NEW met1 ( 794650 881110 ) ( 1059610 * )
NEW met3 ( 794420 877540 ) M3M4_PR
NEW met2 ( 794650 877540 ) M2M3_PR
NEW met1 ( 794650 881110 ) M1M2_PR
NEW met1 ( 1059610 881110 ) M1M2_PR
NEW met1 ( 1059610 745110 ) M1M2_PR
NEW met1 ( 1069730 745110 ) M1M2_PR
NEW met2 ( 1069730 744260 ) M2M3_PR
NEW met3 ( 794420 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_csb0 ( wb_openram_wrapper ram_csb0 ) ( openram_1kB csb0 ) + USE SIGNAL
+ ROUTED met3 ( 1073410 483140 ) ( 1086060 * )
NEW met3 ( 1086060 483140 ) ( * 484500 0 )
NEW met2 ( 1073410 441490 ) ( * 483140 )
NEW met3 ( 338790 503540 ) ( 340860 * )
NEW met3 ( 340860 503540 ) ( * 503570 )
NEW met3 ( 340860 503570 ) ( 344080 * 0 )
NEW met2 ( 338790 441490 ) ( * 503540 )
NEW met1 ( 338790 441490 ) ( 1073410 * )
NEW met2 ( 1073410 483140 ) M2M3_PR
NEW met1 ( 1073410 441490 ) M1M2_PR
NEW met2 ( 338790 503540 ) M2M3_PR
NEW met1 ( 338790 441490 ) M1M2_PR ;
- oram_csb1 ( wb_openram_wrapper ram_csb1 ) ( openram_1kB csb1 ) + USE SIGNAL
+ ROUTED met2 ( 1070190 747660 ) ( * 751910 )
NEW met3 ( 1070190 747660 ) ( 1086060 * )
NEW met3 ( 1086060 745620 0 ) ( * 747660 )
NEW met3 ( 823620 857820 ) ( 838350 * )
NEW met3 ( 823620 857820 ) ( * 857850 0 )
NEW met2 ( 838350 755310 ) ( * 857820 )
NEW met2 ( 922990 751910 ) ( * 755310 )
NEW met1 ( 838350 755310 ) ( 922990 * )
NEW met1 ( 922990 751910 ) ( 1070190 * )
NEW met1 ( 1070190 751910 ) M1M2_PR
NEW met2 ( 1070190 747660 ) M2M3_PR
NEW met2 ( 838350 857820 ) M2M3_PR
NEW met1 ( 838350 755310 ) M1M2_PR
NEW met1 ( 922990 755310 ) M1M2_PR
NEW met1 ( 922990 751910 ) M1M2_PR ;
- oram_din0\[0\] ( wb_openram_wrapper ram_din0[0] ) ( openram_1kB din0[0] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 524790 ) ( * 528700 )
NEW met3 ( 1070190 528700 ) ( 1086060 * )
NEW met3 ( 1086060 528700 ) ( * 530740 0 )
NEW met4 ( 450950 474300 ) ( 451260 * )
NEW met4 ( 450950 474300 ) ( * 476000 0 )
NEW met3 ( 451260 458660 ) ( 453790 * )
NEW met2 ( 453790 447610 ) ( * 458660 )
NEW met4 ( 451260 458660 ) ( * 474300 )
NEW met1 ( 453790 447610 ) ( 943230 * )
NEW met2 ( 943230 447610 ) ( * 524790 )
NEW met1 ( 943230 524790 ) ( 1070190 * )
NEW met1 ( 1070190 524790 ) M1M2_PR
NEW met2 ( 1070190 528700 ) M2M3_PR
NEW met3 ( 451260 458660 ) M3M4_PR
NEW met2 ( 453790 458660 ) M2M3_PR
NEW met1 ( 453790 447610 ) M1M2_PR
NEW met1 ( 943230 447610 ) M1M2_PR
NEW met1 ( 943230 524790 ) M1M2_PR ;
- oram_din0\[10\] ( wb_openram_wrapper ram_din0[10] ) ( openram_1kB din0[10] ) + USE SIGNAL
+ ROUTED met4 ( 509220 474300 ) ( 509430 * )
NEW met4 ( 509430 474300 ) ( * 476000 0 )
NEW met3 ( 509220 456620 ) ( 509450 * )
NEW met2 ( 509450 427210 ) ( * 456620 )
NEW met4 ( 509220 456620 ) ( * 474300 )
NEW met3 ( 1067430 561340 ) ( 1086060 * )
NEW met3 ( 1086060 561340 ) ( * 564060 0 )
NEW met2 ( 1067430 427210 ) ( * 561340 )
NEW met1 ( 509450 427210 ) ( 1067430 * )
NEW met3 ( 509220 456620 ) M3M4_PR
NEW met2 ( 509450 456620 ) M2M3_PR
NEW met1 ( 509450 427210 ) M1M2_PR
NEW met1 ( 1067430 427210 ) M1M2_PR
NEW met2 ( 1067430 561340 ) M2M3_PR
NEW met3 ( 509220 456620 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[11\] ( wb_openram_wrapper ram_din0[11] ) ( openram_1kB din0[11] ) + USE SIGNAL
+ ROUTED met4 ( 514740 474300 ) ( 514870 * )
NEW met4 ( 514870 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 565930 ) ( * 566100 )
NEW met3 ( 1069730 566100 ) ( 1086060 * )
NEW met3 ( 1086060 566100 ) ( * 567460 0 )
NEW met4 ( 514740 469200 ) ( * 474300 )
NEW met4 ( 513820 469200 ) ( 514740 * )
NEW met4 ( 513820 461380 ) ( * 469200 )
NEW met3 ( 513820 461380 ) ( 516350 * )
NEW met2 ( 516350 454070 ) ( * 461380 )
NEW met1 ( 839730 565930 ) ( 1069730 * )
NEW met1 ( 516350 454070 ) ( 839730 * )
NEW met2 ( 839730 454070 ) ( * 565930 )
NEW met1 ( 1069730 565930 ) M1M2_PR
NEW met2 ( 1069730 566100 ) M2M3_PR
NEW met3 ( 513820 461380 ) M3M4_PR
NEW met2 ( 516350 461380 ) M2M3_PR
NEW met1 ( 516350 454070 ) M1M2_PR
NEW met1 ( 839730 565930 ) M1M2_PR
NEW met1 ( 839730 454070 ) M1M2_PR ;
- oram_din0\[12\] ( wb_openram_wrapper ram_din0[12] ) ( openram_1kB din0[12] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 566270 ) ( * 568140 )
NEW met3 ( 1070190 568140 ) ( 1086060 * )
NEW met3 ( 1086060 568140 ) ( * 570860 0 )
NEW met2 ( 977270 440810 ) ( * 566270 )
NEW met4 ( 521180 474300 ) ( 521670 * )
NEW met4 ( 521670 474300 ) ( * 476000 0 )
NEW met1 ( 977270 566270 ) ( 1070190 * )
NEW met3 ( 521180 455940 ) ( 521410 * )
NEW met2 ( 521410 440810 ) ( * 455940 )
NEW met4 ( 521180 455940 ) ( * 474300 )
NEW met1 ( 521410 440810 ) ( 977270 * )
NEW met1 ( 977270 566270 ) M1M2_PR
NEW met1 ( 1070190 566270 ) M1M2_PR
NEW met2 ( 1070190 568140 ) M2M3_PR
NEW met1 ( 977270 440810 ) M1M2_PR
NEW met3 ( 521180 455940 ) M3M4_PR
NEW met2 ( 521410 455940 ) M2M3_PR
NEW met1 ( 521410 440810 ) M1M2_PR
NEW met3 ( 521180 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[13\] ( wb_openram_wrapper ram_din0[13] ) ( openram_1kB din0[13] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 572730 ) ( * 572900 )
NEW met3 ( 1069730 572900 ) ( 1086060 * )
NEW met3 ( 1086060 572900 ) ( * 574260 0 )
NEW met4 ( 527110 474300 ) ( 527620 * )
NEW met4 ( 527110 474300 ) ( * 476000 0 )
NEW met1 ( 530610 420070 ) ( 838810 * )
NEW met1 ( 838810 572730 ) ( 1069730 * )
NEW met3 ( 527620 456620 ) ( 530610 * )
NEW met4 ( 527620 456620 ) ( * 474300 )
NEW met2 ( 530610 420070 ) ( * 456620 )
NEW met2 ( 838810 420070 ) ( * 572730 )
NEW met1 ( 1069730 572730 ) M1M2_PR
NEW met2 ( 1069730 572900 ) M2M3_PR
NEW met1 ( 530610 420070 ) M1M2_PR
NEW met1 ( 838810 420070 ) M1M2_PR
NEW met1 ( 838810 572730 ) M1M2_PR
NEW met3 ( 527620 456620 ) M3M4_PR
NEW met2 ( 530610 456620 ) M2M3_PR ;
- oram_din0\[14\] ( wb_openram_wrapper ram_din0[14] ) ( openram_1kB din0[14] ) + USE SIGNAL
+ ROUTED met1 ( 1059610 573070 ) ( 1070190 * )
NEW met2 ( 1070190 573070 ) ( * 574940 )
NEW met3 ( 1070190 574940 ) ( 1086060 * )
NEW met3 ( 1086060 574940 ) ( * 576980 0 )
NEW met2 ( 1059610 413950 ) ( * 573070 )
NEW met4 ( 532220 474300 ) ( 532550 * )
NEW met4 ( 532550 474300 ) ( * 476000 0 )
NEW met1 ( 537970 413950 ) ( 1059610 * )
NEW met3 ( 532220 456620 ) ( 537970 * )
NEW met4 ( 532220 456620 ) ( * 474300 )
NEW met2 ( 537970 413950 ) ( * 456620 )
NEW met1 ( 1059610 413950 ) M1M2_PR
NEW met1 ( 1059610 573070 ) M1M2_PR
NEW met1 ( 1070190 573070 ) M1M2_PR
NEW met2 ( 1070190 574940 ) M2M3_PR
NEW met1 ( 537970 413950 ) M1M2_PR
NEW met3 ( 532220 456620 ) M3M4_PR
NEW met2 ( 537970 456620 ) M2M3_PR ;
- oram_din0\[15\] ( wb_openram_wrapper ram_din0[15] ) ( openram_1kB din0[15] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 579700 ) ( * 579870 )
NEW met3 ( 1069730 579700 ) ( 1084220 * )
NEW met3 ( 1084220 579020 ) ( * 579700 )
NEW met3 ( 1084220 579020 ) ( 1086980 * )
NEW met3 ( 1086980 579020 ) ( * 580380 0 )
NEW met4 ( 538660 474300 ) ( 538670 * )
NEW met4 ( 538670 474300 ) ( * 476000 0 )
NEW met1 ( 846170 579870 ) ( 1069730 * )
NEW met3 ( 538660 455940 ) ( 539810 * )
NEW met2 ( 539810 433330 ) ( * 455940 )
NEW met4 ( 538660 455940 ) ( * 474300 )
NEW met1 ( 539810 433330 ) ( 846170 * )
NEW met2 ( 846170 433330 ) ( * 579870 )
NEW met1 ( 1069730 579870 ) M1M2_PR
NEW met2 ( 1069730 579700 ) M2M3_PR
NEW met1 ( 846170 579870 ) M1M2_PR
NEW met3 ( 538660 455940 ) M3M4_PR
NEW met2 ( 539810 455940 ) M2M3_PR
NEW met1 ( 539810 433330 ) M1M2_PR
NEW met1 ( 846170 433330 ) M1M2_PR ;
- oram_din0\[16\] ( wb_openram_wrapper ram_din0[16] ) ( openram_1kB din0[16] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 580210 ) ( * 581060 )
NEW met3 ( 1070190 581060 ) ( 1086060 * )
NEW met3 ( 1086060 581060 ) ( * 583780 0 )
NEW met2 ( 874230 465970 ) ( * 580210 )
NEW met4 ( 545470 474300 ) ( 546020 * )
NEW met4 ( 545470 474300 ) ( * 476000 0 )
NEW met1 ( 874230 580210 ) ( 1070190 * )
NEW met3 ( 546020 467500 ) ( 546250 * )
NEW met2 ( 546250 465970 ) ( * 467500 )
NEW met4 ( 546020 467500 ) ( * 474300 )
NEW met1 ( 546250 465970 ) ( 874230 * )
NEW met1 ( 874230 580210 ) M1M2_PR
NEW met1 ( 1070190 580210 ) M1M2_PR
NEW met2 ( 1070190 581060 ) M2M3_PR
NEW met1 ( 874230 465970 ) M1M2_PR
NEW met3 ( 546020 467500 ) M3M4_PR
NEW met2 ( 546250 467500 ) M2M3_PR
NEW met1 ( 546250 465970 ) M1M2_PR
NEW met3 ( 546020 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[17\] ( wb_openram_wrapper ram_din0[17] ) ( openram_1kB din0[17] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 586500 ) ( * 586670 )
NEW met3 ( 1069730 586500 ) ( 1084220 * )
NEW met3 ( 1084220 585820 ) ( * 586500 )
NEW met3 ( 1084220 585820 ) ( 1086980 * )
NEW met3 ( 1086980 585820 ) ( * 587180 0 )
NEW met4 ( 550620 474300 ) ( 550910 * )
NEW met4 ( 550910 474300 ) ( * 476000 0 )
NEW met1 ( 852150 586670 ) ( 1069730 * )
NEW met3 ( 550620 466140 ) ( 550850 * )
NEW met2 ( 550850 447950 ) ( * 466140 )
NEW met4 ( 550620 466140 ) ( * 474300 )
NEW met1 ( 550850 447950 ) ( 852150 * )
NEW met2 ( 852150 447950 ) ( * 586670 )
NEW met1 ( 1069730 586670 ) M1M2_PR
NEW met2 ( 1069730 586500 ) M2M3_PR
NEW met1 ( 852150 586670 ) M1M2_PR
NEW met3 ( 550620 466140 ) M3M4_PR
NEW met2 ( 550850 466140 ) M2M3_PR
NEW met1 ( 550850 447950 ) M1M2_PR
NEW met1 ( 852150 447950 ) M1M2_PR
NEW met3 ( 550620 466140 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[18\] ( wb_openram_wrapper ram_din0[18] ) ( openram_1kB din0[18] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 587010 ) ( * 587860 )
NEW met3 ( 1070190 587860 ) ( 1086060 * )
NEW met3 ( 1086060 587860 ) ( * 590580 0 )
NEW met2 ( 984630 406810 ) ( * 587010 )
NEW met4 ( 556140 474300 ) ( 556350 * )
NEW met4 ( 556350 474300 ) ( * 476000 0 )
NEW met1 ( 558670 406810 ) ( 984630 * )
NEW met1 ( 984630 587010 ) ( 1070190 * )
NEW met3 ( 556140 455940 ) ( 558670 * )
NEW met4 ( 556140 455940 ) ( * 474300 )
NEW met2 ( 558670 406810 ) ( * 455940 )
NEW met1 ( 984630 406810 ) M1M2_PR
NEW met1 ( 984630 587010 ) M1M2_PR
NEW met1 ( 1070190 587010 ) M1M2_PR
NEW met2 ( 1070190 587860 ) M2M3_PR
NEW met1 ( 558670 406810 ) M1M2_PR
NEW met3 ( 556140 455940 ) M3M4_PR
NEW met2 ( 558670 455940 ) M2M3_PR ;
- oram_din0\[19\] ( wb_openram_wrapper ram_din0[19] ) ( openram_1kB din0[19] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 593810 ) ( * 594660 )
NEW met3 ( 1069730 594660 ) ( 1086060 * )
NEW met3 ( 1086060 593980 0 ) ( * 594660 )
NEW met2 ( 561890 469710 ) ( * 470220 )
NEW met3 ( 561660 470220 ) ( 561890 * )
NEW met4 ( 561660 470220 ) ( * 474300 )
NEW met4 ( 561660 474300 ) ( 561790 * )
NEW met4 ( 561790 474300 ) ( * 476000 0 )
NEW met1 ( 561890 469710 ) ( 928510 * )
NEW met1 ( 928510 593810 ) ( 1069730 * )
NEW met2 ( 928510 469710 ) ( * 593810 )
NEW met1 ( 1069730 593810 ) M1M2_PR
NEW met2 ( 1069730 594660 ) M2M3_PR
NEW met1 ( 561890 469710 ) M1M2_PR
NEW met2 ( 561890 470220 ) M2M3_PR
NEW met3 ( 561660 470220 ) M3M4_PR
NEW met1 ( 928510 469710 ) M1M2_PR
NEW met1 ( 928510 593810 ) M1M2_PR
NEW met3 ( 561890 470220 ) RECT ( 0 -150 390 150 ) ;
- oram_din0\[1\] ( wb_openram_wrapper ram_din0[1] ) ( openram_1kB din0[1] ) + USE SIGNAL
+ ROUTED met2 ( 880210 399670 ) ( * 531930 )
NEW met2 ( 1069730 531930 ) ( * 532100 )
NEW met3 ( 1069730 532100 ) ( 1086060 * )
NEW met3 ( 1086060 532100 ) ( * 534140 0 )
NEW met4 ( 456780 474300 ) ( 457070 * )
NEW met4 ( 457070 474300 ) ( * 476000 0 )
NEW met1 ( 462070 399670 ) ( 880210 * )
NEW met3 ( 456780 455940 ) ( 462070 * )
NEW met4 ( 456780 455940 ) ( * 474300 )
NEW met2 ( 462070 399670 ) ( * 455940 )
NEW met1 ( 880210 531930 ) ( 1069730 * )
NEW met1 ( 880210 399670 ) M1M2_PR
NEW met1 ( 880210 531930 ) M1M2_PR
NEW met1 ( 1069730 531930 ) M1M2_PR
NEW met2 ( 1069730 532100 ) M2M3_PR
NEW met1 ( 462070 399670 ) M1M2_PR
NEW met3 ( 456780 455940 ) M3M4_PR
NEW met2 ( 462070 455940 ) M2M3_PR ;
- oram_din0\[20\] ( wb_openram_wrapper ram_din0[20] ) ( openram_1kB din0[20] ) + USE SIGNAL
+ ROUTED met4 ( 567180 474300 ) ( 568590 * )
NEW met4 ( 568590 474300 ) ( * 476000 0 )
NEW met2 ( 1070650 593470 ) ( * 595340 )
NEW met3 ( 1070650 595340 ) ( 1086060 * )
NEW met3 ( 1086060 595340 ) ( * 597380 0 )
NEW met4 ( 567180 469200 ) ( * 474300 )
NEW met4 ( 566260 469200 ) ( 567180 * )
NEW met4 ( 566260 455940 ) ( * 469200 )
NEW met3 ( 566260 455940 ) ( 566950 * )
NEW met2 ( 566950 426870 ) ( * 455940 )
NEW met2 ( 887570 426870 ) ( * 593470 )
NEW met1 ( 887570 593470 ) ( 1070650 * )
NEW met1 ( 566950 426870 ) ( 887570 * )
NEW met1 ( 887570 593470 ) M1M2_PR
NEW met1 ( 1070650 593470 ) M1M2_PR
NEW met2 ( 1070650 595340 ) M2M3_PR
NEW met3 ( 566260 455940 ) M3M4_PR
NEW met2 ( 566950 455940 ) M2M3_PR
NEW met1 ( 566950 426870 ) M1M2_PR
NEW met1 ( 887570 426870 ) M1M2_PR ;
- oram_din0\[21\] ( wb_openram_wrapper ram_din0[21] ) ( openram_1kB din0[21] ) + USE SIGNAL
+ ROUTED met4 ( 574030 474300 ) ( 574540 * )
NEW met4 ( 574030 474300 ) ( * 476000 0 )
NEW met2 ( 1070190 594150 ) ( * 598060 )
NEW met3 ( 1070190 598060 ) ( 1086060 * )
NEW met3 ( 1086060 598060 ) ( * 600100 0 )
NEW met3 ( 574540 462060 ) ( 574770 * )
NEW met2 ( 574770 454410 ) ( * 462060 )
NEW met4 ( 574540 462060 ) ( * 474300 )
NEW met2 ( 969910 454410 ) ( * 594150 )
NEW met1 ( 969910 594150 ) ( 1070190 * )
NEW met1 ( 574770 454410 ) ( 969910 * )
NEW met1 ( 969910 594150 ) M1M2_PR
NEW met1 ( 1070190 594150 ) M1M2_PR
NEW met2 ( 1070190 598060 ) M2M3_PR
NEW met3 ( 574540 462060 ) M3M4_PR
NEW met2 ( 574770 462060 ) M2M3_PR
NEW met1 ( 574770 454410 ) M1M2_PR
NEW met1 ( 969910 454410 ) M1M2_PR
NEW met3 ( 574540 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[22\] ( wb_openram_wrapper ram_din0[22] ) ( openram_1kB din0[22] ) + USE SIGNAL
+ ROUTED met4 ( 579140 474300 ) ( 579470 * )
NEW met4 ( 579470 474300 ) ( * 476000 0 )
NEW met1 ( 1052710 600950 ) ( 1070190 * )
NEW met2 ( 1070190 600950 ) ( * 601460 )
NEW met3 ( 1070190 601460 ) ( 1086060 * )
NEW met3 ( 1086060 601460 ) ( * 603500 0 )
NEW met3 ( 578910 455940 ) ( 579140 * )
NEW met2 ( 578910 393210 ) ( * 455940 )
NEW met4 ( 579140 455940 ) ( * 474300 )
NEW met2 ( 1052710 393210 ) ( * 600950 )
NEW met1 ( 578910 393210 ) ( 1052710 * )
NEW met1 ( 578910 393210 ) M1M2_PR
NEW met1 ( 1052710 393210 ) M1M2_PR
NEW met1 ( 1052710 600950 ) M1M2_PR
NEW met1 ( 1070190 600950 ) M1M2_PR
NEW met2 ( 1070190 601460 ) M2M3_PR
NEW met2 ( 578910 455940 ) M2M3_PR
NEW met3 ( 579140 455940 ) M3M4_PR
NEW met3 ( 578910 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[23\] ( wb_openram_wrapper ram_din0[23] ) ( openram_1kB din0[23] ) + USE SIGNAL
+ ROUTED met4 ( 585580 474300 ) ( 585590 * )
NEW met4 ( 585590 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 600610 ) ( * 604180 )
NEW met3 ( 1069730 604180 ) ( 1086060 * )
NEW met3 ( 1086060 604180 ) ( * 606900 0 )
NEW met3 ( 585580 455940 ) ( 585810 * )
NEW met2 ( 585810 432990 ) ( * 455940 )
NEW met4 ( 585580 455940 ) ( * 474300 )
NEW met2 ( 880670 432990 ) ( * 600610 )
NEW met1 ( 880670 600610 ) ( 1069730 * )
NEW met1 ( 585810 432990 ) ( 880670 * )
NEW met1 ( 880670 600610 ) M1M2_PR
NEW met1 ( 1069730 600610 ) M1M2_PR
NEW met2 ( 1069730 604180 ) M2M3_PR
NEW met3 ( 585580 455940 ) M3M4_PR
NEW met2 ( 585810 455940 ) M2M3_PR
NEW met1 ( 585810 432990 ) M1M2_PR
NEW met1 ( 880670 432990 ) M1M2_PR
NEW met3 ( 585580 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[24\] ( wb_openram_wrapper ram_din0[24] ) ( openram_1kB din0[24] ) + USE SIGNAL
+ ROUTED met4 ( 591030 474300 ) ( 591100 * )
NEW met4 ( 591030 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 607410 ) ( * 607580 )
NEW met3 ( 1069730 607580 ) ( 1086060 * )
NEW met3 ( 1086060 607580 ) ( * 610300 0 )
NEW met3 ( 591100 455940 ) ( 593170 * )
NEW met4 ( 591100 455940 ) ( * 474300 )
NEW met2 ( 593170 419730 ) ( * 455940 )
NEW met2 ( 901370 419730 ) ( * 607410 )
NEW met1 ( 593170 419730 ) ( 901370 * )
NEW met1 ( 901370 607410 ) ( 1069730 * )
NEW met1 ( 593170 419730 ) M1M2_PR
NEW met1 ( 901370 419730 ) M1M2_PR
NEW met1 ( 901370 607410 ) M1M2_PR
NEW met1 ( 1069730 607410 ) M1M2_PR
NEW met2 ( 1069730 607580 ) M2M3_PR
NEW met3 ( 591100 455940 ) M3M4_PR
NEW met2 ( 593170 455940 ) M2M3_PR ;
- oram_din0\[25\] ( wb_openram_wrapper ram_din0[25] ) ( openram_1kB din0[25] ) + USE SIGNAL
+ ROUTED met4 ( 597830 474300 ) ( 598460 * )
NEW met4 ( 597830 474300 ) ( * 476000 0 )
NEW met2 ( 1070190 607750 ) ( * 610980 )
NEW met3 ( 1070190 610980 ) ( 1086060 * )
NEW met3 ( 1086060 610980 ) ( * 613700 0 )
NEW met3 ( 598460 455940 ) ( 600070 * )
NEW met4 ( 598460 455940 ) ( * 474300 )
NEW met2 ( 600070 413610 ) ( * 455940 )
NEW met2 ( 991070 413610 ) ( * 607750 )
NEW met1 ( 600070 413610 ) ( 991070 * )
NEW met1 ( 991070 607750 ) ( 1070190 * )
NEW met1 ( 600070 413610 ) M1M2_PR
NEW met1 ( 991070 413610 ) M1M2_PR
NEW met1 ( 991070 607750 ) M1M2_PR
NEW met1 ( 1070190 607750 ) M1M2_PR
NEW met2 ( 1070190 610980 ) M2M3_PR
NEW met3 ( 598460 455940 ) M3M4_PR
NEW met2 ( 600070 455940 ) M2M3_PR ;
- oram_din0\[26\] ( wb_openram_wrapper ram_din0[26] ) ( openram_1kB din0[26] ) + USE SIGNAL
+ ROUTED met4 ( 603060 474300 ) ( 603270 * )
NEW met4 ( 603270 474300 ) ( * 476000 0 )
NEW met3 ( 603060 456620 ) ( 603750 * )
NEW met2 ( 603750 440470 ) ( * 456620 )
NEW met4 ( 603060 456620 ) ( * 474300 )
NEW met2 ( 894930 440470 ) ( * 614550 )
NEW met2 ( 1069730 614550 ) ( * 615740 )
NEW met3 ( 1069730 615740 ) ( 1086060 * )
NEW met3 ( 1086060 615740 ) ( * 617100 0 )
NEW met1 ( 603750 440470 ) ( 894930 * )
NEW met1 ( 894930 614550 ) ( 1069730 * )
NEW met3 ( 603060 456620 ) M3M4_PR
NEW met2 ( 603750 456620 ) M2M3_PR
NEW met1 ( 603750 440470 ) M1M2_PR
NEW met1 ( 894930 440470 ) M1M2_PR
NEW met1 ( 894930 614550 ) M1M2_PR
NEW met1 ( 1069730 614550 ) M1M2_PR
NEW met2 ( 1069730 615740 ) M2M3_PR ;
- oram_din0\[27\] ( wb_openram_wrapper ram_din0[27] ) ( openram_1kB din0[27] ) + USE SIGNAL
+ ROUTED met4 ( 608580 474300 ) ( 608710 * )
NEW met4 ( 608710 474300 ) ( * 476000 0 )
NEW met3 ( 608580 467500 ) ( 608810 * )
NEW met2 ( 608810 466650 ) ( * 467500 )
NEW met4 ( 608580 467500 ) ( * 474300 )
NEW met2 ( 1070190 614210 ) ( * 617780 )
NEW met3 ( 1070190 617780 ) ( 1086060 * )
NEW met3 ( 1086060 617780 ) ( * 620500 0 )
NEW met1 ( 608810 466650 ) ( 839270 * )
NEW met2 ( 839270 466650 ) ( * 614210 )
NEW met1 ( 839270 614210 ) ( 1070190 * )
NEW met3 ( 608580 467500 ) M3M4_PR
NEW met2 ( 608810 467500 ) M2M3_PR
NEW met1 ( 608810 466650 ) M1M2_PR
NEW met1 ( 1070190 614210 ) M1M2_PR
NEW met2 ( 1070190 617780 ) M2M3_PR
NEW met1 ( 839270 466650 ) M1M2_PR
NEW met1 ( 839270 614210 ) M1M2_PR
NEW met3 ( 608580 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_din0\[28\] ( wb_openram_wrapper ram_din0[28] ) ( openram_1kB din0[28] ) + USE SIGNAL
+ ROUTED met4 ( 613180 460700 ) ( * 469200 )
NEW met2 ( 997510 447270 ) ( * 621350 )
NEW met2 ( 1069730 621350 ) ( * 621860 )
NEW met3 ( 1069730 621860 ) ( 1086060 * )
NEW met3 ( 1086060 621860 ) ( * 623220 0 )
NEW met4 ( 614150 474300 ) ( 615020 * )
NEW met4 ( 614150 474300 ) ( * 476000 0 )
NEW met4 ( 613180 460700 ) ( 614100 * )
NEW met4 ( 615020 469200 ) ( * 474300 )
NEW met3 ( 614100 460700 ) ( 614330 * )
NEW met2 ( 614330 447270 ) ( * 460700 )
NEW met4 ( 613180 469200 ) ( 615020 * )
NEW met1 ( 614330 447270 ) ( 997510 * )
NEW met1 ( 997510 621350 ) ( 1069730 * )
NEW met1 ( 997510 447270 ) M1M2_PR
NEW met1 ( 997510 621350 ) M1M2_PR
NEW met1 ( 1069730 621350 ) M1M2_PR
NEW met2 ( 1069730 621860 ) M2M3_PR
NEW met3 ( 614100 460700 ) M3M4_PR
NEW met2 ( 614330 460700 ) M2M3_PR
NEW met1 ( 614330 447270 ) M1M2_PR
NEW met3 ( 614330 460700 ) RECT ( 0 -150 390 150 ) ;
- oram_din0\[29\] ( wb_openram_wrapper ram_din0[29] ) ( openram_1kB din0[29] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 621010 ) ( * 623900 )
NEW met3 ( 1070190 623900 ) ( 1086060 * )
NEW met3 ( 1086060 623900 ) ( * 626620 0 )
NEW met4 ( 620270 474300 ) ( 620540 * )
NEW met4 ( 620270 474300 ) ( * 476000 0 )
NEW met3 ( 620310 456620 ) ( 620540 * )
NEW met2 ( 620310 426190 ) ( * 456620 )
NEW met4 ( 620540 456620 ) ( * 474300 )
NEW met1 ( 620310 426190 ) ( 853070 * )
NEW met2 ( 853070 426190 ) ( * 621010 )
NEW met1 ( 853070 621010 ) ( 1070190 * )
NEW met1 ( 1070190 621010 ) M1M2_PR
NEW met2 ( 1070190 623900 ) M2M3_PR
NEW met3 ( 620540 456620 ) M3M4_PR
NEW met2 ( 620310 456620 ) M2M3_PR
NEW met1 ( 620310 426190 ) M1M2_PR
NEW met1 ( 853070 426190 ) M1M2_PR
NEW met1 ( 853070 621010 ) M1M2_PR
NEW met3 ( 620540 456620 ) RECT ( 0 -150 390 150 ) ;
- oram_din0\[2\] ( wb_openram_wrapper ram_din0[2] ) ( openram_1kB din0[2] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 531590 ) ( * 534820 )
NEW met3 ( 1070190 534820 ) ( 1086060 * )
NEW met3 ( 1086060 534820 ) ( * 537540 0 )
NEW met4 ( 462510 474300 ) ( 463220 * )
NEW met4 ( 462510 474300 ) ( * 476000 0 )
NEW met1 ( 468970 405790 ) ( 838350 * )
NEW met3 ( 463220 455940 ) ( 468970 * )
NEW met4 ( 463220 455940 ) ( * 474300 )
NEW met2 ( 468970 405790 ) ( * 455940 )
NEW met2 ( 838350 405790 ) ( * 531590 )
NEW met1 ( 838350 531590 ) ( 1070190 * )
NEW met1 ( 1070190 531590 ) M1M2_PR
NEW met2 ( 1070190 534820 ) M2M3_PR
NEW met1 ( 468970 405790 ) M1M2_PR
NEW met1 ( 838350 405790 ) M1M2_PR
NEW met3 ( 463220 455940 ) M3M4_PR
NEW met2 ( 468970 455940 ) M2M3_PR
NEW met1 ( 838350 531590 ) M1M2_PR ;
- oram_din0\[30\] ( wb_openram_wrapper ram_din0[30] ) ( openram_1kB din0[30] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 628490 ) ( * 628660 )
NEW met3 ( 1069730 628660 ) ( 1086060 * )
NEW met3 ( 1086060 628660 ) ( * 630020 0 )
NEW met4 ( 626980 474300 ) ( 627070 * )
NEW met4 ( 627070 474300 ) ( * 476000 0 )
NEW met1 ( 627670 399330 ) ( 1011770 * )
NEW met3 ( 626980 455940 ) ( 627670 * )
NEW met4 ( 626980 455940 ) ( * 474300 )
NEW met2 ( 627670 399330 ) ( * 455940 )
NEW met2 ( 1011770 399330 ) ( * 628490 )
NEW met1 ( 1011770 628490 ) ( 1069730 * )
NEW met1 ( 1069730 628490 ) M1M2_PR
NEW met2 ( 1069730 628660 ) M2M3_PR
NEW met1 ( 627670 399330 ) M1M2_PR
NEW met1 ( 1011770 399330 ) M1M2_PR
NEW met3 ( 626980 455940 ) M3M4_PR
NEW met2 ( 627670 455940 ) M2M3_PR
NEW met1 ( 1011770 628490 ) M1M2_PR ;
- oram_din0\[31\] ( wb_openram_wrapper ram_din0[31] ) ( openram_1kB din0[31] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 628150 ) ( * 630700 )
NEW met3 ( 1070190 630700 ) ( 1086060 * )
NEW met3 ( 1086060 630700 ) ( * 633420 0 )
NEW met2 ( 632730 470390 ) ( * 470900 )
NEW met3 ( 632500 470900 ) ( 632730 * )
NEW met4 ( 632500 470900 ) ( * 474300 )
NEW met4 ( 632500 474300 ) ( 632510 * )
NEW met4 ( 632510 474300 ) ( * 476000 0 )
NEW met1 ( 632730 470390 ) ( 845710 * )
NEW met2 ( 845710 470390 ) ( * 628150 )
NEW met1 ( 845710 628150 ) ( 1070190 * )
NEW met1 ( 1070190 628150 ) M1M2_PR
NEW met2 ( 1070190 630700 ) M2M3_PR
NEW met1 ( 632730 470390 ) M1M2_PR
NEW met2 ( 632730 470900 ) M2M3_PR
NEW met3 ( 632500 470900 ) M3M4_PR
NEW met1 ( 845710 470390 ) M1M2_PR
NEW met1 ( 845710 628150 ) M1M2_PR
NEW met3 ( 632730 470900 ) RECT ( 0 -150 390 150 ) ;
- oram_din0\[3\] ( wb_openram_wrapper ram_din0[3] ) ( openram_1kB din0[3] ) + USE SIGNAL
+ ROUTED met4 ( 469310 474300 ) ( 469660 * )
NEW met4 ( 469310 474300 ) ( * 476000 0 )
NEW met3 ( 469660 456620 ) ( 475410 * )
NEW met4 ( 469660 456620 ) ( * 474300 )
NEW met2 ( 475410 413270 ) ( * 456620 )
NEW met2 ( 1069730 538390 ) ( * 539580 )
NEW met3 ( 1069730 539580 ) ( 1086060 * )
NEW met3 ( 1086060 539580 ) ( * 540940 0 )
NEW met1 ( 475410 413270 ) ( 853530 * )
NEW met2 ( 853530 413270 ) ( * 538390 )
NEW met1 ( 853530 538390 ) ( 1069730 * )
NEW met1 ( 475410 413270 ) M1M2_PR
NEW met3 ( 469660 456620 ) M3M4_PR
NEW met2 ( 475410 456620 ) M2M3_PR
NEW met1 ( 1069730 538390 ) M1M2_PR
NEW met2 ( 1069730 539580 ) M2M3_PR
NEW met1 ( 853530 413270 ) M1M2_PR
NEW met1 ( 853530 538390 ) M1M2_PR ;
- oram_din0\[4\] ( wb_openram_wrapper ram_din0[4] ) ( openram_1kB din0[4] ) + USE SIGNAL
+ ROUTED met4 ( 474750 474300 ) ( 475180 * )
NEW met4 ( 474750 474300 ) ( * 476000 0 )
NEW met3 ( 475180 455940 ) ( 475870 * )
NEW met4 ( 475180 455940 ) ( * 474300 )
NEW met2 ( 475870 392870 ) ( * 455940 )
NEW met2 ( 873770 392870 ) ( * 538730 )
NEW met2 ( 1070190 538730 ) ( * 541620 )
NEW met3 ( 1070190 541620 ) ( 1086060 * )
NEW met3 ( 1086060 541620 ) ( * 544340 0 )
NEW met1 ( 475870 392870 ) ( 873770 * )
NEW met1 ( 873770 538730 ) ( 1070190 * )
NEW met1 ( 475870 392870 ) M1M2_PR
NEW met1 ( 873770 392870 ) M1M2_PR
NEW met3 ( 475180 455940 ) M3M4_PR
NEW met2 ( 475870 455940 ) M2M3_PR
NEW met1 ( 873770 538730 ) M1M2_PR
NEW met1 ( 1070190 538730 ) M1M2_PR
NEW met2 ( 1070190 541620 ) M2M3_PR ;
- oram_din0\[5\] ( wb_openram_wrapper ram_din0[5] ) ( openram_1kB din0[5] ) + USE SIGNAL
+ ROUTED met2 ( 480930 469370 ) ( * 469540 )
NEW met3 ( 480700 469540 ) ( 480930 * )
NEW met4 ( 480700 469540 ) ( * 474300 )
NEW met4 ( 480190 474300 ) ( 480700 * )
NEW met4 ( 480190 474300 ) ( * 476000 0 )
NEW met2 ( 860430 469370 ) ( * 545190 )
NEW met2 ( 1069730 545190 ) ( * 546380 )
NEW met3 ( 1069730 546380 ) ( 1086060 * )
NEW met3 ( 1086060 546380 ) ( * 547740 0 )
NEW met1 ( 480930 469370 ) ( 860430 * )
NEW met1 ( 860430 545190 ) ( 1069730 * )
NEW met1 ( 480930 469370 ) M1M2_PR
NEW met2 ( 480930 469540 ) M2M3_PR
NEW met3 ( 480700 469540 ) M3M4_PR
NEW met1 ( 860430 469370 ) M1M2_PR
NEW met1 ( 860430 545190 ) M1M2_PR
NEW met1 ( 1069730 545190 ) M1M2_PR
NEW met2 ( 1069730 546380 ) M2M3_PR
NEW met3 ( 480930 469540 ) RECT ( 0 -150 390 150 ) ;
- oram_din0\[6\] ( wb_openram_wrapper ram_din0[6] ) ( openram_1kB din0[6] ) + USE SIGNAL
+ ROUTED met4 ( 485630 474300 ) ( 486220 * )
NEW met4 ( 485630 474300 ) ( * 476000 0 )
NEW met3 ( 486220 462060 ) ( 487830 * )
NEW met2 ( 487830 455770 ) ( * 462060 )
NEW met4 ( 486220 462060 ) ( * 474300 )
NEW met2 ( 580750 441150 ) ( * 455770 )
NEW met3 ( 1073870 548420 ) ( 1086060 * )
NEW met3 ( 1086060 548420 ) ( * 551140 0 )
NEW met2 ( 1073870 441150 ) ( * 548420 )
NEW met1 ( 487830 455770 ) ( 580750 * )
NEW met1 ( 580750 441150 ) ( 1073870 * )
NEW met3 ( 486220 462060 ) M3M4_PR
NEW met2 ( 487830 462060 ) M2M3_PR
NEW met1 ( 487830 455770 ) M1M2_PR
NEW met1 ( 580750 455770 ) M1M2_PR
NEW met1 ( 580750 441150 ) M1M2_PR
NEW met1 ( 1073870 441150 ) M1M2_PR
NEW met2 ( 1073870 548420 ) M2M3_PR ;
- oram_din0\[7\] ( wb_openram_wrapper ram_din0[7] ) ( openram_1kB din0[7] ) + USE SIGNAL
+ ROUTED met4 ( 492430 474300 ) ( 492660 * )
NEW met4 ( 492430 474300 ) ( * 476000 0 )
NEW met3 ( 492660 462060 ) ( 494270 * )
NEW met2 ( 494270 454750 ) ( * 462060 )
NEW met4 ( 492660 462060 ) ( * 474300 )
NEW met2 ( 1069730 552500 ) ( * 552670 )
NEW met3 ( 1069730 552500 ) ( 1086980 * )
NEW met3 ( 1086980 552500 ) ( * 553860 0 )
NEW met1 ( 494270 454750 ) ( 922530 * )
NEW met2 ( 922530 454750 ) ( * 552670 )
NEW met1 ( 922530 552670 ) ( 1069730 * )
NEW met3 ( 492660 462060 ) M3M4_PR
NEW met2 ( 494270 462060 ) M2M3_PR
NEW met1 ( 494270 454750 ) M1M2_PR
NEW met1 ( 1069730 552670 ) M1M2_PR
NEW met2 ( 1069730 552500 ) M2M3_PR
NEW met1 ( 922530 454750 ) M1M2_PR
NEW met1 ( 922530 552670 ) M1M2_PR ;
- oram_din0\[8\] ( wb_openram_wrapper ram_din0[8] ) ( openram_1kB din0[8] ) + USE SIGNAL
+ ROUTED met4 ( 497870 474300 ) ( 500020 * )
NEW met4 ( 497870 474300 ) ( * 476000 0 )
NEW met3 ( 500020 455940 ) ( 503470 * )
NEW met4 ( 500020 455940 ) ( * 474300 )
NEW met2 ( 503470 420410 ) ( * 455940 )
NEW met2 ( 1070190 552330 ) ( * 554540 )
NEW met3 ( 1070190 554540 ) ( 1086060 * )
NEW met3 ( 1086060 554540 ) ( * 557260 0 )
NEW met1 ( 503470 420410 ) ( 846630 * )
NEW met2 ( 846630 420410 ) ( * 552330 )
NEW met1 ( 846630 552330 ) ( 1070190 * )
NEW met1 ( 503470 420410 ) M1M2_PR
NEW met3 ( 500020 455940 ) M3M4_PR
NEW met2 ( 503470 455940 ) M2M3_PR
NEW met1 ( 1070190 552330 ) M1M2_PR
NEW met2 ( 1070190 554540 ) M2M3_PR
NEW met1 ( 846630 420410 ) M1M2_PR
NEW met1 ( 846630 552330 ) M1M2_PR ;
- oram_din0\[9\] ( wb_openram_wrapper ram_din0[9] ) ( openram_1kB din0[9] ) + USE SIGNAL
+ ROUTED met4 ( 502780 474300 ) ( 503310 * )
NEW met4 ( 503310 474300 ) ( * 476000 0 )
NEW met3 ( 502780 456620 ) ( 503010 * )
NEW met2 ( 503010 433670 ) ( * 456620 )
NEW met4 ( 502780 456620 ) ( * 474300 )
NEW met2 ( 1069730 559130 ) ( * 559300 )
NEW met3 ( 1069730 559300 ) ( 1086060 * )
NEW met3 ( 1086060 559300 ) ( * 560660 0 )
NEW met1 ( 503010 433670 ) ( 831910 * )
NEW met2 ( 831910 433670 ) ( * 559130 )
NEW met1 ( 831910 559130 ) ( 1069730 * )
NEW met3 ( 502780 456620 ) M3M4_PR
NEW met2 ( 503010 456620 ) M2M3_PR
NEW met1 ( 503010 433670 ) M1M2_PR
NEW met1 ( 1069730 559130 ) M1M2_PR
NEW met2 ( 1069730 559300 ) M2M3_PR
NEW met1 ( 831910 433670 ) M1M2_PR
NEW met1 ( 831910 559130 ) M1M2_PR
NEW met3 ( 502780 456620 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[0\] ( wb_openram_wrapper ram_dout0[0] ) ( openram_1kB dout0[0] ) + USE SIGNAL
+ ROUTED met4 ( 483460 474300 ) ( 483590 * )
NEW met4 ( 483590 474300 ) ( * 476000 0 )
NEW met3 ( 483460 455940 ) ( 489210 * )
NEW met4 ( 483460 455940 ) ( * 474300 )
NEW met2 ( 489210 406130 ) ( * 455940 )
NEW met2 ( 900910 406130 ) ( * 634950 )
NEW met2 ( 1069730 634950 ) ( * 635460 )
NEW met3 ( 1069730 635460 ) ( 1086060 * )
NEW met3 ( 1086060 635460 ) ( * 636820 0 )
NEW met1 ( 489210 406130 ) ( 900910 * )
NEW met1 ( 900910 634950 ) ( 1069730 * )
NEW met1 ( 489210 406130 ) M1M2_PR
NEW met1 ( 900910 406130 ) M1M2_PR
NEW met3 ( 483460 455940 ) M3M4_PR
NEW met2 ( 489210 455940 ) M2M3_PR
NEW met1 ( 900910 634950 ) M1M2_PR
NEW met1 ( 1069730 634950 ) M1M2_PR
NEW met2 ( 1069730 635460 ) M2M3_PR ;
- oram_dout0\[10\] ( wb_openram_wrapper ram_dout0[10] ) ( openram_1kB dout0[10] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 669630 ) ( * 670140 )
NEW met3 ( 1069730 670140 ) ( 1086060 * )
NEW met3 ( 1086060 669460 0 ) ( * 670140 )
NEW met2 ( 879750 385730 ) ( * 669630 )
NEW met4 ( 547510 474300 ) ( 547860 * )
NEW met4 ( 547510 474300 ) ( * 476000 0 )
NEW met1 ( 551770 385730 ) ( 879750 * )
NEW met1 ( 879750 669630 ) ( 1069730 * )
NEW met3 ( 547860 455940 ) ( 551770 * )
NEW met4 ( 547860 455940 ) ( * 474300 )
NEW met2 ( 551770 385730 ) ( * 455940 )
NEW met1 ( 879750 385730 ) M1M2_PR
NEW met1 ( 879750 669630 ) M1M2_PR
NEW met1 ( 1069730 669630 ) M1M2_PR
NEW met2 ( 1069730 670140 ) M2M3_PR
NEW met1 ( 551770 385730 ) M1M2_PR
NEW met3 ( 547860 455940 ) M3M4_PR
NEW met2 ( 551770 455940 ) M2M3_PR ;
- oram_dout0\[11\] ( wb_openram_wrapper ram_dout0[11] ) ( openram_1kB dout0[11] ) + USE SIGNAL
+ ROUTED met3 ( 1086060 670820 ) ( * 672860 0 )
NEW met4 ( 553380 474300 ) ( 553630 * )
NEW met4 ( 553630 474300 ) ( * 476000 0 )
NEW met3 ( 852380 670820 ) ( 1086060 * )
NEW met3 ( 553380 455940 ) ( 553610 * )
NEW met2 ( 553610 440980 ) ( * 455940 )
NEW met4 ( 553380 455940 ) ( * 474300 )
NEW met3 ( 553610 440980 ) ( 852380 * )
NEW met4 ( 852380 440980 ) ( * 670820 )
NEW met3 ( 852380 670820 ) M3M4_PR
NEW met3 ( 553380 455940 ) M3M4_PR
NEW met2 ( 553610 455940 ) M2M3_PR
NEW met2 ( 553610 440980 ) M2M3_PR
NEW met3 ( 852380 440980 ) M3M4_PR
NEW met3 ( 553380 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[12\] ( wb_openram_wrapper ram_dout0[12] ) ( openram_1kB dout0[12] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 676770 ) ( * 676940 )
NEW met3 ( 1069730 676940 ) ( 1086060 * )
NEW met3 ( 1086060 676260 0 ) ( * 676940 )
NEW met4 ( 559750 474300 ) ( 559820 * )
NEW met4 ( 559750 474300 ) ( * 476000 0 )
NEW met1 ( 565570 398990 ) ( 1032470 * )
NEW met1 ( 1032470 676770 ) ( 1069730 * )
NEW met3 ( 559820 455940 ) ( 565570 * )
NEW met4 ( 559820 455940 ) ( * 474300 )
NEW met2 ( 565570 398990 ) ( * 455940 )
NEW met2 ( 1032470 398990 ) ( * 676770 )
NEW met1 ( 1069730 676770 ) M1M2_PR
NEW met2 ( 1069730 676940 ) M2M3_PR
NEW met1 ( 565570 398990 ) M1M2_PR
NEW met1 ( 1032470 398990 ) M1M2_PR
NEW met1 ( 1032470 676770 ) M1M2_PR
NEW met3 ( 559820 455940 ) M3M4_PR
NEW met2 ( 565570 455940 ) M2M3_PR ;
- oram_dout0\[13\] ( wb_openram_wrapper ram_dout0[13] ) ( openram_1kB dout0[13] ) + USE SIGNAL
+ ROUTED met4 ( 565870 474300 ) ( * 476000 0 )
NEW met3 ( 1073870 677620 ) ( 1086060 * )
NEW met3 ( 1086060 677620 ) ( * 679660 0 )
NEW met2 ( 572470 461210 ) ( * 462060 )
NEW met2 ( 1073870 651950 ) ( * 677620 )
NEW met4 ( 565340 474300 ) ( 565870 * )
NEW met4 ( 565340 462060 ) ( * 474300 )
NEW met3 ( 565340 462060 ) ( 572470 * )
NEW met1 ( 572470 461210 ) ( 1011310 * )
NEW met2 ( 1011310 461210 ) ( * 651950 )
NEW met1 ( 1011310 651950 ) ( 1073870 * )
NEW met2 ( 1073870 677620 ) M2M3_PR
NEW met2 ( 572470 462060 ) M2M3_PR
NEW met1 ( 572470 461210 ) M1M2_PR
NEW met1 ( 1073870 651950 ) M1M2_PR
NEW met3 ( 565340 462060 ) M3M4_PR
NEW met1 ( 1011310 461210 ) M1M2_PR
NEW met1 ( 1011310 651950 ) M1M2_PR ;
- oram_dout0\[14\] ( wb_openram_wrapper ram_dout0[14] ) ( openram_1kB dout0[14] ) + USE SIGNAL
+ ROUTED met4 ( 571780 474300 ) ( 571990 * )
NEW met4 ( 571990 474300 ) ( * 476000 0 )
NEW met2 ( 1070190 676430 ) ( * 680340 )
NEW met3 ( 1070190 680340 ) ( 1086060 * )
NEW met3 ( 1086060 680340 ) ( * 683060 0 )
NEW met3 ( 571780 455940 ) ( 572010 * )
NEW met2 ( 572010 426530 ) ( * 455940 )
NEW met4 ( 571780 455940 ) ( * 474300 )
NEW met2 ( 859970 426530 ) ( * 676430 )
NEW met1 ( 859970 676430 ) ( 1070190 * )
NEW met1 ( 572010 426530 ) ( 859970 * )
NEW met1 ( 859970 676430 ) M1M2_PR
NEW met1 ( 1070190 676430 ) M1M2_PR
NEW met2 ( 1070190 680340 ) M2M3_PR
NEW met3 ( 571780 455940 ) M3M4_PR
NEW met2 ( 572010 455940 ) M2M3_PR
NEW met1 ( 572010 426530 ) M1M2_PR
NEW met1 ( 859970 426530 ) M1M2_PR
NEW met3 ( 571780 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[15\] ( wb_openram_wrapper ram_dout0[15] ) ( openram_1kB dout0[15] ) + USE SIGNAL
+ ROUTED met4 ( 577300 474300 ) ( 577430 * )
NEW met4 ( 577430 474300 ) ( * 476000 0 )
NEW met1 ( 1052250 683570 ) ( 1070190 * )
NEW met2 ( 1070190 683570 ) ( * 683740 )
NEW met3 ( 1070190 683740 ) ( 1086060 * )
NEW met3 ( 1086060 683740 ) ( * 686460 0 )
NEW met3 ( 577300 462060 ) ( 577530 * )
NEW met2 ( 577530 461550 ) ( * 462060 )
NEW met4 ( 577300 462060 ) ( * 474300 )
NEW met2 ( 1052250 461550 ) ( * 683570 )
NEW met1 ( 577530 461550 ) ( 1052250 * )
NEW met1 ( 1052250 683570 ) M1M2_PR
NEW met1 ( 1070190 683570 ) M1M2_PR
NEW met2 ( 1070190 683740 ) M2M3_PR
NEW met3 ( 577300 462060 ) M3M4_PR
NEW met2 ( 577530 462060 ) M2M3_PR
NEW met1 ( 577530 461550 ) M1M2_PR
NEW met1 ( 1052250 461550 ) M1M2_PR
NEW met3 ( 577300 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[16\] ( wb_openram_wrapper ram_dout0[16] ) ( openram_1kB dout0[16] ) + USE SIGNAL
+ ROUTED met4 ( 583550 474300 ) ( 583740 * )
NEW met4 ( 583550 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 683230 ) ( * 687140 )
NEW met3 ( 1069730 687140 ) ( 1086060 * )
NEW met3 ( 1086060 687140 ) ( * 689860 0 )
NEW met3 ( 583740 467500 ) ( 583970 * )
NEW met2 ( 583970 466310 ) ( * 467500 )
NEW met4 ( 583740 467500 ) ( * 474300 )
NEW met1 ( 831450 683230 ) ( 1069730 * )
NEW met1 ( 583970 466310 ) ( 831450 * )
NEW met2 ( 831450 466310 ) ( * 683230 )
NEW met1 ( 1069730 683230 ) M1M2_PR
NEW met2 ( 1069730 687140 ) M2M3_PR
NEW met3 ( 583740 467500 ) M3M4_PR
NEW met2 ( 583970 467500 ) M2M3_PR
NEW met1 ( 583970 466310 ) M1M2_PR
NEW met1 ( 831450 683230 ) M1M2_PR
NEW met1 ( 831450 466310 ) M1M2_PR
NEW met3 ( 583740 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[17\] ( wb_openram_wrapper ram_dout0[17] ) ( openram_1kB dout0[17] ) + USE SIGNAL
+ ROUTED met4 ( 589670 474300 ) ( 590180 * )
NEW met4 ( 589670 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 690370 ) ( * 690540 )
NEW met3 ( 1069730 690540 ) ( 1086060 * )
NEW met3 ( 1086060 690540 ) ( * 693260 0 )
NEW met3 ( 590180 462060 ) ( 590410 * )
NEW met2 ( 590410 461890 ) ( * 462060 )
NEW met4 ( 590180 462060 ) ( * 474300 )
NEW met2 ( 976810 461890 ) ( * 690370 )
NEW met1 ( 976810 690370 ) ( 1069730 * )
NEW met1 ( 590410 461890 ) ( 976810 * )
NEW met1 ( 976810 690370 ) M1M2_PR
NEW met1 ( 1069730 690370 ) M1M2_PR
NEW met2 ( 1069730 690540 ) M2M3_PR
NEW met3 ( 590180 462060 ) M3M4_PR
NEW met2 ( 590410 462060 ) M2M3_PR
NEW met1 ( 590410 461890 ) M1M2_PR
NEW met1 ( 976810 461890 ) M1M2_PR
NEW met3 ( 590180 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[18\] ( wb_openram_wrapper ram_dout0[18] ) ( openram_1kB dout0[18] ) + USE SIGNAL
+ ROUTED met4 ( 596620 474300 ) ( 597150 * )
NEW met4 ( 597150 474300 ) ( * 476000 0 )
NEW met2 ( 1070190 690030 ) ( * 693940 )
NEW met3 ( 1070190 693940 ) ( 1086060 * )
NEW met3 ( 1086060 693940 ) ( * 695980 0 )
NEW met3 ( 596620 457980 ) ( 599150 * )
NEW met2 ( 599150 446930 ) ( * 457980 )
NEW met4 ( 596620 457980 ) ( * 474300 )
NEW met2 ( 873310 446930 ) ( * 690030 )
NEW met1 ( 873310 690030 ) ( 1070190 * )
NEW met1 ( 599150 446930 ) ( 873310 * )
NEW met1 ( 873310 690030 ) M1M2_PR
NEW met1 ( 1070190 690030 ) M1M2_PR
NEW met2 ( 1070190 693940 ) M2M3_PR
NEW met3 ( 596620 457980 ) M3M4_PR
NEW met2 ( 599150 457980 ) M2M3_PR
NEW met1 ( 599150 446930 ) M1M2_PR
NEW met1 ( 873310 446930 ) M1M2_PR ;
- oram_dout0\[19\] ( wb_openram_wrapper ram_dout0[19] ) ( openram_1kB dout0[19] ) + USE SIGNAL
+ ROUTED met4 ( 601220 474300 ) ( 601230 * )
NEW met4 ( 601230 474300 ) ( * 476000 0 )
NEW met2 ( 1069730 697170 ) ( * 697340 )
NEW met3 ( 1069730 697340 ) ( 1086060 * )
NEW met3 ( 1086060 697340 ) ( * 699380 0 )
NEW met3 ( 601220 455940 ) ( 606970 * )
NEW met4 ( 601220 455940 ) ( * 474300 )
NEW met2 ( 606970 392190 ) ( * 455940 )
NEW met1 ( 606970 392190 ) ( 922070 * )
NEW met1 ( 922070 697170 ) ( 1069730 * )
NEW met2 ( 922070 392190 ) ( * 697170 )
NEW met1 ( 606970 392190 ) M1M2_PR
NEW met1 ( 1069730 697170 ) M1M2_PR
NEW met2 ( 1069730 697340 ) M2M3_PR
NEW met3 ( 601220 455940 ) M3M4_PR
NEW met2 ( 606970 455940 ) M2M3_PR
NEW met1 ( 922070 392190 ) M1M2_PR
NEW met1 ( 922070 697170 ) M1M2_PR ;
- oram_dout0\[1\] ( wb_openram_wrapper ram_dout0[1] ) ( openram_1kB dout0[1] ) + USE SIGNAL
+ ROUTED met4 ( 490390 474300 ) ( 490820 * )
NEW met4 ( 490390 474300 ) ( * 476000 0 )
NEW met3 ( 490820 456620 ) ( 496110 * )
NEW met4 ( 490820 456620 ) ( * 474300 )
NEW met2 ( 496110 413780 ) ( * 456620 )
NEW met3 ( 1086060 637500 ) ( * 640220 0 )
NEW met3 ( 496110 413780 ) ( 832140 * )
NEW met4 ( 832140 413780 ) ( * 635460 )
NEW met3 ( 832140 635460 ) ( 1000500 * )
NEW met3 ( 1000500 635460 ) ( * 637500 )
NEW met3 ( 1000500 637500 ) ( 1086060 * )
NEW met2 ( 496110 413780 ) M2M3_PR
NEW met3 ( 490820 456620 ) M3M4_PR
NEW met2 ( 496110 456620 ) M2M3_PR
NEW met3 ( 832140 413780 ) M3M4_PR
NEW met3 ( 832140 635460 ) M3M4_PR ;
- oram_dout0\[20\] ( wb_openram_wrapper ram_dout0[20] ) ( openram_1kB dout0[20] ) + USE SIGNAL
+ ROUTED met4 ( 610070 474300 ) ( 610420 * )
NEW met4 ( 610070 474300 ) ( * 476000 0 )
NEW met3 ( 1072950 700060 ) ( 1086060 * )
NEW met3 ( 1086060 700060 ) ( * 702780 0 )
NEW met4 ( 610420 461380 ) ( * 474300 )
NEW met2 ( 1072950 506940 ) ( * 700060 )
NEW met3 ( 845940 506940 ) ( 1072950 * )
NEW met3 ( 610420 461380 ) ( 845940 * )
NEW met4 ( 845940 461380 ) ( * 506940 )
NEW met2 ( 1072950 506940 ) M2M3_PR
NEW met2 ( 1072950 700060 ) M2M3_PR
NEW met3 ( 610420 461380 ) M3M4_PR
NEW met3 ( 845940 506940 ) M3M4_PR
NEW met3 ( 845940 461380 ) M3M4_PR ;
- oram_dout0\[21\] ( wb_openram_wrapper ram_dout0[21] ) ( openram_1kB dout0[21] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 703970 ) ( * 704140 )
NEW met3 ( 1069730 704140 ) ( 1086060 * )
NEW met3 ( 1086060 704140 ) ( * 706180 0 )
NEW met2 ( 872850 419390 ) ( * 703970 )
NEW met4 ( 615940 474300 ) ( 616190 * )
NEW met4 ( 616190 474300 ) ( * 476000 0 )
NEW met1 ( 620770 419390 ) ( 872850 * )
NEW met1 ( 872850 703970 ) ( 1069730 * )
NEW met3 ( 615940 455940 ) ( 620770 * )
NEW met4 ( 615940 455940 ) ( * 474300 )
NEW met2 ( 620770 419390 ) ( * 455940 )
NEW met1 ( 872850 419390 ) M1M2_PR
NEW met1 ( 872850 703970 ) M1M2_PR
NEW met1 ( 1069730 703970 ) M1M2_PR
NEW met2 ( 1069730 704140 ) M2M3_PR
NEW met1 ( 620770 419390 ) M1M2_PR
NEW met3 ( 615940 455940 ) M3M4_PR
NEW met2 ( 620770 455940 ) M2M3_PR ;
- oram_dout0\[22\] ( wb_openram_wrapper ram_dout0[22] ) ( openram_1kB dout0[22] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 704310 ) ( * 706860 )
NEW met3 ( 1070190 706860 ) ( 1086060 * )
NEW met3 ( 1086060 706860 ) ( * 709580 0 )
NEW met4 ( 622310 474300 ) ( 622380 * )
NEW met4 ( 622310 474300 ) ( * 476000 0 )
NEW met1 ( 627210 406470 ) ( 1045810 * )
NEW met1 ( 1045810 704310 ) ( 1070190 * )
NEW met3 ( 622380 456620 ) ( 627210 * )
NEW met4 ( 622380 456620 ) ( * 474300 )
NEW met2 ( 627210 406470 ) ( * 456620 )
NEW met2 ( 1045810 406470 ) ( * 704310 )
NEW met1 ( 1070190 704310 ) M1M2_PR
NEW met2 ( 1070190 706860 ) M2M3_PR
NEW met1 ( 627210 406470 ) M1M2_PR
NEW met1 ( 1045810 406470 ) M1M2_PR
NEW met1 ( 1045810 704310 ) M1M2_PR
NEW met3 ( 622380 456620 ) M3M4_PR
NEW met2 ( 627210 456620 ) M2M3_PR ;
- oram_dout0\[23\] ( wb_openram_wrapper ram_dout0[23] ) ( openram_1kB dout0[23] ) + USE SIGNAL
+ ROUTED met3 ( 1066510 711620 ) ( 1086060 * )
NEW met3 ( 1086060 711620 ) ( * 712980 0 )
NEW met2 ( 1066510 434350 ) ( * 711620 )
NEW met4 ( 628430 474300 ) ( 628820 * )
NEW met4 ( 628430 474300 ) ( * 476000 0 )
NEW met3 ( 628820 455940 ) ( 632730 * )
NEW met2 ( 632730 434350 ) ( * 455940 )
NEW met4 ( 628820 455940 ) ( * 474300 )
NEW met1 ( 632730 434350 ) ( 1066510 * )
NEW met1 ( 1066510 434350 ) M1M2_PR
NEW met2 ( 1066510 711620 ) M2M3_PR
NEW met3 ( 628820 455940 ) M3M4_PR
NEW met2 ( 632730 455940 ) M2M3_PR
NEW met1 ( 632730 434350 ) M1M2_PR ;
- oram_dout0\[24\] ( wb_openram_wrapper ram_dout0[24] ) ( openram_1kB dout0[24] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 710770 ) ( * 713660 )
NEW met3 ( 1069730 713660 ) ( 1086060 * )
NEW met3 ( 1086060 713660 ) ( * 716380 0 )
NEW met2 ( 633650 470050 ) ( * 470220 )
NEW met3 ( 633420 470220 ) ( 633650 * )
NEW met4 ( 633420 470220 ) ( * 474300 )
NEW met4 ( 633190 474300 ) ( 633420 * )
NEW met4 ( 633190 474300 ) ( * 476000 0 )
NEW met1 ( 633650 470050 ) ( 907810 * )
NEW met2 ( 907810 470050 ) ( * 710770 )
NEW met1 ( 907810 710770 ) ( 1069730 * )
NEW met1 ( 1069730 710770 ) M1M2_PR
NEW met2 ( 1069730 713660 ) M2M3_PR
NEW met1 ( 633650 470050 ) M1M2_PR
NEW met2 ( 633650 470220 ) M2M3_PR
NEW met3 ( 633420 470220 ) M3M4_PR
NEW met1 ( 907810 470050 ) M1M2_PR
NEW met1 ( 907810 710770 ) M1M2_PR
NEW met3 ( 633650 470220 ) RECT ( 0 -150 390 150 ) ;
- oram_dout0\[25\] ( wb_openram_wrapper ram_dout0[25] ) ( openram_1kB dout0[25] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 717740 ) ( * 718250 )
NEW met3 ( 1069730 717740 ) ( 1086980 * )
NEW met3 ( 1086980 717740 ) ( * 719100 0 )
NEW met4 ( 638020 474300 ) ( 640670 * )
NEW met4 ( 640670 474300 ) ( * 476000 0 )
NEW met4 ( 638020 454580 ) ( * 474300 )
NEW met3 ( 638020 454580 ) ( 852610 * )
NEW met2 ( 852610 454580 ) ( * 718250 )
NEW met1 ( 852610 718250 ) ( 1069730 * )
NEW met1 ( 1069730 718250 ) M1M2_PR
NEW met2 ( 1069730 717740 ) M2M3_PR
NEW met3 ( 638020 454580 ) M3M4_PR
NEW met2 ( 852610 454580 ) M2M3_PR
NEW met1 ( 852610 718250 ) M1M2_PR ;
- oram_dout0\[26\] ( wb_openram_wrapper ram_dout0[26] ) ( openram_1kB dout0[26] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 717910 ) ( * 719780 )
NEW met3 ( 1070190 719780 ) ( 1086060 * )
NEW met3 ( 1086060 719780 ) ( * 722500 0 )
NEW met4 ( 646790 474300 ) ( 647220 * )
NEW met4 ( 646790 474300 ) ( * 476000 0 )
NEW met3 ( 647220 455940 ) ( 647450 * )
NEW met2 ( 647450 440130 ) ( * 455940 )
NEW met4 ( 647220 455940 ) ( * 474300 )
NEW met1 ( 647450 440130 ) ( 845250 * )
NEW met2 ( 845250 440130 ) ( * 717910 )
NEW met1 ( 845250 717910 ) ( 1070190 * )
NEW met1 ( 1070190 717910 ) M1M2_PR
NEW met2 ( 1070190 719780 ) M2M3_PR
NEW met3 ( 647220 455940 ) M3M4_PR
NEW met2 ( 647450 455940 ) M2M3_PR
NEW met1 ( 647450 440130 ) M1M2_PR
NEW met1 ( 845250 440130 ) M1M2_PR
NEW met1 ( 845250 717910 ) M1M2_PR
NEW met3 ( 647220 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[27\] ( wb_openram_wrapper ram_dout0[27] ) ( openram_1kB dout0[27] ) + USE SIGNAL
+ ROUTED met3 ( 1086980 724540 ) ( * 725900 0 )
NEW met4 ( 653590 474300 ) ( 653660 * )
NEW met4 ( 653590 474300 ) ( * 476000 0 )
NEW met3 ( 653660 455940 ) ( 653890 * )
NEW met2 ( 653890 427380 ) ( * 455940 )
NEW met4 ( 653660 455940 ) ( * 474300 )
NEW met3 ( 653890 427380 ) ( 841340 * )
NEW met4 ( 841340 427380 ) ( * 725220 )
NEW met3 ( 841340 725220 ) ( 1000500 * )
NEW met3 ( 1000500 724540 ) ( * 725220 )
NEW met3 ( 1000500 724540 ) ( 1086980 * )
NEW met3 ( 653660 455940 ) M3M4_PR
NEW met2 ( 653890 455940 ) M2M3_PR
NEW met2 ( 653890 427380 ) M2M3_PR
NEW met3 ( 841340 427380 ) M3M4_PR
NEW met3 ( 841340 725220 ) M3M4_PR
NEW met3 ( 653660 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[28\] ( wb_openram_wrapper ram_dout0[28] ) ( openram_1kB dout0[28] ) + USE SIGNAL
+ ROUTED met2 ( 887110 460530 ) ( * 700230 )
NEW met3 ( 1073410 726580 ) ( 1086060 * )
NEW met3 ( 1086060 726580 ) ( * 729300 0 )
NEW met2 ( 1073410 700230 ) ( * 726580 )
NEW met4 ( 659710 474300 ) ( 661940 * )
NEW met4 ( 659710 474300 ) ( * 476000 0 )
NEW met1 ( 887110 700230 ) ( 1073410 * )
NEW met3 ( 661940 462060 ) ( 662170 * )
NEW met2 ( 662170 460530 ) ( * 462060 )
NEW met4 ( 661940 462060 ) ( * 474300 )
NEW met1 ( 662170 460530 ) ( 887110 * )
NEW met1 ( 887110 700230 ) M1M2_PR
NEW met1 ( 1073410 700230 ) M1M2_PR
NEW met1 ( 887110 460530 ) M1M2_PR
NEW met2 ( 1073410 726580 ) M2M3_PR
NEW met3 ( 661940 462060 ) M3M4_PR
NEW met2 ( 662170 462060 ) M2M3_PR
NEW met1 ( 662170 460530 ) M1M2_PR
NEW met3 ( 661940 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[29\] ( wb_openram_wrapper ram_dout0[29] ) ( openram_1kB dout0[29] ) + USE SIGNAL
+ ROUTED met4 ( 665620 474300 ) ( 665830 * )
NEW met4 ( 665830 474300 ) ( * 476000 0 )
NEW met3 ( 665620 467500 ) ( 665850 * )
NEW met2 ( 665850 467330 ) ( * 467500 )
NEW met4 ( 665620 467500 ) ( * 474300 )
NEW met2 ( 859050 467330 ) ( * 731510 )
NEW met2 ( 1069730 731510 ) ( * 732020 )
NEW met3 ( 1069730 732020 ) ( 1084220 * )
NEW met3 ( 1084220 731340 ) ( * 732020 )
NEW met3 ( 1084220 731340 ) ( 1086980 * )
NEW met3 ( 1086980 731340 ) ( * 732700 0 )
NEW met1 ( 665850 467330 ) ( 859050 * )
NEW met1 ( 859050 731510 ) ( 1069730 * )
NEW met3 ( 665620 467500 ) M3M4_PR
NEW met2 ( 665850 467500 ) M2M3_PR
NEW met1 ( 665850 467330 ) M1M2_PR
NEW met1 ( 859050 467330 ) M1M2_PR
NEW met1 ( 859050 731510 ) M1M2_PR
NEW met1 ( 1069730 731510 ) M1M2_PR
NEW met2 ( 1069730 732020 ) M2M3_PR
NEW met3 ( 665620 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[2\] ( wb_openram_wrapper ram_dout0[2] ) ( openram_1kB dout0[2] ) + USE SIGNAL
+ ROUTED met4 ( 495420 474300 ) ( 495830 * )
NEW met4 ( 495830 474300 ) ( * 476000 0 )
NEW met3 ( 495420 455940 ) ( 496570 * )
NEW met4 ( 495420 455940 ) ( * 474300 )
NEW met2 ( 496570 400180 ) ( * 455940 )
NEW met3 ( 1086980 642260 ) ( * 643620 0 )
NEW met3 ( 496570 400180 ) ( 851460 * )
NEW met4 ( 851460 400180 ) ( * 642940 )
NEW met3 ( 851460 642940 ) ( 1000500 * )
NEW met3 ( 1000500 642260 ) ( * 642940 )
NEW met3 ( 1000500 642260 ) ( 1086980 * )
NEW met2 ( 496570 400180 ) M2M3_PR
NEW met3 ( 495420 455940 ) M3M4_PR
NEW met2 ( 496570 455940 ) M2M3_PR
NEW met3 ( 851460 400180 ) M3M4_PR
NEW met3 ( 851460 642940 ) M3M4_PR ;
- oram_dout0\[30\] ( wb_openram_wrapper ram_dout0[30] ) ( openram_1kB dout0[30] ) + USE SIGNAL
+ ROUTED met4 ( 671950 474300 ) ( 672060 * )
NEW met4 ( 671950 474300 ) ( * 476000 0 )
NEW met3 ( 672060 455940 ) ( 675970 * )
NEW met4 ( 672060 455940 ) ( * 474300 )
NEW met2 ( 675970 412590 ) ( * 455940 )
NEW met2 ( 1070190 731850 ) ( * 733380 )
NEW met3 ( 1070190 733380 ) ( 1086060 * )
NEW met3 ( 1086060 733380 ) ( * 736100 0 )
NEW met1 ( 675970 412590 ) ( 928050 * )
NEW met2 ( 928050 412590 ) ( * 731850 )
NEW met1 ( 928050 731850 ) ( 1070190 * )
NEW met1 ( 675970 412590 ) M1M2_PR
NEW met3 ( 672060 455940 ) M3M4_PR
NEW met2 ( 675970 455940 ) M2M3_PR
NEW met1 ( 1070190 731850 ) M1M2_PR
NEW met2 ( 1070190 733380 ) M2M3_PR
NEW met1 ( 928050 412590 ) M1M2_PR
NEW met1 ( 928050 731850 ) M1M2_PR ;
- oram_dout0\[31\] ( wb_openram_wrapper ram_dout0[31] ) ( openram_1kB dout0[31] ) + USE SIGNAL
+ ROUTED met4 ( 678070 474300 ) ( 680340 * )
NEW met4 ( 678070 474300 ) ( * 476000 0 )
NEW met3 ( 680340 457980 ) ( 682410 * )
NEW met2 ( 682410 446590 ) ( * 457980 )
NEW met4 ( 680340 457980 ) ( * 474300 )
NEW met2 ( 1069730 738310 ) ( * 740180 )
NEW met3 ( 1069730 740180 ) ( 1086060 * )
NEW met3 ( 1086060 739500 0 ) ( * 740180 )
NEW met1 ( 682410 446590 ) ( 914710 * )
NEW met2 ( 914710 446590 ) ( * 738310 )
NEW met1 ( 914710 738310 ) ( 1069730 * )
NEW met3 ( 680340 457980 ) M3M4_PR
NEW met2 ( 682410 457980 ) M2M3_PR
NEW met1 ( 682410 446590 ) M1M2_PR
NEW met1 ( 1069730 738310 ) M1M2_PR
NEW met2 ( 1069730 740180 ) M2M3_PR
NEW met1 ( 914710 446590 ) M1M2_PR
NEW met1 ( 914710 738310 ) M1M2_PR ;
- oram_dout0\[3\] ( wb_openram_wrapper ram_dout0[3] ) ( openram_1kB dout0[3] ) + USE SIGNAL
+ ROUTED met4 ( 503990 474300 ) ( 504620 * )
NEW met4 ( 503990 474300 ) ( * 476000 0 )
NEW met3 ( 504620 467500 ) ( 504850 * )
NEW met2 ( 504850 465630 ) ( * 467500 )
NEW met4 ( 504620 467500 ) ( * 474300 )
NEW met2 ( 1069730 641750 ) ( * 644300 )
NEW met3 ( 1069730 644300 ) ( 1086060 * )
NEW met3 ( 1086060 644300 ) ( * 646340 0 )
NEW met1 ( 504850 465630 ) ( 942770 * )
NEW met2 ( 942770 465630 ) ( * 641750 )
NEW met1 ( 942770 641750 ) ( 1069730 * )
NEW met3 ( 504620 467500 ) M3M4_PR
NEW met2 ( 504850 467500 ) M2M3_PR
NEW met1 ( 504850 465630 ) M1M2_PR
NEW met1 ( 1069730 641750 ) M1M2_PR
NEW met2 ( 1069730 644300 ) M2M3_PR
NEW met1 ( 942770 465630 ) M1M2_PR
NEW met1 ( 942770 641750 ) M1M2_PR
NEW met3 ( 504620 467500 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[4\] ( wb_openram_wrapper ram_dout0[4] ) ( openram_1kB dout0[4] ) + USE SIGNAL
+ ROUTED met4 ( 510110 474300 ) ( 510140 * )
NEW met4 ( 510110 474300 ) ( * 476000 0 )
NEW met3 ( 510140 455940 ) ( 510370 * )
NEW met4 ( 510140 455940 ) ( * 474300 )
NEW met2 ( 510370 392530 ) ( * 455940 )
NEW met2 ( 859510 392530 ) ( * 648890 )
NEW met2 ( 1069730 648890 ) ( * 649060 )
NEW met3 ( 1069730 649060 ) ( 1084220 * )
NEW met3 ( 1084220 648380 ) ( * 649060 )
NEW met3 ( 1084220 648380 ) ( 1086980 * )
NEW met3 ( 1086980 648380 ) ( * 649740 0 )
NEW met1 ( 510370 392530 ) ( 859510 * )
NEW met1 ( 859510 648890 ) ( 1069730 * )
NEW met1 ( 510370 392530 ) M1M2_PR
NEW met1 ( 859510 392530 ) M1M2_PR
NEW met3 ( 510140 455940 ) M3M4_PR
NEW met2 ( 510370 455940 ) M2M3_PR
NEW met1 ( 859510 648890 ) M1M2_PR
NEW met1 ( 1069730 648890 ) M1M2_PR
NEW met2 ( 1069730 649060 ) M2M3_PR
NEW met3 ( 510140 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[5\] ( wb_openram_wrapper ram_dout0[5] ) ( openram_1kB dout0[5] ) + USE SIGNAL
+ ROUTED met4 ( 516230 474300 ) ( 516580 * )
NEW met4 ( 516230 474300 ) ( * 476000 0 )
NEW met3 ( 516580 466140 ) ( 516810 * )
NEW met2 ( 516810 460870 ) ( * 466140 )
NEW met4 ( 516580 466140 ) ( * 474300 )
NEW met2 ( 866870 460870 ) ( * 513910 )
NEW met3 ( 1073410 650420 ) ( 1086060 * )
NEW met3 ( 1086060 650420 ) ( * 653140 0 )
NEW met2 ( 1073410 513910 ) ( * 650420 )
NEW met1 ( 866870 513910 ) ( 1073410 * )
NEW met1 ( 516810 460870 ) ( 866870 * )
NEW met1 ( 866870 513910 ) M1M2_PR
NEW met1 ( 1073410 513910 ) M1M2_PR
NEW met3 ( 516580 466140 ) M3M4_PR
NEW met2 ( 516810 466140 ) M2M3_PR
NEW met1 ( 516810 460870 ) M1M2_PR
NEW met1 ( 866870 460870 ) M1M2_PR
NEW met2 ( 1073410 650420 ) M2M3_PR
NEW met3 ( 516580 466140 ) RECT ( -390 -150 0 150 ) ;
- oram_dout0\[6\] ( wb_openram_wrapper ram_dout0[6] ) ( openram_1kB dout0[6] ) + USE SIGNAL
+ ROUTED met2 ( 866410 412930 ) ( * 655690 )
NEW met2 ( 1069730 655690 ) ( * 655860 )
NEW met3 ( 1069730 655860 ) ( 1084220 * )
NEW met3 ( 1084220 655180 ) ( * 655860 )
NEW met3 ( 1084220 655180 ) ( 1086980 * )
NEW met3 ( 1086980 655180 ) ( * 656540 0 )
NEW met4 ( 522350 474300 ) ( 523020 * )
NEW met4 ( 522350 474300 ) ( * 476000 0 )
NEW met1 ( 524170 412930 ) ( 866410 * )
NEW met3 ( 523020 455940 ) ( 524170 * )
NEW met4 ( 523020 455940 ) ( * 474300 )
NEW met2 ( 524170 412930 ) ( * 455940 )
NEW met1 ( 866410 655690 ) ( 1069730 * )
NEW met1 ( 866410 412930 ) M1M2_PR
NEW met1 ( 866410 655690 ) M1M2_PR
NEW met1 ( 1069730 655690 ) M1M2_PR
NEW met2 ( 1069730 655860 ) M2M3_PR
NEW met1 ( 524170 412930 ) M1M2_PR
NEW met3 ( 523020 455940 ) M3M4_PR
NEW met2 ( 524170 455940 ) M2M3_PR ;
- oram_dout0\[7\] ( wb_openram_wrapper ram_dout0[7] ) ( openram_1kB dout0[7] ) + USE SIGNAL
+ ROUTED met3 ( 1086060 657220 ) ( * 659940 0 )
NEW met4 ( 528470 474300 ) ( 528540 * )
NEW met4 ( 528470 474300 ) ( * 476000 0 )
NEW met3 ( 531070 406980 ) ( 845020 * )
NEW met3 ( 528540 455940 ) ( 531070 * )
NEW met4 ( 528540 455940 ) ( * 474300 )
NEW met2 ( 531070 406980 ) ( * 455940 )
NEW met4 ( 845020 406980 ) ( * 657220 )
NEW met3 ( 845020 657220 ) ( 1086060 * )
NEW met2 ( 531070 406980 ) M2M3_PR
NEW met3 ( 845020 406980 ) M3M4_PR
NEW met3 ( 528540 455940 ) M3M4_PR
NEW met2 ( 531070 455940 ) M2M3_PR
NEW met3 ( 845020 657220 ) M3M4_PR ;
- oram_dout0\[8\] ( wb_openram_wrapper ram_dout0[8] ) ( openram_1kB dout0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 662490 ) ( * 662660 )
NEW met3 ( 1069730 662660 ) ( 1084220 * )
NEW met3 ( 1086980 662400 ) ( * 663340 0 )
NEW met3 ( 1084220 662400 ) ( * 662660 )
NEW met3 ( 1084220 662400 ) ( 1086980 * )
NEW met4 ( 533230 474300 ) ( 534060 * )
NEW met4 ( 533230 474300 ) ( * 476000 0 )
NEW met1 ( 537510 420750 ) ( 935870 * )
NEW met1 ( 935870 662490 ) ( 1069730 * )
NEW met3 ( 534060 455940 ) ( 537510 * )
NEW met4 ( 534060 455940 ) ( * 474300 )
NEW met2 ( 537510 420750 ) ( * 455940 )
NEW met2 ( 935870 420750 ) ( * 662490 )
NEW met1 ( 1069730 662490 ) M1M2_PR
NEW met2 ( 1069730 662660 ) M2M3_PR
NEW met1 ( 537510 420750 ) M1M2_PR
NEW met1 ( 935870 420750 ) M1M2_PR
NEW met1 ( 935870 662490 ) M1M2_PR
NEW met3 ( 534060 455940 ) M3M4_PR
NEW met2 ( 537510 455940 ) M2M3_PR ;
- oram_dout0\[9\] ( wb_openram_wrapper ram_dout0[9] ) ( openram_1kB dout0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1086060 664020 ) ( * 666740 0 )
NEW met4 ( 540500 474300 ) ( 540710 * )
NEW met4 ( 540710 474300 ) ( * 476000 0 )
NEW met3 ( 544870 399500 ) ( 831220 * )
NEW met3 ( 831220 664020 ) ( 1086060 * )
NEW met3 ( 540500 455940 ) ( 544870 * )
NEW met4 ( 540500 455940 ) ( * 474300 )
NEW met2 ( 544870 399500 ) ( * 455940 )
NEW met4 ( 831220 399500 ) ( * 664020 )
NEW met2 ( 544870 399500 ) M2M3_PR
NEW met3 ( 831220 399500 ) M3M4_PR
NEW met3 ( 831220 664020 ) M3M4_PR
NEW met3 ( 540500 455940 ) M3M4_PR
NEW met2 ( 544870 455940 ) M2M3_PR ;
- oram_dout1\[0\] ( wb_openram_wrapper ram_dout1[0] ) ( openram_1kB dout1[0] ) + USE SIGNAL
+ ROUTED met4 ( 484950 872470 0 ) ( * 875500 )
NEW met4 ( 484950 875500 ) ( 485300 * )
NEW met4 ( 485300 875500 ) ( * 888420 )
NEW met3 ( 485300 888420 ) ( 485530 * )
NEW met2 ( 1069730 777580 ) ( * 779450 )
NEW met3 ( 1069730 777580 ) ( 1086060 * )
NEW met3 ( 1086060 775540 0 ) ( * 777580 )
NEW met2 ( 485530 888420 ) ( * 904230 )
NEW met1 ( 853070 779450 ) ( 1069730 * )
NEW met1 ( 485530 904230 ) ( 853070 * )
NEW met2 ( 853070 779450 ) ( * 904230 )
NEW met3 ( 485300 888420 ) M3M4_PR
NEW met2 ( 485530 888420 ) M2M3_PR
NEW met1 ( 1069730 779450 ) M1M2_PR
NEW met2 ( 1069730 777580 ) M2M3_PR
NEW met1 ( 485530 904230 ) M1M2_PR
NEW met1 ( 853070 779450 ) M1M2_PR
NEW met1 ( 853070 904230 ) M1M2_PR
NEW met3 ( 485300 888420 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[10\] ( wb_openram_wrapper ram_dout1[10] ) ( openram_1kB dout1[10] ) + USE SIGNAL
+ ROUTED met2 ( 607430 889610 ) ( * 897260 )
NEW met3 ( 1072260 810220 ) ( 1086060 * )
NEW met3 ( 1086060 808860 0 ) ( * 810220 )
NEW met4 ( 1072260 810220 ) ( * 897260 )
NEW met4 ( 547510 872470 0 ) ( * 875500 )
NEW met4 ( 547510 875500 ) ( 547860 * )
NEW met4 ( 547860 875500 ) ( * 883660 )
NEW met3 ( 547860 883660 ) ( 549930 * )
NEW met2 ( 549930 883660 ) ( * 889610 )
NEW met1 ( 549930 889610 ) ( 607430 * )
NEW met3 ( 607430 897260 ) ( 1072260 * )
NEW met1 ( 607430 889610 ) M1M2_PR
NEW met2 ( 607430 897260 ) M2M3_PR
NEW met3 ( 1072260 897260 ) M3M4_PR
NEW met3 ( 1072260 810220 ) M3M4_PR
NEW met3 ( 547860 883660 ) M3M4_PR
NEW met2 ( 549930 883660 ) M2M3_PR
NEW met1 ( 549930 889610 ) M1M2_PR ;
- oram_dout1\[11\] ( wb_openram_wrapper ram_dout1[11] ) ( openram_1kB dout1[11] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 812940 ) ( * 814130 )
NEW met3 ( 1069730 812940 ) ( 1086060 * )
NEW met3 ( 1086060 811580 0 ) ( * 812940 )
NEW met4 ( 553630 872470 0 ) ( * 875500 )
NEW met4 ( 553380 875500 ) ( 553630 * )
NEW met4 ( 553380 875500 ) ( * 888420 )
NEW met3 ( 553380 888420 ) ( 553610 * )
NEW met2 ( 553610 888420 ) ( * 911030 )
NEW met1 ( 553610 911030 ) ( 845250 * )
NEW met2 ( 845250 814130 ) ( * 911030 )
NEW met1 ( 845250 814130 ) ( 1069730 * )
NEW met1 ( 1069730 814130 ) M1M2_PR
NEW met2 ( 1069730 812940 ) M2M3_PR
NEW met3 ( 553380 888420 ) M3M4_PR
NEW met2 ( 553610 888420 ) M2M3_PR
NEW met1 ( 553610 911030 ) M1M2_PR
NEW met1 ( 845250 814130 ) M1M2_PR
NEW met1 ( 845250 911030 ) M1M2_PR
NEW met3 ( 553380 888420 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[12\] ( wb_openram_wrapper ram_dout1[12] ) ( openram_1kB dout1[12] ) + USE SIGNAL
+ ROUTED met3 ( 1086060 814980 0 ) ( * 815660 )
NEW met4 ( 560430 872470 0 ) ( * 875500 )
NEW met4 ( 560430 875500 ) ( 560740 * )
NEW met4 ( 560740 875500 ) ( * 889780 )
NEW met3 ( 560740 889780 ) ( 565800 * )
NEW met3 ( 565800 889780 ) ( * 890460 )
NEW met3 ( 565800 890460 ) ( 831220 * )
NEW met4 ( 831220 814300 ) ( * 890460 )
NEW met3 ( 831220 814300 ) ( 1000500 * )
NEW met3 ( 1000500 814300 ) ( * 815660 )
NEW met3 ( 1000500 815660 ) ( 1086060 * )
NEW met3 ( 560740 889780 ) M3M4_PR
NEW met3 ( 831220 890460 ) M3M4_PR
NEW met3 ( 831220 814300 ) M3M4_PR ;
- oram_dout1\[13\] ( wb_openram_wrapper ram_dout1[13] ) ( openram_1kB dout1[13] ) + USE SIGNAL
+ ROUTED met4 ( 565870 872470 0 ) ( * 875500 )
NEW met4 ( 565870 875500 ) ( 566260 * )
NEW met4 ( 566260 875500 ) ( * 885020 )
NEW met3 ( 566260 885020 ) ( 570170 * )
NEW met2 ( 570170 885020 ) ( * 897770 )
NEW met2 ( 1069730 819740 ) ( * 820930 )
NEW met3 ( 1069730 819740 ) ( 1086060 * )
NEW met3 ( 1086060 818380 0 ) ( * 819740 )
NEW met1 ( 570170 897770 ) ( 831450 * )
NEW met2 ( 831450 820930 ) ( * 897770 )
NEW met1 ( 831450 820930 ) ( 1069730 * )
NEW met3 ( 566260 885020 ) M3M4_PR
NEW met2 ( 570170 885020 ) M2M3_PR
NEW met1 ( 570170 897770 ) M1M2_PR
NEW met1 ( 1069730 820930 ) M1M2_PR
NEW met2 ( 1069730 819740 ) M2M3_PR
NEW met1 ( 831450 897770 ) M1M2_PR
NEW met1 ( 831450 820930 ) M1M2_PR ;
- oram_dout1\[14\] ( wb_openram_wrapper ram_dout1[14] ) ( openram_1kB dout1[14] ) + USE SIGNAL
+ ROUTED met4 ( 571990 872470 0 ) ( * 875500 )
NEW met4 ( 571780 875500 ) ( 571990 * )
NEW met4 ( 571780 875500 ) ( * 888420 )
NEW met3 ( 571780 888420 ) ( 572010 * )
NEW met2 ( 572010 888420 ) ( * 904570 )
NEW met2 ( 1070190 823820 ) ( * 827390 )
NEW met3 ( 1070190 823820 ) ( 1086060 * )
NEW met3 ( 1086060 821780 0 ) ( * 823820 )
NEW met1 ( 572010 904570 ) ( 838810 * )
NEW met2 ( 838810 827390 ) ( * 904570 )
NEW met1 ( 838810 827390 ) ( 1070190 * )
NEW met3 ( 571780 888420 ) M3M4_PR
NEW met2 ( 572010 888420 ) M2M3_PR
NEW met1 ( 572010 904570 ) M1M2_PR
NEW met1 ( 1070190 827390 ) M1M2_PR
NEW met2 ( 1070190 823820 ) M2M3_PR
NEW met1 ( 838810 827390 ) M1M2_PR
NEW met1 ( 838810 904570 ) M1M2_PR
NEW met3 ( 571780 888420 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[15\] ( wb_openram_wrapper ram_dout1[15] ) ( openram_1kB dout1[15] ) + USE SIGNAL
+ ROUTED met4 ( 578790 872470 0 ) ( * 875500 )
NEW met4 ( 578790 875500 ) ( 579140 * )
NEW met4 ( 579140 875500 ) ( * 885020 )
NEW met3 ( 579140 885020 ) ( 579370 * )
NEW met2 ( 579370 885020 ) ( * 897430 )
NEW met2 ( 1069730 826540 ) ( * 827730 )
NEW met3 ( 1069730 826540 ) ( 1086060 * )
NEW met3 ( 1086060 825180 0 ) ( * 826540 )
NEW met1 ( 579370 897430 ) ( 839730 * )
NEW met2 ( 839730 827730 ) ( * 897430 )
NEW met1 ( 839730 827730 ) ( 1069730 * )
NEW met3 ( 579140 885020 ) M3M4_PR
NEW met2 ( 579370 885020 ) M2M3_PR
NEW met1 ( 579370 897430 ) M1M2_PR
NEW met1 ( 1069730 827730 ) M1M2_PR
NEW met2 ( 1069730 826540 ) M2M3_PR
NEW met1 ( 839730 897430 ) M1M2_PR
NEW met1 ( 839730 827730 ) M1M2_PR
NEW met3 ( 579140 885020 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[16\] ( wb_openram_wrapper ram_dout1[16] ) ( openram_1kB dout1[16] ) + USE SIGNAL
+ ROUTED met4 ( 584910 872470 0 ) ( * 875500 )
NEW met4 ( 584660 875500 ) ( 584910 * )
NEW met4 ( 584660 875500 ) ( * 877540 )
NEW met3 ( 584660 877540 ) ( 584890 * )
NEW met2 ( 584890 877540 ) ( * 879750 )
NEW met3 ( 1066970 830620 ) ( 1086060 * )
NEW met3 ( 1086060 828580 0 ) ( * 830620 )
NEW met2 ( 1066970 830620 ) ( * 879750 )
NEW met1 ( 584890 879750 ) ( 1066970 * )
NEW met3 ( 584660 877540 ) M3M4_PR
NEW met2 ( 584890 877540 ) M2M3_PR
NEW met1 ( 584890 879750 ) M1M2_PR
NEW met1 ( 1066970 879750 ) M1M2_PR
NEW met2 ( 1066970 830620 ) M2M3_PR
NEW met3 ( 584660 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[17\] ( wb_openram_wrapper ram_dout1[17] ) ( openram_1kB dout1[17] ) + USE SIGNAL
+ ROUTED met4 ( 591710 872470 0 ) ( * 875500 )
NEW met4 ( 591710 875500 ) ( 592020 * )
NEW met4 ( 592020 875500 ) ( * 888420 )
NEW met3 ( 592020 888420 ) ( 592710 * )
NEW met2 ( 592710 888420 ) ( * 904910 )
NEW met2 ( 859510 834870 ) ( * 904910 )
NEW met2 ( 1069730 833340 ) ( * 834870 )
NEW met3 ( 1069730 833340 ) ( 1086060 * )
NEW met3 ( 1086060 831980 0 ) ( * 833340 )
NEW met1 ( 592710 904910 ) ( 859510 * )
NEW met1 ( 859510 834870 ) ( 1069730 * )
NEW met3 ( 592020 888420 ) M3M4_PR
NEW met2 ( 592710 888420 ) M2M3_PR
NEW met1 ( 592710 904910 ) M1M2_PR
NEW met1 ( 859510 834870 ) M1M2_PR
NEW met1 ( 859510 904910 ) M1M2_PR
NEW met1 ( 1069730 834870 ) M1M2_PR
NEW met2 ( 1069730 833340 ) M2M3_PR ;
- oram_dout1\[18\] ( wb_openram_wrapper ram_dout1[18] ) ( openram_1kB dout1[18] ) + USE SIGNAL
+ ROUTED met4 ( 597150 872470 0 ) ( * 875500 )
NEW met4 ( 597150 875500 ) ( 597540 * )
NEW met4 ( 597540 875500 ) ( * 883660 )
NEW met3 ( 597540 883660 ) ( 597770 * )
NEW met2 ( 597770 883660 ) ( * 889950 )
NEW met2 ( 679650 889950 ) ( * 938570 )
NEW met3 ( 1073410 834700 ) ( 1085140 * )
NEW met2 ( 1073410 834700 ) ( * 938570 )
NEW met1 ( 597770 889950 ) ( 679650 * )
NEW met1 ( 679650 938570 ) ( 1073410 * )
NEW met3 ( 1085140 834700 ) ( * 834900 )
NEW met3 ( 1086060 834700 0 ) ( * 834900 )
NEW met3 ( 1085140 834900 ) ( 1086060 * )
NEW met3 ( 597540 883660 ) M3M4_PR
NEW met2 ( 597770 883660 ) M2M3_PR
NEW met1 ( 597770 889950 ) M1M2_PR
NEW met1 ( 679650 889950 ) M1M2_PR
NEW met1 ( 679650 938570 ) M1M2_PR
NEW met2 ( 1073410 834700 ) M2M3_PR
NEW met1 ( 1073410 938570 ) M1M2_PR
NEW met3 ( 597540 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[19\] ( wb_openram_wrapper ram_dout1[19] ) ( openram_1kB dout1[19] ) + USE SIGNAL
+ ROUTED met4 ( 603950 872470 0 ) ( * 875500 )
NEW met4 ( 603950 875500 ) ( 603980 * )
NEW met4 ( 603980 875500 ) ( * 888420 )
NEW met3 ( 603980 888420 ) ( 606970 * )
NEW met2 ( 606970 888420 ) ( * 917830 )
NEW met2 ( 1070190 839460 ) ( * 841330 )
NEW met1 ( 606970 917830 ) ( 831910 * )
NEW met2 ( 831910 841330 ) ( * 917830 )
NEW met1 ( 831910 841330 ) ( 1070190 * )
NEW met3 ( 1086060 838100 0 ) ( * 839460 )
NEW met3 ( 1070190 839460 ) ( 1086060 * )
NEW met3 ( 603980 888420 ) M3M4_PR
NEW met2 ( 606970 888420 ) M2M3_PR
NEW met1 ( 606970 917830 ) M1M2_PR
NEW met1 ( 1070190 841330 ) M1M2_PR
NEW met2 ( 1070190 839460 ) M2M3_PR
NEW met1 ( 831910 841330 ) M1M2_PR
NEW met1 ( 831910 917830 ) M1M2_PR ;
- oram_dout1\[1\] ( wb_openram_wrapper ram_dout1[1] ) ( openram_1kB dout1[1] ) + USE SIGNAL
+ ROUTED met4 ( 491750 872470 0 ) ( * 875500 )
NEW met4 ( 491740 875500 ) ( 491750 * )
NEW met4 ( 491740 875500 ) ( * 877540 )
NEW met3 ( 1066510 779620 ) ( 1086060 * )
NEW met3 ( 1086060 778940 0 ) ( * 779620 )
NEW met2 ( 1066510 779620 ) ( * 876860 )
NEW met3 ( 491740 877540 ) ( 517500 * )
NEW met3 ( 517500 876860 ) ( * 877540 )
NEW met3 ( 517500 876860 ) ( 1066510 * )
NEW met3 ( 491740 877540 ) M3M4_PR
NEW met2 ( 1066510 779620 ) M2M3_PR
NEW met2 ( 1066510 876860 ) M2M3_PR ;
- oram_dout1\[20\] ( wb_openram_wrapper ram_dout1[20] ) ( openram_1kB dout1[20] ) + USE SIGNAL
+ ROUTED met4 ( 609390 872470 0 ) ( * 875500 )
NEW met4 ( 609390 875500 ) ( 609500 * )
NEW met4 ( 609500 875500 ) ( * 883660 )
NEW met3 ( 609500 883660 ) ( 610650 * )
NEW met2 ( 610650 883660 ) ( * 890290 )
NEW met2 ( 1069730 840140 ) ( * 841670 )
NEW met1 ( 610650 890290 ) ( 832370 * )
NEW met2 ( 832370 841670 ) ( * 890290 )
NEW met1 ( 832370 841670 ) ( 1069730 * )
NEW met3 ( 1086060 840140 ) ( * 841500 0 )
NEW met3 ( 1069730 840140 ) ( 1086060 * )
NEW met3 ( 609500 883660 ) M3M4_PR
NEW met2 ( 610650 883660 ) M2M3_PR
NEW met1 ( 610650 890290 ) M1M2_PR
NEW met1 ( 1069730 841670 ) M1M2_PR
NEW met2 ( 1069730 840140 ) M2M3_PR
NEW met1 ( 832370 890290 ) M1M2_PR
NEW met1 ( 832370 841670 ) M1M2_PR ;
- oram_dout1\[21\] ( wb_openram_wrapper ram_dout1[21] ) ( openram_1kB dout1[21] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 846940 ) ( * 848470 )
NEW met4 ( 615510 872470 0 ) ( * 875500 )
NEW met4 ( 615510 875500 ) ( 615940 * )
NEW met4 ( 615940 875500 ) ( * 885700 )
NEW met3 ( 615940 885700 ) ( 620770 * )
NEW met2 ( 620770 885700 ) ( * 924970 )
NEW met1 ( 620770 924970 ) ( 839270 * )
NEW met2 ( 839270 848470 ) ( * 924970 )
NEW met1 ( 839270 848470 ) ( 1070190 * )
NEW met3 ( 1086060 844900 0 ) ( * 846940 )
NEW met3 ( 1070190 846940 ) ( 1086060 * )
NEW met1 ( 1070190 848470 ) M1M2_PR
NEW met2 ( 1070190 846940 ) M2M3_PR
NEW met3 ( 615940 885700 ) M3M4_PR
NEW met2 ( 620770 885700 ) M2M3_PR
NEW met1 ( 620770 924970 ) M1M2_PR
NEW met1 ( 839270 848470 ) M1M2_PR
NEW met1 ( 839270 924970 ) M1M2_PR ;
- oram_dout1\[22\] ( wb_openram_wrapper ram_dout1[22] ) ( openram_1kB dout1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 848130 ) ( * 848300 )
NEW met4 ( 622310 872470 0 ) ( * 875500 )
NEW met4 ( 622310 875500 ) ( 622380 * )
NEW met4 ( 622380 875500 ) ( * 883660 )
NEW met3 ( 622380 883660 ) ( 622610 * )
NEW met2 ( 622610 883660 ) ( * 884850 )
NEW met1 ( 622610 884850 ) ( 853530 * )
NEW met2 ( 853530 848130 ) ( * 884850 )
NEW met1 ( 853530 848130 ) ( 1069730 * )
NEW met3 ( 1085140 848300 ) ( * 848980 )
NEW met3 ( 1085140 848980 ) ( 1086060 * )
NEW met3 ( 1086060 848300 0 ) ( * 848980 )
NEW met3 ( 1069730 848300 ) ( 1085140 * )
NEW met1 ( 1069730 848130 ) M1M2_PR
NEW met2 ( 1069730 848300 ) M2M3_PR
NEW met3 ( 622380 883660 ) M3M4_PR
NEW met2 ( 622610 883660 ) M2M3_PR
NEW met1 ( 622610 884850 ) M1M2_PR
NEW met1 ( 853530 884850 ) M1M2_PR
NEW met1 ( 853530 848130 ) M1M2_PR
NEW met3 ( 622380 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[23\] ( wb_openram_wrapper ram_dout1[23] ) ( openram_1kB dout1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1073870 853740 ) ( * 924630 )
NEW met4 ( 628430 872470 0 ) ( * 875500 )
NEW met4 ( 628430 875500 ) ( 628820 * )
NEW met4 ( 628820 875500 ) ( * 888420 )
NEW met3 ( 628820 888420 ) ( 634570 * )
NEW met2 ( 634570 888420 ) ( * 924630 )
NEW met1 ( 634570 924630 ) ( 1073870 * )
NEW met3 ( 1086060 851700 0 ) ( * 853740 )
NEW met3 ( 1073870 853740 ) ( 1086060 * )
NEW met2 ( 1073870 853740 ) M2M3_PR
NEW met1 ( 1073870 924630 ) M1M2_PR
NEW met3 ( 628820 888420 ) M3M4_PR
NEW met2 ( 634570 888420 ) M2M3_PR
NEW met1 ( 634570 924630 ) M1M2_PR ;
- oram_dout1\[24\] ( wb_openram_wrapper ram_dout1[24] ) ( openram_1kB dout1[24] ) + USE SIGNAL
+ ROUTED met2 ( 665850 889610 ) ( * 931770 )
NEW met2 ( 1069730 855100 ) ( * 855270 )
NEW met4 ( 635230 872470 0 ) ( * 875500 )
NEW met4 ( 635230 875500 ) ( 635260 * )
NEW met4 ( 635260 875500 ) ( * 883660 )
NEW met3 ( 635260 883660 ) ( 639630 * )
NEW met2 ( 639630 883660 ) ( * 889610 )
NEW met1 ( 639630 889610 ) ( 665850 * )
NEW met1 ( 1046270 855270 ) ( 1069730 * )
NEW met1 ( 665850 931770 ) ( 1046270 * )
NEW met2 ( 1046270 855270 ) ( * 931770 )
NEW met3 ( 1085140 855100 ) ( * 855780 )
NEW met3 ( 1085140 855780 ) ( 1086060 * )
NEW met3 ( 1086060 855100 0 ) ( * 855780 )
NEW met3 ( 1069730 855100 ) ( 1085140 * )
NEW met1 ( 665850 889610 ) M1M2_PR
NEW met1 ( 665850 931770 ) M1M2_PR
NEW met1 ( 1069730 855270 ) M1M2_PR
NEW met2 ( 1069730 855100 ) M2M3_PR
NEW met3 ( 635260 883660 ) M3M4_PR
NEW met2 ( 639630 883660 ) M2M3_PR
NEW met1 ( 639630 889610 ) M1M2_PR
NEW met1 ( 1046270 855270 ) M1M2_PR
NEW met1 ( 1046270 931770 ) M1M2_PR ;
- oram_dout1\[25\] ( wb_openram_wrapper ram_dout1[25] ) ( openram_1kB dout1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 859860 ) ( * 862410 )
NEW met4 ( 640670 872470 0 ) ( * 875500 )
NEW met4 ( 640670 875500 ) ( 640780 * )
NEW met4 ( 640780 875500 ) ( * 877540 )
NEW met3 ( 640780 877540 ) ( 641010 * )
NEW met2 ( 641010 877540 ) ( * 881450 )
NEW met2 ( 835130 862410 ) ( * 881450 )
NEW met1 ( 641010 881450 ) ( 835130 * )
NEW met1 ( 835130 862410 ) ( 1069730 * )
NEW met3 ( 1086060 857820 0 ) ( * 859860 )
NEW met3 ( 1069730 859860 ) ( 1086060 * )
NEW met1 ( 1069730 862410 ) M1M2_PR
NEW met2 ( 1069730 859860 ) M2M3_PR
NEW met3 ( 640780 877540 ) M3M4_PR
NEW met2 ( 641010 877540 ) M2M3_PR
NEW met1 ( 641010 881450 ) M1M2_PR
NEW met1 ( 835130 881450 ) M1M2_PR
NEW met1 ( 835130 862410 ) M1M2_PR
NEW met3 ( 640780 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[26\] ( wb_openram_wrapper ram_dout1[26] ) ( openram_1kB dout1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1070650 861900 ) ( * 880090 )
NEW met4 ( 647470 872470 0 ) ( * 875500 )
NEW met4 ( 647220 875500 ) ( 647470 * )
NEW met4 ( 647220 875500 ) ( * 877540 )
NEW met3 ( 647220 877540 ) ( 647450 * )
NEW met2 ( 647450 877540 ) ( * 880090 )
NEW met1 ( 647450 880090 ) ( 1070650 * )
NEW met3 ( 1086060 861220 0 ) ( * 861900 )
NEW met3 ( 1070650 861900 ) ( 1086060 * )
NEW met1 ( 1070650 880090 ) M1M2_PR
NEW met2 ( 1070650 861900 ) M2M3_PR
NEW met3 ( 647220 877540 ) M3M4_PR
NEW met2 ( 647450 877540 ) M2M3_PR
NEW met1 ( 647450 880090 ) M1M2_PR
NEW met3 ( 647220 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[27\] ( wb_openram_wrapper ram_dout1[27] ) ( openram_1kB dout1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 866660 ) ( * 868870 )
NEW met4 ( 653590 872470 0 ) ( * 875500 )
NEW met4 ( 653590 875500 ) ( 653660 * )
NEW met4 ( 653660 875500 ) ( * 888420 )
NEW met3 ( 653660 888420 ) ( 653890 * )
NEW met1 ( 832830 868870 ) ( 1070190 * )
NEW met2 ( 653890 888420 ) ( * 911370 )
NEW met1 ( 653890 911370 ) ( 832830 * )
NEW met2 ( 832830 868870 ) ( * 911370 )
NEW met3 ( 1086060 864620 0 ) ( * 866660 )
NEW met3 ( 1070190 866660 ) ( 1086060 * )
NEW met1 ( 1070190 868870 ) M1M2_PR
NEW met2 ( 1070190 866660 ) M2M3_PR
NEW met3 ( 653660 888420 ) M3M4_PR
NEW met2 ( 653890 888420 ) M2M3_PR
NEW met1 ( 832830 868870 ) M1M2_PR
NEW met1 ( 653890 911370 ) M1M2_PR
NEW met1 ( 832830 911370 ) M1M2_PR
NEW met3 ( 653660 888420 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[28\] ( wb_openram_wrapper ram_dout1[28] ) ( openram_1kB dout1[28] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 868700 ) ( * 869210 )
NEW met4 ( 660390 872470 0 ) ( * 875500 )
NEW met4 ( 660390 875500 ) ( 661940 * )
NEW met4 ( 661940 875500 ) ( * 888420 )
NEW met3 ( 661940 888420 ) ( 662170 * )
NEW met1 ( 825010 869210 ) ( 1069730 * )
NEW met2 ( 662170 888420 ) ( * 905250 )
NEW met1 ( 662170 905250 ) ( 825010 * )
NEW met2 ( 825010 869210 ) ( * 905250 )
NEW met3 ( 1086060 868020 0 ) ( * 868700 )
NEW met3 ( 1069730 868700 ) ( 1086060 * )
NEW met1 ( 1069730 869210 ) M1M2_PR
NEW met2 ( 1069730 868700 ) M2M3_PR
NEW met3 ( 661940 888420 ) M3M4_PR
NEW met2 ( 662170 888420 ) M2M3_PR
NEW met1 ( 825010 869210 ) M1M2_PR
NEW met1 ( 662170 905250 ) M1M2_PR
NEW met1 ( 825010 905250 ) M1M2_PR
NEW met3 ( 661940 888420 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[29\] ( wb_openram_wrapper ram_dout1[29] ) ( openram_1kB dout1[29] ) + USE SIGNAL
+ ROUTED met4 ( 665830 872470 0 ) ( * 875500 )
NEW met4 ( 665620 875500 ) ( 665830 * )
NEW met4 ( 665620 875500 ) ( * 883660 )
NEW met3 ( 665620 883660 ) ( 665850 * )
NEW met2 ( 665850 883490 ) ( * 883660 )
NEW met1 ( 1067430 883150 ) ( * 883490 )
NEW met1 ( 1067430 883150 ) ( 1070190 * )
NEW met2 ( 1070190 873460 ) ( * 883150 )
NEW met1 ( 665850 883490 ) ( 1067430 * )
NEW met3 ( 1086060 871420 0 ) ( * 873460 )
NEW met3 ( 1070190 873460 ) ( 1086060 * )
NEW met3 ( 665620 883660 ) M3M4_PR
NEW met2 ( 665850 883660 ) M2M3_PR
NEW met1 ( 665850 883490 ) M1M2_PR
NEW met1 ( 1070190 883150 ) M1M2_PR
NEW met2 ( 1070190 873460 ) M2M3_PR
NEW met3 ( 665620 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[2\] ( wb_openram_wrapper ram_dout1[2] ) ( openram_1kB dout1[2] ) + USE SIGNAL
+ ROUTED met4 ( 497190 872470 0 ) ( * 875500 )
NEW met4 ( 497190 875500 ) ( 497260 * )
NEW met4 ( 497260 875500 ) ( * 882300 )
NEW met3 ( 497260 882300 ) ( * 883660 )
NEW met3 ( 497260 883660 ) ( 503470 * )
NEW met2 ( 1070190 784380 ) ( * 786590 )
NEW met3 ( 1070190 784380 ) ( 1086060 * )
NEW met3 ( 1086060 782340 0 ) ( * 784380 )
NEW met2 ( 503470 883660 ) ( * 952850 )
NEW met1 ( 503470 952850 ) ( 852610 * )
NEW met1 ( 852610 786590 ) ( 1070190 * )
NEW met2 ( 852610 786590 ) ( * 952850 )
NEW met3 ( 497260 882300 ) M3M4_PR
NEW met2 ( 503470 883660 ) M2M3_PR
NEW met1 ( 503470 952850 ) M1M2_PR
NEW met1 ( 1070190 786590 ) M1M2_PR
NEW met2 ( 1070190 784380 ) M2M3_PR
NEW met1 ( 852610 786590 ) M1M2_PR
NEW met1 ( 852610 952850 ) M1M2_PR ;
- oram_dout1\[30\] ( wb_openram_wrapper ram_dout1[30] ) ( openram_1kB dout1[30] ) + USE SIGNAL
+ ROUTED met4 ( 671950 872470 0 ) ( * 875500 )
NEW met4 ( 671950 875500 ) ( 672060 * )
NEW met4 ( 672060 875500 ) ( * 883660 )
NEW met3 ( 672060 883660 ) ( 672290 * )
NEW met2 ( 672290 883660 ) ( * 885190 )
NEW met2 ( 1069730 875500 ) ( * 876010 )
NEW met2 ( 827770 876010 ) ( * 885190 )
NEW met1 ( 672290 885190 ) ( 827770 * )
NEW met1 ( 827770 876010 ) ( 1069730 * )
NEW met3 ( 1086060 874820 0 ) ( * 875500 )
NEW met3 ( 1069730 875500 ) ( 1086060 * )
NEW met3 ( 672060 883660 ) M3M4_PR
NEW met2 ( 672290 883660 ) M2M3_PR
NEW met1 ( 672290 885190 ) M1M2_PR
NEW met1 ( 1069730 876010 ) M1M2_PR
NEW met2 ( 1069730 875500 ) M2M3_PR
NEW met1 ( 827770 885190 ) M1M2_PR
NEW met1 ( 827770 876010 ) M1M2_PR
NEW met3 ( 672060 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[31\] ( wb_openram_wrapper ram_dout1[31] ) ( openram_1kB dout1[31] ) + USE SIGNAL
+ ROUTED met4 ( 678750 872470 0 ) ( * 875500 )
NEW met4 ( 678750 875500 ) ( 680340 * )
NEW met4 ( 680340 875500 ) ( * 883660 )
NEW met3 ( 680340 883660 ) ( 680570 * )
NEW met2 ( 680570 883660 ) ( * 883830 )
NEW met2 ( 1069730 880940 ) ( * 883830 )
NEW met1 ( 680570 883830 ) ( 1069730 * )
NEW met3 ( 1086060 878220 0 ) ( * 880940 )
NEW met3 ( 1069730 880940 ) ( 1086060 * )
NEW met3 ( 680340 883660 ) M3M4_PR
NEW met2 ( 680570 883660 ) M2M3_PR
NEW met1 ( 680570 883830 ) M1M2_PR
NEW met1 ( 1069730 883830 ) M1M2_PR
NEW met2 ( 1069730 880940 ) M2M3_PR
NEW met3 ( 680340 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[3\] ( wb_openram_wrapper ram_dout1[3] ) ( openram_1kB dout1[3] ) + USE SIGNAL
+ ROUTED met4 ( 503990 872470 0 ) ( * 875500 )
NEW met4 ( 503700 875500 ) ( 503990 * )
NEW met4 ( 503700 875500 ) ( * 888420 )
NEW met3 ( 503700 888420 ) ( 510370 * )
NEW met2 ( 1069730 786250 ) ( * 786420 )
NEW met3 ( 1069730 786420 ) ( 1086060 * )
NEW met3 ( 1086060 785740 0 ) ( * 786420 )
NEW met2 ( 510370 888420 ) ( * 945370 )
NEW met2 ( 865950 786250 ) ( * 945370 )
NEW met1 ( 865950 786250 ) ( 1069730 * )
NEW met1 ( 510370 945370 ) ( 865950 * )
NEW met3 ( 503700 888420 ) M3M4_PR
NEW met2 ( 510370 888420 ) M2M3_PR
NEW met1 ( 865950 786250 ) M1M2_PR
NEW met1 ( 1069730 786250 ) M1M2_PR
NEW met2 ( 1069730 786420 ) M2M3_PR
NEW met1 ( 510370 945370 ) M1M2_PR
NEW met1 ( 865950 945370 ) M1M2_PR ;
- oram_dout1\[4\] ( wb_openram_wrapper ram_dout1[4] ) ( openram_1kB dout1[4] ) + USE SIGNAL
+ ROUTED met4 ( 510110 872470 0 ) ( * 875500 )
NEW met4 ( 510110 875500 ) ( 510140 * )
NEW met4 ( 510140 875500 ) ( * 884340 )
NEW met2 ( 1070650 790500 ) ( * 803420 )
NEW met3 ( 1070650 790500 ) ( 1086060 * )
NEW met3 ( 1086060 788460 0 ) ( * 790500 )
NEW met3 ( 510140 884340 ) ( 841340 * )
NEW met3 ( 841340 803420 ) ( 1070650 * )
NEW met4 ( 841340 803420 ) ( * 884340 )
NEW met3 ( 510140 884340 ) M3M4_PR
NEW met2 ( 1070650 803420 ) M2M3_PR
NEW met2 ( 1070650 790500 ) M2M3_PR
NEW met3 ( 841340 803420 ) M3M4_PR
NEW met3 ( 841340 884340 ) M3M4_PR ;
- oram_dout1\[5\] ( wb_openram_wrapper ram_dout1[5] ) ( openram_1kB dout1[5] ) + USE SIGNAL
+ ROUTED met4 ( 516910 872470 0 ) ( * 875500 )
NEW met4 ( 516580 875500 ) ( 516910 * )
NEW met4 ( 516580 875500 ) ( * 882300 )
NEW met3 ( 516580 882300 ) ( * 883660 )
NEW met3 ( 516580 883660 ) ( 516810 * )
NEW met2 ( 516810 883660 ) ( * 884170 )
NEW met2 ( 1069730 793220 ) ( * 793390 )
NEW met3 ( 1069730 793220 ) ( 1086060 * )
NEW met3 ( 1086060 791860 0 ) ( * 793220 )
NEW met1 ( 516810 884170 ) ( 845710 * )
NEW met1 ( 845710 793390 ) ( 1069730 * )
NEW met2 ( 845710 793390 ) ( * 884170 )
NEW met3 ( 516580 882300 ) M3M4_PR
NEW met2 ( 516810 883660 ) M2M3_PR
NEW met1 ( 516810 884170 ) M1M2_PR
NEW met1 ( 1069730 793390 ) M1M2_PR
NEW met2 ( 1069730 793220 ) M2M3_PR
NEW met1 ( 845710 793390 ) M1M2_PR
NEW met1 ( 845710 884170 ) M1M2_PR ;
- oram_dout1\[6\] ( wb_openram_wrapper ram_dout1[6] ) ( openram_1kB dout1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 797300 ) ( * 800190 )
NEW met3 ( 1070190 797300 ) ( 1086060 * )
NEW met3 ( 1086060 795260 0 ) ( * 797300 )
NEW met4 ( 523030 872470 0 ) ( * 875500 )
NEW met4 ( 523020 875500 ) ( 523030 * )
NEW met4 ( 523020 875500 ) ( * 877540 )
NEW met3 ( 523020 877540 ) ( 523250 * )
NEW met2 ( 523250 877540 ) ( * 880430 )
NEW met1 ( 523250 880430 ) ( 846630 * )
NEW met1 ( 846630 800190 ) ( 1070190 * )
NEW met2 ( 846630 800190 ) ( * 880430 )
NEW met1 ( 1070190 800190 ) M1M2_PR
NEW met2 ( 1070190 797300 ) M2M3_PR
NEW met3 ( 523020 877540 ) M3M4_PR
NEW met2 ( 523250 877540 ) M2M3_PR
NEW met1 ( 523250 880430 ) M1M2_PR
NEW met1 ( 846630 800190 ) M1M2_PR
NEW met1 ( 846630 880430 ) M1M2_PR
NEW met3 ( 523020 877540 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[7\] ( wb_openram_wrapper ram_dout1[7] ) ( openram_1kB dout1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 799340 ) ( * 799850 )
NEW met3 ( 1069730 799340 ) ( 1086060 * )
NEW met3 ( 1086060 798660 0 ) ( * 799340 )
NEW met2 ( 976810 799850 ) ( * 952510 )
NEW met4 ( 528470 872470 0 ) ( * 875500 )
NEW met4 ( 528470 875500 ) ( 528540 * )
NEW met4 ( 528540 875500 ) ( * 888420 )
NEW met3 ( 528540 888420 ) ( 531070 * )
NEW met1 ( 531070 952510 ) ( 976810 * )
NEW met1 ( 976810 799850 ) ( 1069730 * )
NEW met2 ( 531070 888420 ) ( * 952510 )
NEW met1 ( 976810 799850 ) M1M2_PR
NEW met1 ( 976810 952510 ) M1M2_PR
NEW met1 ( 1069730 799850 ) M1M2_PR
NEW met2 ( 1069730 799340 ) M2M3_PR
NEW met3 ( 528540 888420 ) M3M4_PR
NEW met2 ( 531070 888420 ) M2M3_PR
NEW met1 ( 531070 952510 ) M1M2_PR ;
- oram_dout1\[8\] ( wb_openram_wrapper ram_dout1[8] ) ( openram_1kB dout1[8] ) + USE SIGNAL
+ ROUTED met3 ( 1072950 804100 ) ( 1086060 * )
NEW met3 ( 1086060 802060 0 ) ( * 804100 )
NEW met2 ( 1072950 804100 ) ( * 872610 )
NEW met4 ( 535270 872470 0 ) ( * 875500 )
NEW met4 ( 534980 875500 ) ( 535270 * )
NEW met4 ( 534980 875500 ) ( * 883660 )
NEW met3 ( 534980 883660 ) ( 535210 * )
NEW met2 ( 535210 883660 ) ( * 884510 )
NEW met2 ( 826390 872610 ) ( * 884510 )
NEW met1 ( 535210 884510 ) ( 826390 * )
NEW met1 ( 826390 872610 ) ( 1072950 * )
NEW met2 ( 1072950 804100 ) M2M3_PR
NEW met1 ( 1072950 872610 ) M1M2_PR
NEW met3 ( 534980 883660 ) M3M4_PR
NEW met2 ( 535210 883660 ) M2M3_PR
NEW met1 ( 535210 884510 ) M1M2_PR
NEW met1 ( 826390 884510 ) M1M2_PR
NEW met1 ( 826390 872610 ) M1M2_PR
NEW met3 ( 534980 883660 ) RECT ( -390 -150 0 150 ) ;
- oram_dout1\[9\] ( wb_openram_wrapper ram_dout1[9] ) ( openram_1kB dout1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 806820 ) ( * 806990 )
NEW met3 ( 1069730 806820 ) ( 1086060 * )
NEW met3 ( 1086060 805460 0 ) ( * 806820 )
NEW met4 ( 540710 872470 0 ) ( * 875500 )
NEW met4 ( 540500 875500 ) ( 540710 * )
NEW met4 ( 540500 875500 ) ( * 885020 )
NEW met3 ( 540500 885020 ) ( 540730 * )
NEW met2 ( 540730 885020 ) ( * 897090 )
NEW met1 ( 540730 897090 ) ( 846170 * )
NEW met1 ( 846170 806990 ) ( 1069730 * )
NEW met2 ( 846170 806990 ) ( * 897090 )
NEW met1 ( 1069730 806990 ) M1M2_PR
NEW met2 ( 1069730 806820 ) M2M3_PR
NEW met3 ( 540500 885020 ) M3M4_PR
NEW met2 ( 540730 885020 ) M2M3_PR
NEW met1 ( 540730 897090 ) M1M2_PR
NEW met1 ( 846170 806990 ) M1M2_PR
NEW met1 ( 846170 897090 ) M1M2_PR
NEW met3 ( 540500 885020 ) RECT ( -390 -150 0 150 ) ;
- oram_web0 ( wb_openram_wrapper ram_web0 ) ( openram_1kB web0 ) + USE SIGNAL
+ ROUTED met3 ( 1083530 485180 ) ( 1086060 * )
NEW met3 ( 1086060 485180 ) ( * 487900 0 )
NEW met2 ( 1083530 427550 ) ( * 485180 )
NEW met3 ( 330050 511700 ) ( 340860 * )
NEW met3 ( 340860 511700 ) ( * 511730 )
NEW met3 ( 340860 511730 ) ( 344080 * 0 )
NEW met2 ( 330050 427550 ) ( * 511700 )
NEW met1 ( 330050 427550 ) ( 1083530 * )
NEW met2 ( 1083530 485180 ) M2M3_PR
NEW met1 ( 1083530 427550 ) M1M2_PR
NEW met2 ( 330050 511700 ) M2M3_PR
NEW met1 ( 330050 427550 ) M1M2_PR ;
- oram_wmask0\[0\] ( wb_openram_wrapper ram_wmask0[0] ) ( openram_1kB wmask0[0] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 489940 ) ( * 490450 )
NEW met3 ( 1069730 489940 ) ( 1086980 * )
NEW met3 ( 1086980 489940 ) ( * 491300 0 )
NEW met2 ( 610650 379270 ) ( * 455430 )
NEW met2 ( 997970 379270 ) ( * 490450 )
NEW met4 ( 427830 474300 ) ( 429180 * )
NEW met4 ( 427830 474300 ) ( * 476000 0 )
NEW met1 ( 610650 379270 ) ( 997970 * )
NEW met1 ( 997970 490450 ) ( 1069730 * )
NEW met3 ( 429180 462060 ) ( 429410 * )
NEW met2 ( 429410 455430 ) ( * 462060 )
NEW met4 ( 429180 462060 ) ( * 474300 )
NEW met1 ( 429410 455430 ) ( 610650 * )
NEW met1 ( 610650 379270 ) M1M2_PR
NEW met1 ( 997970 379270 ) M1M2_PR
NEW met1 ( 997970 490450 ) M1M2_PR
NEW met1 ( 1069730 490450 ) M1M2_PR
NEW met2 ( 1069730 489940 ) M2M3_PR
NEW met1 ( 610650 455430 ) M1M2_PR
NEW met3 ( 429180 462060 ) M3M4_PR
NEW met2 ( 429410 462060 ) M2M3_PR
NEW met1 ( 429410 455430 ) M1M2_PR
NEW met3 ( 429180 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_wmask0\[1\] ( wb_openram_wrapper ram_wmask0[1] ) ( openram_1kB wmask0[1] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 490110 ) ( * 491980 )
NEW met3 ( 1070190 491980 ) ( 1086060 * )
NEW met3 ( 1086060 491980 ) ( * 494700 0 )
NEW met4 ( 433270 474300 ) ( 433780 * )
NEW met4 ( 433270 474300 ) ( * 476000 0 )
NEW met1 ( 832370 490110 ) ( 1070190 * )
NEW met3 ( 433780 455940 ) ( 434010 * )
NEW met2 ( 434010 434010 ) ( * 455940 )
NEW met4 ( 433780 455940 ) ( * 474300 )
NEW met1 ( 434010 434010 ) ( 832370 * )
NEW met2 ( 832370 434010 ) ( * 490110 )
NEW met1 ( 1070190 490110 ) M1M2_PR
NEW met2 ( 1070190 491980 ) M2M3_PR
NEW met1 ( 832370 490110 ) M1M2_PR
NEW met3 ( 433780 455940 ) M3M4_PR
NEW met2 ( 434010 455940 ) M2M3_PR
NEW met1 ( 434010 434010 ) M1M2_PR
NEW met1 ( 832370 434010 ) M1M2_PR
NEW met3 ( 433780 455940 ) RECT ( -390 -150 0 150 ) ;
- oram_wmask0\[2\] ( wb_openram_wrapper ram_wmask0[2] ) ( openram_1kB wmask0[2] ) + USE SIGNAL
+ ROUTED met1 ( 1060070 496910 ) ( 1071570 * )
NEW met2 ( 1071570 496910 ) ( * 497420 )
NEW met3 ( 1071570 497420 ) ( 1084220 * )
NEW met3 ( 1084220 496740 ) ( * 497420 )
NEW met3 ( 1084220 496740 ) ( 1086980 * )
NEW met3 ( 1086980 496740 ) ( * 498100 0 )
NEW met2 ( 1060070 455090 ) ( * 496910 )
NEW met4 ( 440070 474300 ) ( 440220 * )
NEW met4 ( 440070 474300 ) ( * 476000 0 )
NEW met3 ( 440220 462060 ) ( 440450 * )
NEW met2 ( 440450 455090 ) ( * 462060 )
NEW met4 ( 440220 462060 ) ( * 474300 )
NEW met1 ( 440450 455090 ) ( 1060070 * )
NEW met1 ( 1060070 496910 ) M1M2_PR
NEW met1 ( 1071570 496910 ) M1M2_PR
NEW met2 ( 1071570 497420 ) M2M3_PR
NEW met1 ( 1060070 455090 ) M1M2_PR
NEW met3 ( 440220 462060 ) M3M4_PR
NEW met2 ( 440450 462060 ) M2M3_PR
NEW met1 ( 440450 455090 ) M1M2_PR
NEW met3 ( 440220 462060 ) RECT ( -390 -150 0 150 ) ;
- oram_wmask0\[3\] ( wb_openram_wrapper ram_wmask0[3] ) ( openram_1kB wmask0[3] ) + USE SIGNAL
+ ROUTED met2 ( 1070650 479570 ) ( * 498780 )
NEW met3 ( 1070650 498780 ) ( 1086060 * )
NEW met3 ( 1086060 498780 ) ( * 501500 0 )
NEW met4 ( 444820 474300 ) ( 444830 * )
NEW met4 ( 444830 474300 ) ( * 476000 0 )
NEW met1 ( 834670 479570 ) ( 1070650 * )
NEW met3 ( 444820 462060 ) ( 445050 * )
NEW met2 ( 445050 462060 ) ( * 462230 )
NEW met4 ( 444820 462060 ) ( * 474300 )
NEW met1 ( 445050 462230 ) ( 834670 * )
NEW met2 ( 834670 462230 ) ( * 479570 )
NEW met1 ( 1070650 479570 ) M1M2_PR
NEW met2 ( 1070650 498780 ) M2M3_PR
NEW met1 ( 834670 479570 ) M1M2_PR
NEW met3 ( 444820 462060 ) M3M4_PR
NEW met2 ( 445050 462060 ) M2M3_PR
NEW met1 ( 445050 462230 ) M1M2_PR
NEW met1 ( 834670 462230 ) M1M2_PR
NEW met3 ( 444820 462060 ) RECT ( -390 -150 0 150 ) ;
- rambus_wb_ack_i ( wrapped_function_generator_0 rambus_wb_ack_i ) ( wb_openram_wrapper wbs_b_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 1262470 694620 ) ( * 696830 )
NEW met3 ( 1244760 694620 0 ) ( 1262470 * )
NEW met2 ( 303370 1038530 ) ( * 1235390 )
NEW met1 ( 1262470 696830 ) ( 1318130 * )
NEW met2 ( 338790 1235390 ) ( * 1238620 )
NEW met3 ( 338790 1238620 ) ( 350980 * )
NEW met3 ( 350980 1238620 ) ( * 1241340 0 )
NEW met1 ( 303370 1235390 ) ( 338790 * )
NEW met1 ( 303370 1038530 ) ( 1318130 * )
NEW met2 ( 1318130 696830 ) ( * 1038530 )
NEW met1 ( 1262470 696830 ) M1M2_PR
NEW met2 ( 1262470 694620 ) M2M3_PR
NEW met1 ( 303370 1038530 ) M1M2_PR
NEW met1 ( 303370 1235390 ) M1M2_PR
NEW met1 ( 1318130 696830 ) M1M2_PR
NEW met1 ( 338790 1235390 ) M1M2_PR
NEW met2 ( 338790 1238620 ) M2M3_PR
NEW met1 ( 1318130 1038530 ) M1M2_PR ;
- rambus_wb_adr_o\[0\] ( wrapped_function_generator_0 rambus_wb_adr_o[0] ) ( wb_openram_wrapper wbs_b_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 706860 ) ( * 710430 )
NEW met3 ( 1244760 706860 0 ) ( 1262470 * )
NEW met3 ( 599380 1401140 0 ) ( 607890 * )
NEW met2 ( 607890 1401140 ) ( * 1401310 )
NEW met1 ( 1262470 710430 ) ( 1312610 * )
NEW met1 ( 1204510 887230 ) ( 1312610 * )
NEW met1 ( 607890 1401310 ) ( 1204510 * )
NEW met2 ( 1204510 887230 ) ( * 1401310 )
NEW met2 ( 1312610 710430 ) ( * 887230 )
NEW met1 ( 1262470 710430 ) M1M2_PR
NEW met2 ( 1262470 706860 ) M2M3_PR
NEW met2 ( 607890 1401140 ) M2M3_PR
NEW met1 ( 607890 1401310 ) M1M2_PR
NEW met1 ( 1204510 887230 ) M1M2_PR
NEW met1 ( 1312610 710430 ) M1M2_PR
NEW met1 ( 1312610 887230 ) M1M2_PR
NEW met1 ( 1204510 1401310 ) M1M2_PR ;
- rambus_wb_adr_o\[1\] ( wrapped_function_generator_0 rambus_wb_adr_o[1] ) ( wb_openram_wrapper wbs_b_adr_i[1] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 708900 0 ) ( 1254190 * )
NEW met2 ( 1254190 708900 ) ( * 768740 )
NEW met3 ( 1254190 768740 ) ( 1292140 * )
NEW met3 ( 1100550 900660 ) ( 1292140 * )
NEW met3 ( 337870 1435140 ) ( 350060 * )
NEW met3 ( 350060 1435140 ) ( * 1435200 )
NEW met3 ( 350060 1435200 ) ( 350980 * )
NEW met3 ( 350980 1435140 0 ) ( * 1435200 )
NEW met2 ( 337870 1435140 ) ( * 1491410 )
NEW met1 ( 337870 1491410 ) ( 1100550 * )
NEW met2 ( 1100550 900660 ) ( * 1491410 )
NEW met4 ( 1292140 768740 ) ( * 900660 )
NEW met2 ( 1254190 708900 ) M2M3_PR
NEW met2 ( 1254190 768740 ) M2M3_PR
NEW met2 ( 1100550 900660 ) M2M3_PR
NEW met3 ( 1292140 768740 ) M3M4_PR
NEW met3 ( 1292140 900660 ) M3M4_PR
NEW met2 ( 337870 1435140 ) M2M3_PR
NEW met1 ( 337870 1491410 ) M1M2_PR
NEW met1 ( 1100550 1491410 ) M1M2_PR ;
- rambus_wb_adr_o\[2\] ( wrapped_function_generator_0 rambus_wb_adr_o[2] ) ( wb_openram_wrapper wbs_b_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 405030 1459815 0 ) ( * 1470330 )
NEW met2 ( 585810 1459790 ) ( * 1470330 )
NEW met1 ( 405030 1470330 ) ( 585810 * )
NEW met1 ( 585810 1459790 ) ( 614100 * )
NEW met1 ( 614100 1459110 ) ( * 1459790 )
NEW met1 ( 614100 1459110 ) ( 1121250 * )
NEW met3 ( 1121250 899980 ) ( 1331700 * )
NEW met2 ( 1121250 899980 ) ( * 1459110 )
NEW met3 ( 1244760 710940 0 ) ( 1331700 * )
NEW met4 ( 1331700 710940 ) ( * 899980 )
NEW met1 ( 405030 1470330 ) M1M2_PR
NEW met1 ( 585810 1470330 ) M1M2_PR
NEW met1 ( 585810 1459790 ) M1M2_PR
NEW met2 ( 1121250 899980 ) M2M3_PR
NEW met1 ( 1121250 1459110 ) M1M2_PR
NEW met3 ( 1331700 899980 ) M3M4_PR
NEW met3 ( 1331700 710940 ) M3M4_PR ;
- rambus_wb_adr_o\[3\] ( wrapped_function_generator_0 rambus_wb_adr_o[3] ) ( wb_openram_wrapper wbs_b_adr_i[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1231140 0 ) ( 607430 * )
NEW met2 ( 607430 1228250 ) ( * 1231140 )
NEW met2 ( 1262010 713660 ) ( * 717230 )
NEW met3 ( 1244760 713660 0 ) ( 1262010 * )
NEW met2 ( 1345730 717230 ) ( * 1228250 )
NEW met1 ( 1262010 717230 ) ( 1345730 * )
NEW met1 ( 607430 1228250 ) ( 1345730 * )
NEW met2 ( 607430 1231140 ) M2M3_PR
NEW met1 ( 607430 1228250 ) M1M2_PR
NEW met1 ( 1262010 717230 ) M1M2_PR
NEW met2 ( 1262010 713660 ) M2M3_PR
NEW met1 ( 1345730 717230 ) M1M2_PR
NEW met1 ( 1345730 1228250 ) M1M2_PR ;
- rambus_wb_adr_o\[4\] ( wrapped_function_generator_0 rambus_wb_adr_o[4] ) ( wb_openram_wrapper wbs_b_adr_i[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1455540 0 ) ( 607890 * )
NEW met2 ( 607890 1449250 ) ( * 1455540 )
NEW met2 ( 1072950 886550 ) ( * 1449250 )
NEW met2 ( 1262470 715700 ) ( * 717570 )
NEW met3 ( 1244760 715700 0 ) ( 1262470 * )
NEW met2 ( 1353090 717570 ) ( * 886550 )
NEW met1 ( 607890 1449250 ) ( 1072950 * )
NEW met1 ( 1072950 886550 ) ( 1353090 * )
NEW met1 ( 1262470 717570 ) ( 1353090 * )
NEW met2 ( 607890 1455540 ) M2M3_PR
NEW met1 ( 607890 1449250 ) M1M2_PR
NEW met1 ( 1072950 886550 ) M1M2_PR
NEW met1 ( 1072950 1449250 ) M1M2_PR
NEW met1 ( 1353090 886550 ) M1M2_PR
NEW met1 ( 1262470 717570 ) M1M2_PR
NEW met2 ( 1262470 715700 ) M2M3_PR
NEW met1 ( 1353090 717570 ) M1M2_PR ;
- rambus_wb_adr_o\[5\] ( wrapped_function_generator_0 rambus_wb_adr_o[5] ) ( wb_openram_wrapper wbs_b_adr_i[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1288940 0 ) ( 608350 * )
NEW met2 ( 608350 1283670 ) ( * 1288940 )
NEW met2 ( 1262470 718420 ) ( * 724370 )
NEW met3 ( 1244760 718420 0 ) ( 1262470 * )
NEW met1 ( 608350 1283670 ) ( 1304330 * )
NEW met1 ( 1262470 724370 ) ( 1304330 * )
NEW met2 ( 1304330 724370 ) ( * 1283670 )
NEW met2 ( 608350 1288940 ) M2M3_PR
NEW met1 ( 608350 1283670 ) M1M2_PR
NEW met1 ( 1262470 724370 ) M1M2_PR
NEW met2 ( 1262470 718420 ) M2M3_PR
NEW met1 ( 1304330 1283670 ) M1M2_PR
NEW met1 ( 1304330 724370 ) M1M2_PR ;
- rambus_wb_adr_o\[6\] ( wrapped_function_generator_0 rambus_wb_adr_o[6] ) ( wb_openram_wrapper wbs_b_adr_i[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1234540 0 ) ( 607890 * )
NEW met2 ( 607890 1228590 ) ( * 1234540 )
NEW met2 ( 796950 901170 ) ( * 1228590 )
NEW met3 ( 1244760 720460 0 ) ( 1253270 * )
NEW met2 ( 1253270 720460 ) ( * 797130 )
NEW met1 ( 1253270 797130 ) ( 1298810 * )
NEW met1 ( 796950 901170 ) ( 1298810 * )
NEW met1 ( 607890 1228590 ) ( 796950 * )
NEW met2 ( 1298810 797130 ) ( * 901170 )
NEW met1 ( 796950 901170 ) M1M2_PR
NEW met1 ( 1253270 797130 ) M1M2_PR
NEW met2 ( 607890 1234540 ) M2M3_PR
NEW met1 ( 607890 1228590 ) M1M2_PR
NEW met1 ( 796950 1228590 ) M1M2_PR
NEW met2 ( 1253270 720460 ) M2M3_PR
NEW met1 ( 1298810 797130 ) M1M2_PR
NEW met1 ( 1298810 901170 ) M1M2_PR ;
- rambus_wb_adr_o\[7\] ( wrapped_function_generator_0 rambus_wb_adr_o[7] ) ( wb_openram_wrapper wbs_b_adr_i[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1346740 0 ) ( 607890 * )
NEW met2 ( 607890 1345890 ) ( * 1346740 )
NEW met2 ( 1155750 886890 ) ( * 1345890 )
NEW met3 ( 1244760 723180 0 ) ( 1252350 * )
NEW met2 ( 1252350 723180 ) ( * 811070 )
NEW met1 ( 607890 1345890 ) ( 1155750 * )
NEW met1 ( 1155750 886890 ) ( 1291450 * )
NEW met1 ( 1252350 811070 ) ( 1291450 * )
NEW met2 ( 1291450 811070 ) ( * 886890 )
NEW met2 ( 607890 1346740 ) M2M3_PR
NEW met1 ( 607890 1345890 ) M1M2_PR
NEW met1 ( 1155750 886890 ) M1M2_PR
NEW met1 ( 1155750 1345890 ) M1M2_PR
NEW met2 ( 1252350 723180 ) M2M3_PR
NEW met1 ( 1252350 811070 ) M1M2_PR
NEW met1 ( 1291450 886890 ) M1M2_PR
NEW met1 ( 1291450 811070 ) M1M2_PR ;
- rambus_wb_adr_o\[8\] ( wrapped_function_generator_0 rambus_wb_adr_o[8] ) ( wb_openram_wrapper wbs_b_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 393530 949450 ) ( * 1193700 )
NEW met2 ( 395370 1193700 ) ( * 1200185 0 )
NEW met2 ( 393530 1193700 ) ( 395370 * )
NEW met1 ( 1256030 730490 ) ( 1267530 * )
NEW met2 ( 1256030 725220 ) ( * 730490 )
NEW met3 ( 1244760 725220 0 ) ( 1256030 * )
NEW met2 ( 1267530 730490 ) ( * 796790 )
NEW met1 ( 1267530 796790 ) ( 1290990 * )
NEW met1 ( 393530 949450 ) ( 1290990 * )
NEW met2 ( 1290990 796790 ) ( * 949450 )
NEW met1 ( 1267530 796790 ) M1M2_PR
NEW met1 ( 393530 949450 ) M1M2_PR
NEW met1 ( 1267530 730490 ) M1M2_PR
NEW met1 ( 1256030 730490 ) M1M2_PR
NEW met2 ( 1256030 725220 ) M2M3_PR
NEW met1 ( 1290990 796790 ) M1M2_PR
NEW met1 ( 1290990 949450 ) M1M2_PR ;
- rambus_wb_adr_o\[9\] ( wrapped_function_generator_0 rambus_wb_adr_o[9] ) ( wb_openram_wrapper wbs_b_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1059610 ) ( * 1207510 )
NEW met2 ( 1262010 727940 ) ( * 730830 )
NEW met3 ( 1244760 727940 0 ) ( 1262010 * )
NEW met2 ( 1339290 730830 ) ( * 1059610 )
NEW met1 ( 310270 1059610 ) ( 1339290 * )
NEW met2 ( 338330 1207510 ) ( * 1209380 )
NEW met3 ( 338330 1209380 ) ( 350980 * )
NEW met3 ( 350980 1209380 ) ( * 1210740 0 )
NEW met1 ( 310270 1207510 ) ( 338330 * )
NEW met1 ( 1262010 730830 ) ( 1339290 * )
NEW met1 ( 310270 1059610 ) M1M2_PR
NEW met1 ( 1339290 1059610 ) M1M2_PR
NEW met1 ( 310270 1207510 ) M1M2_PR
NEW met1 ( 1262010 730830 ) M1M2_PR
NEW met2 ( 1262010 727940 ) M2M3_PR
NEW met1 ( 1339290 730830 ) M1M2_PR
NEW met1 ( 338330 1207510 ) M1M2_PR
NEW met2 ( 338330 1209380 ) M2M3_PR ;
- rambus_wb_clk_o ( wrapped_function_generator_0 rambus_wb_clk_o ) ( wb_openram_wrapper wb_b_clk_i ) + USE SIGNAL
+ ROUTED met3 ( 1244760 683060 0 ) ( 1252810 * )
NEW met2 ( 281750 977330 ) ( * 1290470 )
NEW met2 ( 1252810 683060 ) ( * 810730 )
NEW met1 ( 281750 977330 ) ( 1304790 * )
NEW met2 ( 338330 1290470 ) ( * 1290980 )
NEW met3 ( 338330 1290980 ) ( 351900 * )
NEW met3 ( 351900 1290980 ) ( * 1292340 0 )
NEW met1 ( 281750 1290470 ) ( 338330 * )
NEW met1 ( 1252810 810730 ) ( 1304790 * )
NEW met2 ( 1304790 810730 ) ( * 977330 )
NEW met1 ( 281750 977330 ) M1M2_PR
NEW met2 ( 1252810 683060 ) M2M3_PR
NEW met1 ( 281750 1290470 ) M1M2_PR
NEW met1 ( 1252810 810730 ) M1M2_PR
NEW met1 ( 1304790 977330 ) M1M2_PR
NEW met1 ( 338330 1290470 ) M1M2_PR
NEW met2 ( 338330 1290980 ) M2M3_PR
NEW met1 ( 1304790 810730 ) M1M2_PR ;
- rambus_wb_cyc_o ( wrapped_function_generator_0 rambus_wb_cyc_o ) ( wb_openram_wrapper wbs_b_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 1262470 689690 ) ( * 689860 )
NEW met3 ( 1244760 689860 0 ) ( 1262470 * )
NEW met2 ( 376050 1459815 0 ) ( * 1483930 )
NEW met2 ( 1259250 1176910 ) ( * 1483930 )
NEW met1 ( 1262470 689690 ) ( 1387130 * )
NEW met1 ( 1259250 1176910 ) ( 1387130 * )
NEW met1 ( 376050 1483930 ) ( 1259250 * )
NEW met2 ( 1387130 689690 ) ( * 1176910 )
NEW met1 ( 1262470 689690 ) M1M2_PR
NEW met2 ( 1262470 689860 ) M2M3_PR
NEW met1 ( 1259250 1176910 ) M1M2_PR
NEW met1 ( 376050 1483930 ) M1M2_PR
NEW met1 ( 1259250 1483930 ) M1M2_PR
NEW met1 ( 1387130 689690 ) M1M2_PR
NEW met1 ( 1387130 1176910 ) M1M2_PR ;
- rambus_wb_dat_i\[0\] ( wrapped_function_generator_0 rambus_wb_dat_i[0] ) ( wb_openram_wrapper wbs_b_dat_o[0] ) + USE SIGNAL
+ ROUTED met3 ( 1247060 804100 ) ( * 805240 )
NEW met3 ( 1244300 805240 0 ) ( 1247060 * )
NEW met2 ( 417910 1459815 0 ) ( * 1492090 )
NEW met3 ( 1247060 804100 ) ( 1318820 * )
NEW met3 ( 735310 969340 ) ( 1318820 * )
NEW met1 ( 417910 1492090 ) ( 735310 * )
NEW met2 ( 735310 969340 ) ( * 1492090 )
NEW met4 ( 1318820 804100 ) ( * 969340 )
NEW met1 ( 417910 1492090 ) M1M2_PR
NEW met2 ( 735310 969340 ) M2M3_PR
NEW met3 ( 1318820 804100 ) M3M4_PR
NEW met3 ( 1318820 969340 ) M3M4_PR
NEW met1 ( 735310 1492090 ) M1M2_PR ;
- rambus_wb_dat_i\[10\] ( wrapped_function_generator_0 rambus_wb_dat_i[10] ) ( wb_openram_wrapper wbs_b_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 828580 ) ( * 830450 )
NEW met3 ( 1244760 828580 0 ) ( 1262470 * )
NEW met1 ( 462990 990590 ) ( 1297890 * )
NEW met2 ( 462990 990590 ) ( * 1200185 0 )
NEW met1 ( 1262470 830450 ) ( 1297890 * )
NEW met2 ( 1297890 830450 ) ( * 990590 )
NEW met1 ( 1262470 830450 ) M1M2_PR
NEW met2 ( 1262470 828580 ) M2M3_PR
NEW met1 ( 462990 990590 ) M1M2_PR
NEW met1 ( 1297890 990590 ) M1M2_PR
NEW met1 ( 1297890 830450 ) M1M2_PR ;
- rambus_wb_dat_i\[11\] ( wrapped_function_generator_0 rambus_wb_dat_i[11] ) ( wb_openram_wrapper wbs_b_dat_o[11] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 831300 0 ) ( 1277420 * )
NEW met4 ( 1277420 831300 ) ( * 893180 )
NEW met3 ( 1238550 893180 ) ( 1277420 * )
NEW met2 ( 241270 928370 ) ( * 1311210 )
NEW met2 ( 338330 1311210 ) ( * 1311380 )
NEW met3 ( 338330 1311380 ) ( 350980 * )
NEW met3 ( 350980 1311380 ) ( * 1312740 0 )
NEW met1 ( 241270 1311210 ) ( 338330 * )
NEW met1 ( 241270 928370 ) ( 1238550 * )
NEW met2 ( 1238550 893180 ) ( * 928370 )
NEW met3 ( 1277420 893180 ) M3M4_PR
NEW met3 ( 1277420 831300 ) M3M4_PR
NEW met2 ( 1238550 893180 ) M2M3_PR
NEW met1 ( 241270 928370 ) M1M2_PR
NEW met1 ( 241270 1311210 ) M1M2_PR
NEW met1 ( 338330 1311210 ) M1M2_PR
NEW met2 ( 338330 1311380 ) M2M3_PR
NEW met1 ( 1238550 928370 ) M1M2_PR ;
- rambus_wb_dat_i\[12\] ( wrapped_function_generator_0 rambus_wb_dat_i[12] ) ( wb_openram_wrapper wbs_b_dat_o[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1203940 0 ) ( 607430 * )
NEW met2 ( 607430 1201050 ) ( * 1203940 )
NEW met2 ( 1190710 894710 ) ( * 1201050 )
NEW met2 ( 1262470 831810 ) ( * 833340 )
NEW met3 ( 1244760 833340 0 ) ( 1262470 * )
NEW met1 ( 1190710 894710 ) ( 1291910 * )
NEW met1 ( 607430 1201050 ) ( 1190710 * )
NEW met1 ( 1262470 831810 ) ( 1291910 * )
NEW met2 ( 1291910 831810 ) ( * 894710 )
NEW met1 ( 1190710 894710 ) M1M2_PR
NEW met2 ( 607430 1203940 ) M2M3_PR
NEW met1 ( 607430 1201050 ) M1M2_PR
NEW met1 ( 1190710 1201050 ) M1M2_PR
NEW met1 ( 1262470 831810 ) M1M2_PR
NEW met2 ( 1262470 833340 ) M2M3_PR
NEW met1 ( 1291910 894710 ) M1M2_PR
NEW met1 ( 1291910 831810 ) M1M2_PR ;
- rambus_wb_dat_i\[13\] ( wrapped_function_generator_0 rambus_wb_dat_i[13] ) ( wb_openram_wrapper wbs_b_dat_o[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1268540 0 ) ( 607890 * )
NEW met2 ( 607890 1263270 ) ( * 1268540 )
NEW met2 ( 1262470 836060 ) ( * 839630 )
NEW met3 ( 1244760 836060 0 ) ( 1262470 * )
NEW met1 ( 607890 1263270 ) ( 1290530 * )
NEW met1 ( 1262470 839630 ) ( 1290530 * )
NEW met2 ( 1290530 839630 ) ( * 1263270 )
NEW met2 ( 607890 1268540 ) M2M3_PR
NEW met1 ( 607890 1263270 ) M1M2_PR
NEW met1 ( 1262470 839630 ) M1M2_PR
NEW met2 ( 1262470 836060 ) M2M3_PR
NEW met1 ( 1290530 1263270 ) M1M2_PR
NEW met1 ( 1290530 839630 ) M1M2_PR ;
- rambus_wb_dat_i\[14\] ( wrapped_function_generator_0 rambus_wb_dat_i[14] ) ( wb_openram_wrapper wbs_b_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 665850 998410 ) ( * 1485290 )
NEW met2 ( 1262010 838100 ) ( * 841670 )
NEW met3 ( 1244760 838100 0 ) ( 1262010 * )
NEW met1 ( 665850 998410 ) ( 1311690 * )
NEW met2 ( 459770 1459815 0 ) ( * 1485290 )
NEW met1 ( 459770 1485290 ) ( 665850 * )
NEW met1 ( 1262010 841670 ) ( 1311690 * )
NEW met2 ( 1311690 841670 ) ( * 998410 )
NEW met1 ( 665850 998410 ) M1M2_PR
NEW met1 ( 665850 1485290 ) M1M2_PR
NEW met1 ( 1262010 841670 ) M1M2_PR
NEW met2 ( 1262010 838100 ) M2M3_PR
NEW met1 ( 1311690 998410 ) M1M2_PR
NEW met1 ( 459770 1485290 ) M1M2_PR
NEW met1 ( 1311690 841670 ) M1M2_PR ;
- rambus_wb_dat_i\[15\] ( wrapped_function_generator_0 rambus_wb_dat_i[15] ) ( wb_openram_wrapper wbs_b_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 414690 1459815 0 ) ( * 1484270 )
NEW met3 ( 1244760 840820 0 ) ( 1249130 * )
NEW met2 ( 1249130 840820 ) ( * 1484270 )
NEW met1 ( 414690 1484270 ) ( 1249130 * )
NEW met1 ( 414690 1484270 ) M1M2_PR
NEW met2 ( 1249130 840820 ) M2M3_PR
NEW met1 ( 1249130 1484270 ) M1M2_PR ;
- rambus_wb_dat_i\[16\] ( wrapped_function_generator_0 rambus_wb_dat_i[16] ) ( wb_openram_wrapper wbs_b_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 776250 949790 ) ( * 1477810 )
NEW met2 ( 1262010 842860 ) ( * 847790 )
NEW met3 ( 1244760 842860 0 ) ( 1262010 * )
NEW met2 ( 440450 1459815 0 ) ( * 1477810 )
NEW met1 ( 440450 1477810 ) ( 776250 * )
NEW met1 ( 1262010 847790 ) ( 1325950 * )
NEW met1 ( 776250 949790 ) ( 1325950 * )
NEW met2 ( 1325950 847790 ) ( * 949790 )
NEW met1 ( 776250 1477810 ) M1M2_PR
NEW met1 ( 776250 949790 ) M1M2_PR
NEW met1 ( 1262010 847790 ) M1M2_PR
NEW met2 ( 1262010 842860 ) M2M3_PR
NEW met1 ( 440450 1477810 ) M1M2_PR
NEW met1 ( 1325950 847790 ) M1M2_PR
NEW met1 ( 1325950 949790 ) M1M2_PR ;
- rambus_wb_dat_i\[17\] ( wrapped_function_generator_0 rambus_wb_dat_i[17] ) ( wb_openram_wrapper wbs_b_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 483690 921570 ) ( * 1193700 )
NEW met2 ( 485530 1193700 ) ( * 1200185 0 )
NEW met2 ( 483690 1193700 ) ( 485530 * )
NEW met2 ( 1262470 845580 ) ( * 848130 )
NEW met3 ( 1244760 845580 0 ) ( 1262470 * )
NEW met1 ( 1262470 848130 ) ( 1319050 * )
NEW met1 ( 483690 921570 ) ( 1319050 * )
NEW met2 ( 1319050 848130 ) ( * 921570 )
NEW met1 ( 483690 921570 ) M1M2_PR
NEW met1 ( 1262470 848130 ) M1M2_PR
NEW met2 ( 1262470 845580 ) M2M3_PR
NEW met1 ( 1319050 848130 ) M1M2_PR
NEW met1 ( 1319050 921570 ) M1M2_PR ;
- rambus_wb_dat_i\[18\] ( wrapped_function_generator_0 rambus_wb_dat_i[18] ) ( wb_openram_wrapper wbs_b_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 510830 969510 ) ( * 1097100 )
NEW met2 ( 510830 1097100 ) ( 514050 * )
NEW met2 ( 514450 1199180 ) ( * 1200185 0 )
NEW met2 ( 514050 1199180 ) ( 514450 * )
NEW met2 ( 514050 1097100 ) ( * 1199180 )
NEW met3 ( 1244760 847620 0 ) ( 1264310 * )
NEW met2 ( 1264310 847620 ) ( * 969510 )
NEW met1 ( 510830 969510 ) ( 1264310 * )
NEW met1 ( 510830 969510 ) M1M2_PR
NEW met1 ( 1264310 969510 ) M1M2_PR
NEW met2 ( 1264310 847620 ) M2M3_PR ;
- rambus_wb_dat_i\[19\] ( wrapped_function_generator_0 rambus_wb_dat_i[19] ) ( wb_openram_wrapper wbs_b_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 850340 ) ( * 850510 )
NEW met3 ( 1244760 850340 0 ) ( 1262470 * )
NEW met2 ( 338330 1373430 ) ( * 1374620 )
NEW met3 ( 338330 1374620 ) ( 350980 * )
NEW met3 ( 350980 1373940 0 ) ( * 1374620 )
NEW met1 ( 254150 1373430 ) ( 338330 * )
NEW met2 ( 254150 1004530 ) ( * 1373430 )
NEW met1 ( 1262470 850510 ) ( 1298350 * )
NEW met1 ( 254150 1004530 ) ( 1298350 * )
NEW met2 ( 1298350 850510 ) ( * 1004530 )
NEW met1 ( 1262470 850510 ) M1M2_PR
NEW met2 ( 1262470 850340 ) M2M3_PR
NEW met1 ( 254150 1373430 ) M1M2_PR
NEW met1 ( 338330 1373430 ) M1M2_PR
NEW met2 ( 338330 1374620 ) M2M3_PR
NEW met1 ( 254150 1004530 ) M1M2_PR
NEW met1 ( 1298350 850510 ) M1M2_PR
NEW met1 ( 1298350 1004530 ) M1M2_PR ;
- rambus_wb_dat_i\[1\] ( wrapped_function_generator_0 rambus_wb_dat_i[1] ) ( wb_openram_wrapper wbs_b_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 386630 997730 ) ( * 1097100 )
NEW met2 ( 386630 1097100 ) ( 389390 * )
NEW met2 ( 389390 1097100 ) ( * 1193700 )
NEW met2 ( 392150 1193700 ) ( * 1200185 0 )
NEW met2 ( 389390 1193700 ) ( 392150 * )
NEW met2 ( 1262010 807500 ) ( * 814130 )
NEW met3 ( 1244760 807500 0 ) ( 1262010 * )
NEW met1 ( 386630 997730 ) ( 1332390 * )
NEW met1 ( 1262010 814130 ) ( 1332390 * )
NEW met2 ( 1332390 814130 ) ( * 997730 )
NEW met1 ( 386630 997730 ) M1M2_PR
NEW met1 ( 1262010 814130 ) M1M2_PR
NEW met2 ( 1262010 807500 ) M2M3_PR
NEW met1 ( 1332390 997730 ) M1M2_PR
NEW met1 ( 1332390 814130 ) M1M2_PR ;
- rambus_wb_dat_i\[20\] ( wrapped_function_generator_0 rambus_wb_dat_i[20] ) ( wb_openram_wrapper wbs_b_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 316250 1176910 ) ( * 1235730 )
NEW met2 ( 1262010 852380 ) ( * 855270 )
NEW met3 ( 1244760 852380 0 ) ( 1262010 * )
NEW met1 ( 316250 1176910 ) ( 1197150 * )
NEW met1 ( 1197150 879750 ) ( 1311230 * )
NEW met2 ( 338330 1235730 ) ( * 1235900 )
NEW met3 ( 338330 1235900 ) ( 350980 * )
NEW met3 ( 350980 1235900 ) ( * 1237940 0 )
NEW met1 ( 316250 1235730 ) ( 338330 * )
NEW met2 ( 1197150 879750 ) ( * 1176910 )
NEW met1 ( 1262010 855270 ) ( 1311230 * )
NEW met2 ( 1311230 855270 ) ( * 879750 )
NEW met1 ( 316250 1176910 ) M1M2_PR
NEW met1 ( 316250 1235730 ) M1M2_PR
NEW met1 ( 1262010 855270 ) M1M2_PR
NEW met2 ( 1262010 852380 ) M2M3_PR
NEW met1 ( 1197150 879750 ) M1M2_PR
NEW met1 ( 1197150 1176910 ) M1M2_PR
NEW met1 ( 1311230 879750 ) M1M2_PR
NEW met1 ( 338330 1235730 ) M1M2_PR
NEW met2 ( 338330 1235900 ) M2M3_PR
NEW met1 ( 1311230 855270 ) M1M2_PR ;
- rambus_wb_dat_i\[21\] ( wrapped_function_generator_0 rambus_wb_dat_i[21] ) ( wb_openram_wrapper wbs_b_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 854420 ) ( * 854930 )
NEW met3 ( 1244760 854420 0 ) ( 1262470 * )
NEW met2 ( 428030 1459620 ) ( 430730 * 0 )
NEW met1 ( 428030 1532210 ) ( 1204050 * )
NEW met2 ( 428030 1459620 ) ( * 1532210 )
NEW met2 ( 1204050 915790 ) ( * 1532210 )
NEW met1 ( 1262470 854930 ) ( 1305710 * )
NEW met1 ( 1204050 915790 ) ( 1305710 * )
NEW met2 ( 1305710 854930 ) ( * 915790 )
NEW met1 ( 1262470 854930 ) M1M2_PR
NEW met2 ( 1262470 854420 ) M2M3_PR
NEW met1 ( 428030 1532210 ) M1M2_PR
NEW met1 ( 1204050 1532210 ) M1M2_PR
NEW met1 ( 1204050 915790 ) M1M2_PR
NEW met1 ( 1305710 854930 ) M1M2_PR
NEW met1 ( 1305710 915790 ) M1M2_PR ;
- rambus_wb_dat_i\[22\] ( wrapped_function_generator_0 rambus_wb_dat_i[22] ) ( wb_openram_wrapper wbs_b_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 1250510 857140 ) ( * 895050 )
NEW met3 ( 1244760 857140 0 ) ( 1250510 * )
NEW met3 ( 599380 1241340 0 ) ( 607430 * )
NEW met2 ( 607430 1235730 ) ( * 1241340 )
NEW met2 ( 1176450 895050 ) ( * 1235730 )
NEW met1 ( 1176450 895050 ) ( 1250510 * )
NEW met1 ( 607430 1235730 ) ( 1176450 * )
NEW met1 ( 1176450 895050 ) M1M2_PR
NEW met1 ( 1250510 895050 ) M1M2_PR
NEW met2 ( 1250510 857140 ) M2M3_PR
NEW met2 ( 607430 1241340 ) M2M3_PR
NEW met1 ( 607430 1235730 ) M1M2_PR
NEW met1 ( 1176450 1235730 ) M1M2_PR ;
- rambus_wb_dat_i\[23\] ( wrapped_function_generator_0 rambus_wb_dat_i[23] ) ( wb_openram_wrapper wbs_b_dat_o[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1356940 0 ) ( 607890 * )
NEW met2 ( 607890 1352690 ) ( * 1356940 )
NEW met1 ( 1262010 862070 ) ( 1284550 * )
NEW met2 ( 1262010 859180 ) ( * 862070 )
NEW met3 ( 1244760 859180 0 ) ( 1262010 * )
NEW met2 ( 1170010 908650 ) ( * 1352690 )
NEW met2 ( 1284550 862070 ) ( * 908650 )
NEW met1 ( 607890 1352690 ) ( 1170010 * )
NEW met1 ( 1170010 908650 ) ( 1284550 * )
NEW met2 ( 607890 1356940 ) M2M3_PR
NEW met1 ( 607890 1352690 ) M1M2_PR
NEW met1 ( 1170010 1352690 ) M1M2_PR
NEW met1 ( 1284550 862070 ) M1M2_PR
NEW met1 ( 1262010 862070 ) M1M2_PR
NEW met2 ( 1262010 859180 ) M2M3_PR
NEW met1 ( 1170010 908650 ) M1M2_PR
NEW met1 ( 1284550 908650 ) M1M2_PR ;
- rambus_wb_dat_i\[24\] ( wrapped_function_generator_0 rambus_wb_dat_i[24] ) ( wb_openram_wrapper wbs_b_dat_o[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1367140 0 ) ( 607890 * )
NEW met2 ( 607890 1366290 ) ( * 1367140 )
NEW met2 ( 1262470 861900 ) ( * 862410 )
NEW met3 ( 1244760 861900 0 ) ( 1262470 * )
NEW met2 ( 1338830 862410 ) ( * 1366290 )
NEW met1 ( 1262470 862410 ) ( 1338830 * )
NEW met1 ( 607890 1366290 ) ( 1338830 * )
NEW met2 ( 607890 1367140 ) M2M3_PR
NEW met1 ( 607890 1366290 ) M1M2_PR
NEW met1 ( 1262470 862410 ) M1M2_PR
NEW met2 ( 1262470 861900 ) M2M3_PR
NEW met1 ( 1338830 862410 ) M1M2_PR
NEW met1 ( 1338830 1366290 ) M1M2_PR ;
- rambus_wb_dat_i\[25\] ( wrapped_function_generator_0 rambus_wb_dat_i[25] ) ( wb_openram_wrapper wbs_b_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 1247290 863940 ) ( * 901850 )
NEW met3 ( 1244760 863940 0 ) ( 1247290 * )
NEW met3 ( 599380 1394340 0 ) ( 607890 * )
NEW met2 ( 607890 1394170 ) ( * 1394340 )
NEW met2 ( 1183350 901850 ) ( * 1394170 )
NEW met1 ( 1183350 901850 ) ( 1247290 * )
NEW met1 ( 607890 1394170 ) ( 1183350 * )
NEW met1 ( 1183350 901850 ) M1M2_PR
NEW met1 ( 1247290 901850 ) M1M2_PR
NEW met2 ( 1247290 863940 ) M2M3_PR
NEW met2 ( 607890 1394340 ) M2M3_PR
NEW met1 ( 607890 1394170 ) M1M2_PR
NEW met1 ( 1183350 1394170 ) M1M2_PR ;
- rambus_wb_dat_i\[26\] ( wrapped_function_generator_0 rambus_wb_dat_i[26] ) ( wb_openram_wrapper wbs_b_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 469430 1459815 0 ) ( * 1463530 )
NEW met2 ( 1246370 866660 ) ( * 889610 )
NEW met3 ( 1244760 866660 0 ) ( 1246370 * )
NEW met1 ( 469430 1463530 ) ( 714150 * )
NEW met1 ( 1227050 889610 ) ( 1246370 * )
NEW met2 ( 714150 915110 ) ( * 1463530 )
NEW met1 ( 714150 915110 ) ( 1227050 * )
NEW met2 ( 1227050 889610 ) ( * 915110 )
NEW met1 ( 469430 1463530 ) M1M2_PR
NEW met1 ( 1246370 889610 ) M1M2_PR
NEW met2 ( 1246370 866660 ) M2M3_PR
NEW met1 ( 714150 1463530 ) M1M2_PR
NEW met1 ( 1227050 889610 ) M1M2_PR
NEW met1 ( 714150 915110 ) M1M2_PR
NEW met1 ( 1227050 915110 ) M1M2_PR ;
- rambus_wb_dat_i\[27\] ( wrapped_function_generator_0 rambus_wb_dat_i[27] ) ( wb_openram_wrapper wbs_b_dat_o[27] ) + USE SIGNAL
+ ROUTED met4 ( 1247060 868700 ) ( * 887740 )
NEW met3 ( 1244760 868700 0 ) ( 1247060 * )
NEW met2 ( 1190250 887740 ) ( * 990250 )
NEW met2 ( 338790 1380230 ) ( * 1382100 )
NEW met3 ( 338790 1382100 ) ( 350980 * )
NEW met3 ( 350980 1382100 ) ( * 1384140 0 )
NEW met1 ( 261050 1380230 ) ( 338790 * )
NEW met1 ( 261050 990250 ) ( 1190250 * )
NEW met3 ( 1190250 887740 ) ( 1247060 * )
NEW met2 ( 261050 990250 ) ( * 1380230 )
NEW met2 ( 1190250 887740 ) M2M3_PR
NEW met1 ( 1190250 990250 ) M1M2_PR
NEW met3 ( 1247060 887740 ) M3M4_PR
NEW met3 ( 1247060 868700 ) M3M4_PR
NEW met1 ( 261050 990250 ) M1M2_PR
NEW met1 ( 261050 1380230 ) M1M2_PR
NEW met1 ( 338790 1380230 ) M1M2_PR
NEW met2 ( 338790 1382100 ) M2M3_PR ;
- rambus_wb_dat_i\[28\] ( wrapped_function_generator_0 rambus_wb_dat_i[28] ) ( wb_openram_wrapper wbs_b_dat_o[28] ) + USE SIGNAL
+ ROUTED met4 ( 1246140 871420 ) ( * 879580 )
NEW met3 ( 1244760 871420 0 ) ( 1246140 * )
NEW met2 ( 376050 1531800 ) ( * 1546150 )
NEW met2 ( 375590 1531800 ) ( 376050 * )
NEW met2 ( 375590 1476110 ) ( * 1531800 )
NEW met2 ( 350290 1459620 0 ) ( * 1476110 )
NEW met1 ( 350290 1476110 ) ( 375590 * )
NEW met1 ( 376050 1546150 ) ( 1142410 * )
NEW met3 ( 1142410 879580 ) ( 1246140 * )
NEW met2 ( 1142410 879580 ) ( * 1546150 )
NEW met1 ( 375590 1476110 ) M1M2_PR
NEW met1 ( 376050 1546150 ) M1M2_PR
NEW met3 ( 1246140 879580 ) M3M4_PR
NEW met3 ( 1246140 871420 ) M3M4_PR
NEW met1 ( 350290 1476110 ) M1M2_PR
NEW met2 ( 1142410 879580 ) M2M3_PR
NEW met1 ( 1142410 1546150 ) M1M2_PR ;
- rambus_wb_dat_i\[29\] ( wrapped_function_generator_0 rambus_wb_dat_i[29] ) ( wb_openram_wrapper wbs_b_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 1245450 873380 ) ( * 902190 )
NEW met2 ( 1245450 873380 ) ( 1245910 * )
NEW met3 ( 1244300 873380 0 ) ( 1245910 * )
NEW met3 ( 599380 1411340 0 ) ( 608350 * )
NEW met2 ( 608350 1408110 ) ( * 1411340 )
NEW met1 ( 1232110 902190 ) ( 1245450 * )
NEW met1 ( 608350 1408110 ) ( 1232110 * )
NEW met2 ( 1232110 902190 ) ( * 1408110 )
NEW met1 ( 1245450 902190 ) M1M2_PR
NEW met2 ( 1245910 873380 ) M2M3_PR
NEW met2 ( 608350 1411340 ) M2M3_PR
NEW met1 ( 608350 1408110 ) M1M2_PR
NEW met1 ( 1232110 902190 ) M1M2_PR
NEW met1 ( 1232110 1408110 ) M1M2_PR ;
- rambus_wb_dat_i\[2\] ( wrapped_function_generator_0 rambus_wb_dat_i[2] ) ( wb_openram_wrapper wbs_b_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 227470 914430 ) ( * 1400970 )
NEW met2 ( 1262470 810220 ) ( * 813790 )
NEW met3 ( 1244760 810220 0 ) ( 1262470 * )
NEW met2 ( 338330 1400970 ) ( * 1401820 )
NEW met3 ( 338330 1401820 ) ( 350980 * )
NEW met3 ( 350980 1401140 0 ) ( * 1401820 )
NEW met1 ( 227470 1400970 ) ( 338330 * )
NEW met1 ( 1262470 813790 ) ( 1325490 * )
NEW met1 ( 227470 914430 ) ( 1325490 * )
NEW met2 ( 1325490 813790 ) ( * 914430 )
NEW met1 ( 227470 914430 ) M1M2_PR
NEW met1 ( 227470 1400970 ) M1M2_PR
NEW met1 ( 1262470 813790 ) M1M2_PR
NEW met2 ( 1262470 810220 ) M2M3_PR
NEW met1 ( 338330 1400970 ) M1M2_PR
NEW met2 ( 338330 1401820 ) M2M3_PR
NEW met1 ( 1325490 813790 ) M1M2_PR
NEW met1 ( 1325490 914430 ) M1M2_PR ;
- rambus_wb_dat_i\[30\] ( wrapped_function_generator_0 rambus_wb_dat_i[30] ) ( wb_openram_wrapper wbs_b_dat_o[30] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1251540 0 ) ( 607890 * )
NEW met2 ( 607890 1248990 ) ( * 1251540 )
NEW met2 ( 1245910 876180 ) ( * 889950 )
NEW met3 ( 1244760 876180 0 ) ( 1245910 * )
NEW met1 ( 1217850 889950 ) ( 1245910 * )
NEW met1 ( 607890 1248990 ) ( 1217850 * )
NEW met2 ( 1217850 889950 ) ( * 1248990 )
NEW met2 ( 607890 1251540 ) M2M3_PR
NEW met1 ( 607890 1248990 ) M1M2_PR
NEW met1 ( 1245910 889950 ) M1M2_PR
NEW met2 ( 1245910 876180 ) M2M3_PR
NEW met1 ( 1217850 889950 ) M1M2_PR
NEW met1 ( 1217850 1248990 ) M1M2_PR ;
- rambus_wb_dat_i\[31\] ( wrapped_function_generator_0 rambus_wb_dat_i[31] ) ( wb_openram_wrapper wbs_b_dat_o[31] ) + USE SIGNAL
+ ROUTED met3 ( 1244300 878220 0 ) ( 1246830 * )
NEW met2 ( 569710 1473390 ) ( * 1498550 )
NEW met2 ( 1246830 878220 ) ( * 942310 )
NEW met2 ( 421130 1459815 0 ) ( * 1473390 )
NEW met1 ( 421130 1473390 ) ( 569710 * )
NEW met1 ( 569710 1498550 ) ( 652050 * )
NEW met2 ( 652050 942310 ) ( * 1498550 )
NEW met1 ( 652050 942310 ) ( 1246830 * )
NEW met1 ( 569710 1473390 ) M1M2_PR
NEW met2 ( 1246830 878220 ) M2M3_PR
NEW met1 ( 569710 1498550 ) M1M2_PR
NEW met1 ( 1246830 942310 ) M1M2_PR
NEW met1 ( 421130 1473390 ) M1M2_PR
NEW met1 ( 652050 942310 ) M1M2_PR
NEW met1 ( 652050 1498550 ) M1M2_PR ;
- rambus_wb_dat_i\[3\] ( wrapped_function_generator_0 rambus_wb_dat_i[3] ) ( wb_openram_wrapper wbs_b_dat_o[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1350140 0 ) ( 608350 * )
NEW met2 ( 608350 1345550 ) ( * 1350140 )
NEW met3 ( 1244760 812260 0 ) ( 1262930 * )
NEW met2 ( 1262930 812260 ) ( * 1345550 )
NEW met1 ( 608350 1345550 ) ( 1262930 * )
NEW met2 ( 608350 1350140 ) M2M3_PR
NEW met1 ( 608350 1345550 ) M1M2_PR
NEW met1 ( 1262930 1345550 ) M1M2_PR
NEW met2 ( 1262930 812260 ) M2M3_PR ;
- rambus_wb_dat_i\[4\] ( wrapped_function_generator_0 rambus_wb_dat_i[4] ) ( wb_openram_wrapper wbs_b_dat_o[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1319540 0 ) ( 607890 * )
NEW met2 ( 607890 1318350 ) ( * 1319540 )
NEW met2 ( 1261550 814980 ) ( * 820590 )
NEW met3 ( 1244760 814980 0 ) ( 1261550 * )
NEW met2 ( 1352630 820590 ) ( * 1318350 )
NEW met1 ( 1261550 820590 ) ( 1352630 * )
NEW met1 ( 607890 1318350 ) ( 1352630 * )
NEW met2 ( 607890 1319540 ) M2M3_PR
NEW met1 ( 607890 1318350 ) M1M2_PR
NEW met1 ( 1261550 820590 ) M1M2_PR
NEW met2 ( 1261550 814980 ) M2M3_PR
NEW met1 ( 1352630 820590 ) M1M2_PR
NEW met1 ( 1352630 1318350 ) M1M2_PR ;
- rambus_wb_dat_i\[5\] ( wrapped_function_generator_0 rambus_wb_dat_i[5] ) ( wb_openram_wrapper wbs_b_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 817020 ) ( * 820930 )
NEW met3 ( 1244760 817020 0 ) ( 1262010 * )
NEW met2 ( 1366430 820930 ) ( * 1052470 )
NEW met1 ( 327750 1437010 ) ( 339250 * )
NEW met2 ( 339250 1437010 ) ( * 1437180 )
NEW met3 ( 339250 1437180 ) ( 350980 * )
NEW met3 ( 350980 1437180 ) ( * 1438540 0 )
NEW met1 ( 267950 1052470 ) ( 1366430 * )
NEW met2 ( 267950 1052470 ) ( * 1314270 )
NEW met1 ( 267950 1314270 ) ( 327750 * )
NEW met2 ( 327750 1314270 ) ( * 1437010 )
NEW met1 ( 1262010 820930 ) ( 1366430 * )
NEW met1 ( 1366430 1052470 ) M1M2_PR
NEW met1 ( 1262010 820930 ) M1M2_PR
NEW met2 ( 1262010 817020 ) M2M3_PR
NEW met1 ( 1366430 820930 ) M1M2_PR
NEW met1 ( 267950 1052470 ) M1M2_PR
NEW met1 ( 327750 1437010 ) M1M2_PR
NEW met1 ( 339250 1437010 ) M1M2_PR
NEW met2 ( 339250 1437180 ) M2M3_PR
NEW met1 ( 267950 1314270 ) M1M2_PR
NEW met1 ( 327750 1314270 ) M1M2_PR ;
- rambus_wb_dat_i\[6\] ( wrapped_function_generator_0 rambus_wb_dat_i[6] ) ( wb_openram_wrapper wbs_b_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 372830 1459815 0 ) ( * 1477470 )
NEW met2 ( 1262470 819740 ) ( * 820250 )
NEW met3 ( 1244760 819740 0 ) ( 1262470 * )
NEW met2 ( 1346650 820250 ) ( * 922250 )
NEW met1 ( 372830 1477470 ) ( 1128150 * )
NEW met2 ( 1128150 922250 ) ( * 1477470 )
NEW met1 ( 1262470 820250 ) ( 1346650 * )
NEW met1 ( 1128150 922250 ) ( 1346650 * )
NEW met1 ( 372830 1477470 ) M1M2_PR
NEW met1 ( 1262470 820250 ) M1M2_PR
NEW met2 ( 1262470 819740 ) M2M3_PR
NEW met1 ( 1346650 820250 ) M1M2_PR
NEW met1 ( 1346650 922250 ) M1M2_PR
NEW met1 ( 1128150 1477470 ) M1M2_PR
NEW met1 ( 1128150 922250 ) M1M2_PR ;
- rambus_wb_dat_i\[7\] ( wrapped_function_generator_0 rambus_wb_dat_i[7] ) ( wb_openram_wrapper wbs_b_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 821780 ) ( * 827050 )
NEW met3 ( 1244760 821780 0 ) ( 1262010 * )
NEW met1 ( 450110 1187110 ) ( 458850 * )
NEW met2 ( 458850 1018470 ) ( * 1187110 )
NEW met2 ( 450110 1187110 ) ( * 1200185 0 )
NEW met1 ( 1262010 827050 ) ( 1318590 * )
NEW met1 ( 458850 1018470 ) ( 1318590 * )
NEW met2 ( 1318590 827050 ) ( * 1018470 )
NEW met1 ( 1262010 827050 ) M1M2_PR
NEW met2 ( 1262010 821780 ) M2M3_PR
NEW met1 ( 450110 1187110 ) M1M2_PR
NEW met1 ( 458850 1187110 ) M1M2_PR
NEW met1 ( 458850 1018470 ) M1M2_PR
NEW met1 ( 1318590 827050 ) M1M2_PR
NEW met1 ( 1318590 1018470 ) M1M2_PR ;
- rambus_wb_dat_i\[8\] ( wrapped_function_generator_0 rambus_wb_dat_i[8] ) ( wb_openram_wrapper wbs_b_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 823820 ) ( * 827730 )
NEW met3 ( 1244760 823820 0 ) ( 1261550 * )
NEW met2 ( 1380690 827730 ) ( * 1114350 )
NEW met2 ( 239890 1114350 ) ( * 1387370 )
NEW met2 ( 338330 1387370 ) ( * 1388220 )
NEW met3 ( 338330 1388220 ) ( 350980 * )
NEW met3 ( 350980 1387540 0 ) ( * 1388220 )
NEW met1 ( 239890 1387370 ) ( 338330 * )
NEW met1 ( 1261550 827730 ) ( 1380690 * )
NEW met1 ( 239890 1114350 ) ( 1380690 * )
NEW met1 ( 1261550 827730 ) M1M2_PR
NEW met2 ( 1261550 823820 ) M2M3_PR
NEW met1 ( 1380690 827730 ) M1M2_PR
NEW met1 ( 1380690 1114350 ) M1M2_PR
NEW met1 ( 239890 1114350 ) M1M2_PR
NEW met1 ( 239890 1387370 ) M1M2_PR
NEW met1 ( 338330 1387370 ) M1M2_PR
NEW met2 ( 338330 1388220 ) M2M3_PR ;
- rambus_wb_dat_i\[9\] ( wrapped_function_generator_0 rambus_wb_dat_i[9] ) ( wb_openram_wrapper wbs_b_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 826540 ) ( * 827390 )
NEW met3 ( 1244760 826540 0 ) ( 1262470 * )
NEW met2 ( 552230 1459815 ) ( 553150 * 0 )
NEW met2 ( 552230 1459815 ) ( * 1497870 )
NEW met1 ( 1262470 827390 ) ( 1331930 * )
NEW met1 ( 552230 1497870 ) ( 1331930 * )
NEW met2 ( 1331930 827390 ) ( * 1497870 )
NEW met1 ( 1262470 827390 ) M1M2_PR
NEW met2 ( 1262470 826540 ) M2M3_PR
NEW met1 ( 552230 1497870 ) M1M2_PR
NEW met1 ( 1331930 827390 ) M1M2_PR
NEW met1 ( 1331930 1497870 ) M1M2_PR ;
- rambus_wb_dat_o\[0\] ( wrapped_function_generator_0 rambus_wb_dat_o[0] ) ( wb_openram_wrapper wbs_b_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 289110 983790 ) ( * 1290810 )
NEW met2 ( 1262470 729980 ) ( * 731170 )
NEW met3 ( 1244760 729980 0 ) ( 1262470 * )
NEW met2 ( 1359530 731170 ) ( * 983790 )
NEW met1 ( 289110 983790 ) ( 1359530 * )
NEW met2 ( 338790 1290810 ) ( * 1293700 )
NEW met3 ( 338790 1293700 ) ( 350980 * )
NEW met3 ( 350980 1293700 ) ( * 1295740 0 )
NEW met1 ( 289110 1290810 ) ( 338790 * )
NEW met1 ( 1262470 731170 ) ( 1359530 * )
NEW met1 ( 289110 983790 ) M1M2_PR
NEW met1 ( 1359530 983790 ) M1M2_PR
NEW met1 ( 289110 1290810 ) M1M2_PR
NEW met1 ( 1262470 731170 ) M1M2_PR
NEW met2 ( 1262470 729980 ) M2M3_PR
NEW met1 ( 1359530 731170 ) M1M2_PR
NEW met1 ( 338790 1290810 ) M1M2_PR
NEW met2 ( 338790 1293700 ) M2M3_PR ;
- rambus_wb_dat_o\[10\] ( wrapped_function_generator_0 rambus_wb_dat_o[10] ) ( wb_openram_wrapper wbs_b_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 497490 1011330 ) ( * 1097100 )
NEW met2 ( 497490 1097100 ) ( 501170 * )
NEW met2 ( 501570 1199180 ) ( * 1200185 0 )
NEW met2 ( 501170 1199180 ) ( 501570 * )
NEW met2 ( 501170 1097100 ) ( * 1199180 )
NEW met1 ( 1261550 756330 ) ( 1277650 * )
NEW met2 ( 1261550 753780 ) ( * 756330 )
NEW met3 ( 1244760 753780 0 ) ( 1261550 * )
NEW met2 ( 1277650 756330 ) ( * 1011330 )
NEW met1 ( 497490 1011330 ) ( 1277650 * )
NEW met1 ( 497490 1011330 ) M1M2_PR
NEW met1 ( 1277650 756330 ) M1M2_PR
NEW met1 ( 1261550 756330 ) M1M2_PR
NEW met2 ( 1261550 753780 ) M2M3_PR
NEW met1 ( 1277650 1011330 ) M1M2_PR ;
- rambus_wb_dat_o\[11\] ( wrapped_function_generator_0 rambus_wb_dat_o[11] ) ( wb_openram_wrapper wbs_b_dat_i[11] ) + USE SIGNAL
+ ROUTED met1 ( 1262470 757350 ) ( 1278110 * )
NEW met2 ( 1262470 755820 ) ( * 757350 )
NEW met3 ( 1244760 755820 0 ) ( 1262470 * )
NEW met2 ( 1278110 757350 ) ( * 1121490 )
NEW met2 ( 450570 1121490 ) ( * 1193700 )
NEW met2 ( 453330 1193700 ) ( * 1200185 0 )
NEW met2 ( 450570 1193700 ) ( 453330 * )
NEW met1 ( 450570 1121490 ) ( 1278110 * )
NEW met1 ( 1278110 757350 ) M1M2_PR
NEW met1 ( 1262470 757350 ) M1M2_PR
NEW met2 ( 1262470 755820 ) M2M3_PR
NEW met1 ( 1278110 1121490 ) M1M2_PR
NEW met1 ( 450570 1121490 ) M1M2_PR ;
- rambus_wb_dat_o\[12\] ( wrapped_function_generator_0 rambus_wb_dat_o[12] ) ( wb_openram_wrapper wbs_b_dat_i[12] ) + USE SIGNAL
+ ROUTED met1 ( 1262470 758710 ) ( 1270290 * )
NEW met2 ( 1262470 758540 ) ( * 758710 )
NEW met3 ( 1244760 758540 0 ) ( 1262470 * )
NEW met2 ( 1270290 758710 ) ( * 984130 )
NEW met1 ( 559130 984130 ) ( 1270290 * )
NEW met2 ( 559530 1199180 ) ( * 1200185 0 )
NEW met2 ( 559130 1199180 ) ( 559530 * )
NEW met2 ( 559130 984130 ) ( * 1199180 )
NEW met1 ( 1270290 984130 ) M1M2_PR
NEW met1 ( 1270290 758710 ) M1M2_PR
NEW met1 ( 1262470 758710 ) M1M2_PR
NEW met2 ( 1262470 758540 ) M2M3_PR
NEW met1 ( 559130 984130 ) M1M2_PR ;
- rambus_wb_dat_o\[13\] ( wrapped_function_generator_0 rambus_wb_dat_o[13] ) ( wb_openram_wrapper wbs_b_dat_i[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1373940 0 ) ( 607890 * )
NEW met2 ( 607890 1373430 ) ( * 1373940 )
NEW met2 ( 1262010 760580 ) ( * 765510 )
NEW met3 ( 1244760 760580 0 ) ( 1262010 * )
NEW met2 ( 1373790 765510 ) ( * 936190 )
NEW met1 ( 607890 1373430 ) ( 1114810 * )
NEW met1 ( 1262010 765510 ) ( 1373790 * )
NEW met2 ( 1114810 936190 ) ( * 1373430 )
NEW met1 ( 1114810 936190 ) ( 1373790 * )
NEW met2 ( 607890 1373940 ) M2M3_PR
NEW met1 ( 607890 1373430 ) M1M2_PR
NEW met1 ( 1262010 765510 ) M1M2_PR
NEW met2 ( 1262010 760580 ) M2M3_PR
NEW met1 ( 1373790 765510 ) M1M2_PR
NEW met1 ( 1373790 936190 ) M1M2_PR
NEW met1 ( 1114810 1373430 ) M1M2_PR
NEW met1 ( 1114810 936190 ) M1M2_PR ;
- rambus_wb_dat_o\[14\] ( wrapped_function_generator_0 rambus_wb_dat_o[14] ) ( wb_openram_wrapper wbs_b_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 763300 ) ( * 765170 )
NEW met3 ( 1244760 763300 0 ) ( 1262470 * )
NEW met2 ( 1381150 765170 ) ( * 956590 )
NEW met3 ( 338330 1454180 ) ( 350980 * )
NEW met3 ( 350980 1452140 0 ) ( * 1454180 )
NEW met1 ( 1262470 765170 ) ( 1381150 * )
NEW met1 ( 1121710 956590 ) ( 1381150 * )
NEW met2 ( 338330 1454180 ) ( * 1484610 )
NEW met1 ( 338330 1484610 ) ( 1121710 * )
NEW met2 ( 1121710 956590 ) ( * 1484610 )
NEW met1 ( 1262470 765170 ) M1M2_PR
NEW met2 ( 1262470 763300 ) M2M3_PR
NEW met1 ( 1381150 765170 ) M1M2_PR
NEW met1 ( 1381150 956590 ) M1M2_PR
NEW met2 ( 338330 1454180 ) M2M3_PR
NEW met1 ( 1121710 956590 ) M1M2_PR
NEW met1 ( 338330 1484610 ) M1M2_PR
NEW met1 ( 1121710 1484610 ) M1M2_PR ;
- rambus_wb_dat_o\[15\] ( wrapped_function_generator_0 rambus_wb_dat_o[15] ) ( wb_openram_wrapper wbs_b_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 1269830 765850 ) ( * 776050 )
NEW met1 ( 1261550 765850 ) ( 1269830 * )
NEW met2 ( 1261550 765340 ) ( * 765850 )
NEW met3 ( 1244760 765340 0 ) ( 1261550 * )
NEW met2 ( 1340210 776050 ) ( * 915450 )
NEW met2 ( 562810 1459815 0 ) ( * 1463190 )
NEW met1 ( 562810 1463190 ) ( 1101010 * )
NEW met1 ( 1269830 776050 ) ( 1340210 * )
NEW met2 ( 1101010 915450 ) ( * 1463190 )
NEW met1 ( 1101010 915450 ) ( 1340210 * )
NEW met1 ( 1269830 776050 ) M1M2_PR
NEW met1 ( 1269830 765850 ) M1M2_PR
NEW met1 ( 1261550 765850 ) M1M2_PR
NEW met2 ( 1261550 765340 ) M2M3_PR
NEW met1 ( 1340210 776050 ) M1M2_PR
NEW met1 ( 1340210 915450 ) M1M2_PR
NEW met1 ( 562810 1463190 ) M1M2_PR
NEW met1 ( 1101010 1463190 ) M1M2_PR
NEW met1 ( 1101010 915450 ) M1M2_PR ;
- rambus_wb_dat_o\[16\] ( wrapped_function_generator_0 rambus_wb_dat_o[16] ) ( wb_openram_wrapper wbs_b_dat_i[16] ) + USE SIGNAL
+ ROUTED met1 ( 1260630 772310 ) ( 1277190 * )
NEW met2 ( 1260630 767380 ) ( * 772310 )
NEW met3 ( 1244760 767380 0 ) ( 1260630 * )
NEW met2 ( 1277190 772310 ) ( * 1135430 )
NEW met2 ( 356670 1199180 ) ( * 1200185 0 )
NEW met2 ( 356270 1199180 ) ( 356670 * )
NEW met2 ( 356270 1135430 ) ( * 1199180 )
NEW met1 ( 356270 1135430 ) ( 1277190 * )
NEW met1 ( 1277190 772310 ) M1M2_PR
NEW met1 ( 1260630 772310 ) M1M2_PR
NEW met2 ( 1260630 767380 ) M2M3_PR
NEW met1 ( 1277190 1135430 ) M1M2_PR
NEW met1 ( 356270 1135430 ) M1M2_PR ;
- rambus_wb_dat_o\[17\] ( wrapped_function_generator_0 rambus_wb_dat_o[17] ) ( wb_openram_wrapper wbs_b_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 770100 ) ( * 772650 )
NEW met3 ( 1244760 770100 0 ) ( 1262470 * )
NEW met2 ( 1366890 772650 ) ( * 976650 )
NEW met2 ( 338790 1345890 ) ( * 1348100 )
NEW met3 ( 338790 1348100 ) ( 350980 * )
NEW met3 ( 350980 1348100 ) ( * 1350140 0 )
NEW met1 ( 247710 1345890 ) ( 338790 * )
NEW met1 ( 1262470 772650 ) ( 1366890 * )
NEW met1 ( 247710 976650 ) ( 1366890 * )
NEW met2 ( 247710 976650 ) ( * 1345890 )
NEW met1 ( 1262470 772650 ) M1M2_PR
NEW met2 ( 1262470 770100 ) M2M3_PR
NEW met1 ( 1366890 772650 ) M1M2_PR
NEW met1 ( 1366890 976650 ) M1M2_PR
NEW met1 ( 247710 976650 ) M1M2_PR
NEW met1 ( 247710 1345890 ) M1M2_PR
NEW met1 ( 338790 1345890 ) M1M2_PR
NEW met2 ( 338790 1348100 ) M2M3_PR ;
- rambus_wb_dat_o\[18\] ( wrapped_function_generator_0 rambus_wb_dat_o[18] ) ( wb_openram_wrapper wbs_b_dat_i[18] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 772140 0 ) ( 1249590 * )
NEW met2 ( 1249590 772140 ) ( * 1038870 )
NEW met2 ( 435390 1038870 ) ( * 1097100 )
NEW met2 ( 435390 1097100 ) ( 439990 * )
NEW met2 ( 440390 1199180 ) ( * 1200185 0 )
NEW met2 ( 439990 1199180 ) ( 440390 * )
NEW met1 ( 435390 1038870 ) ( 1249590 * )
NEW met2 ( 439990 1097100 ) ( * 1199180 )
NEW met2 ( 1249590 772140 ) M2M3_PR
NEW met1 ( 1249590 1038870 ) M1M2_PR
NEW met1 ( 435390 1038870 ) M1M2_PR ;
- rambus_wb_dat_o\[19\] ( wrapped_function_generator_0 rambus_wb_dat_o[19] ) ( wb_openram_wrapper wbs_b_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 774860 ) ( * 779450 )
NEW met3 ( 1244760 774860 0 ) ( 1262010 * )
NEW met2 ( 679650 1224850 ) ( * 1512490 )
NEW met2 ( 1353550 779450 ) ( * 929050 )
NEW met2 ( 451490 1459815 ) ( 453330 * 0 )
NEW met1 ( 1262010 779450 ) ( 1353550 * )
NEW met2 ( 451490 1459815 ) ( * 1512490 )
NEW met1 ( 451490 1512490 ) ( 679650 * )
NEW met1 ( 679650 1224850 ) ( 1135510 * )
NEW met2 ( 1135510 929050 ) ( * 1224850 )
NEW met1 ( 1135510 929050 ) ( 1353550 * )
NEW met1 ( 1262010 779450 ) M1M2_PR
NEW met2 ( 1262010 774860 ) M2M3_PR
NEW met1 ( 1353550 779450 ) M1M2_PR
NEW met1 ( 679650 1224850 ) M1M2_PR
NEW met1 ( 679650 1512490 ) M1M2_PR
NEW met1 ( 1353550 929050 ) M1M2_PR
NEW met1 ( 451490 1512490 ) M1M2_PR
NEW met1 ( 1135510 929050 ) M1M2_PR
NEW met1 ( 1135510 1224850 ) M1M2_PR ;
- rambus_wb_dat_o\[1\] ( wrapped_function_generator_0 rambus_wb_dat_o[1] ) ( wb_openram_wrapper wbs_b_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 302450 1176570 ) ( * 1248990 )
NEW met2 ( 1262010 732700 ) ( * 737970 )
NEW met3 ( 1244760 732700 0 ) ( 1262010 * )
NEW met2 ( 338330 1248990 ) ( * 1249500 )
NEW met3 ( 338330 1249500 ) ( 350980 * )
NEW met3 ( 350980 1249500 ) ( * 1251540 0 )
NEW met1 ( 302450 1248990 ) ( 338330 * )
NEW met1 ( 302450 1176570 ) ( 1394030 * )
NEW met1 ( 1262010 737970 ) ( 1394030 * )
NEW met2 ( 1394030 737970 ) ( * 1176570 )
NEW met1 ( 302450 1176570 ) M1M2_PR
NEW met1 ( 302450 1248990 ) M1M2_PR
NEW met1 ( 1262010 737970 ) M1M2_PR
NEW met2 ( 1262010 732700 ) M2M3_PR
NEW met1 ( 338330 1248990 ) M1M2_PR
NEW met2 ( 338330 1249500 ) M2M3_PR
NEW met1 ( 1394030 1176570 ) M1M2_PR
NEW met1 ( 1394030 737970 ) M1M2_PR ;
- rambus_wb_dat_o\[20\] ( wrapped_function_generator_0 rambus_wb_dat_o[20] ) ( wb_openram_wrapper wbs_b_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 776900 ) ( * 779110 )
NEW met3 ( 1244760 776900 0 ) ( 1262470 * )
NEW met1 ( 427570 1187110 ) ( 431710 * )
NEW met1 ( 1262470 779110 ) ( 1325030 * )
NEW met2 ( 427570 1187110 ) ( * 1200185 0 )
NEW met1 ( 431710 1031730 ) ( 1325030 * )
NEW met2 ( 1325030 779110 ) ( * 1031730 )
NEW met2 ( 431710 1031730 ) ( * 1187110 )
NEW met1 ( 1262470 779110 ) M1M2_PR
NEW met2 ( 1262470 776900 ) M2M3_PR
NEW met1 ( 427570 1187110 ) M1M2_PR
NEW met1 ( 431710 1187110 ) M1M2_PR
NEW met1 ( 1325030 779110 ) M1M2_PR
NEW met1 ( 431710 1031730 ) M1M2_PR
NEW met1 ( 1325030 1031730 ) M1M2_PR ;
- rambus_wb_dat_o\[21\] ( wrapped_function_generator_0 rambus_wb_dat_o[21] ) ( wb_openram_wrapper wbs_b_dat_i[21] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 779620 0 ) ( 1263390 * )
NEW met2 ( 504790 1199180 ) ( * 1200185 0 )
NEW met2 ( 504390 1199180 ) ( 504790 * )
NEW met2 ( 504390 1046010 ) ( * 1199180 )
NEW met2 ( 1263390 779620 ) ( * 1046010 )
NEW met1 ( 504390 1046010 ) ( 1263390 * )
NEW met2 ( 1263390 779620 ) M2M3_PR
NEW met1 ( 504390 1046010 ) M1M2_PR
NEW met1 ( 1263390 1046010 ) M1M2_PR ;
- rambus_wb_dat_o\[22\] ( wrapped_function_generator_0 rambus_wb_dat_o[22] ) ( wb_openram_wrapper wbs_b_dat_i[22] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 781660 0 ) ( 1256950 * )
NEW met2 ( 1256950 781660 ) ( * 1149370 )
NEW met1 ( 330510 1359830 ) ( 341090 * )
NEW met2 ( 341090 1359830 ) ( * 1361020 )
NEW met3 ( 341090 1361020 ) ( 350980 * )
NEW met3 ( 350980 1360340 0 ) ( * 1361020 )
NEW met2 ( 330510 1149370 ) ( * 1359830 )
NEW met1 ( 330510 1149370 ) ( 1256950 * )
NEW met2 ( 1256950 781660 ) M2M3_PR
NEW met1 ( 1256950 1149370 ) M1M2_PR
NEW met1 ( 330510 1149370 ) M1M2_PR
NEW met1 ( 330510 1359830 ) M1M2_PR
NEW met1 ( 341090 1359830 ) M1M2_PR
NEW met2 ( 341090 1361020 ) M2M3_PR ;
- rambus_wb_dat_o\[23\] ( wrapped_function_generator_0 rambus_wb_dat_o[23] ) ( wb_openram_wrapper wbs_b_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 784380 ) ( * 786590 )
NEW met3 ( 1244760 784380 0 ) ( 1262470 * )
NEW met3 ( 599380 1299140 0 ) ( 607890 * )
NEW met2 ( 607890 1297270 ) ( * 1299140 )
NEW met1 ( 1262470 786590 ) ( 1312150 * )
NEW met1 ( 1225210 880090 ) ( 1312150 * )
NEW met1 ( 607890 1297270 ) ( 1225210 * )
NEW met2 ( 1225210 880090 ) ( * 1297270 )
NEW met2 ( 1312150 786590 ) ( * 880090 )
NEW met1 ( 1262470 786590 ) M1M2_PR
NEW met2 ( 1262470 784380 ) M2M3_PR
NEW met2 ( 607890 1299140 ) M2M3_PR
NEW met1 ( 607890 1297270 ) M1M2_PR
NEW met1 ( 1225210 880090 ) M1M2_PR
NEW met1 ( 1312150 786590 ) M1M2_PR
NEW met1 ( 1312150 880090 ) M1M2_PR
NEW met1 ( 1225210 1297270 ) M1M2_PR ;
- rambus_wb_dat_o\[24\] ( wrapped_function_generator_0 rambus_wb_dat_o[24] ) ( wb_openram_wrapper wbs_b_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 504850 1459815 0 ) ( * 1477300 )
NEW met3 ( 1244760 786420 0 ) ( 1276500 * )
NEW met4 ( 1276500 786420 ) ( * 1477300 )
NEW met3 ( 504850 1477300 ) ( 1276500 * )
NEW met2 ( 504850 1477300 ) M2M3_PR
NEW met3 ( 1276500 786420 ) M3M4_PR
NEW met3 ( 1276500 1477300 ) M3M4_PR ;
- rambus_wb_dat_o\[25\] ( wrapped_function_generator_0 rambus_wb_dat_o[25] ) ( wb_openram_wrapper wbs_b_dat_i[25] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 789140 0 ) ( 1256490 * )
NEW met2 ( 1256490 789140 ) ( * 1170110 )
NEW met1 ( 330050 1276870 ) ( 338330 * )
NEW met2 ( 338330 1276870 ) ( * 1279420 )
NEW met3 ( 338330 1279420 ) ( 350980 * )
NEW met3 ( 350980 1279420 ) ( * 1282140 0 )
NEW met2 ( 330050 1170110 ) ( * 1276870 )
NEW met1 ( 330050 1170110 ) ( 1256490 * )
NEW met2 ( 1256490 789140 ) M2M3_PR
NEW met1 ( 1256490 1170110 ) M1M2_PR
NEW met1 ( 330050 1170110 ) M1M2_PR
NEW met1 ( 330050 1276870 ) M1M2_PR
NEW met1 ( 338330 1276870 ) M1M2_PR
NEW met2 ( 338330 1279420 ) M2M3_PR ;
- rambus_wb_dat_o\[26\] ( wrapped_function_generator_0 rambus_wb_dat_o[26] ) ( wb_openram_wrapper wbs_b_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 791180 ) ( * 793390 )
NEW met3 ( 1244760 791180 0 ) ( 1262470 * )
NEW met1 ( 1262470 793390 ) ( 1305250 * )
NEW met1 ( 1231650 887570 ) ( 1305250 * )
NEW met2 ( 466210 1459815 0 ) ( * 1491070 )
NEW met1 ( 466210 1491070 ) ( 1231650 * )
NEW met2 ( 1231650 887570 ) ( * 1491070 )
NEW met2 ( 1305250 793390 ) ( * 887570 )
NEW met1 ( 1262470 793390 ) M1M2_PR
NEW met2 ( 1262470 791180 ) M2M3_PR
NEW met1 ( 1231650 887570 ) M1M2_PR
NEW met1 ( 1305250 793390 ) M1M2_PR
NEW met1 ( 1305250 887570 ) M1M2_PR
NEW met1 ( 466210 1491070 ) M1M2_PR
NEW met1 ( 1231650 1491070 ) M1M2_PR ;
- rambus_wb_dat_o\[27\] ( wrapped_function_generator_0 rambus_wb_dat_o[27] ) ( wb_openram_wrapper wbs_b_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 793900 ) ( * 800190 )
NEW met3 ( 1244760 793900 0 ) ( 1262470 * )
NEW met2 ( 309810 1197310 ) ( * 1339090 )
NEW met2 ( 493350 1010990 ) ( * 1197310 )
NEW met2 ( 1346190 800190 ) ( * 1010990 )
NEW met2 ( 338790 1339090 ) ( * 1341300 )
NEW met3 ( 338790 1341300 ) ( 350980 * )
NEW met3 ( 350980 1341300 ) ( * 1343340 0 )
NEW met1 ( 309810 1339090 ) ( 338790 * )
NEW met1 ( 1262470 800190 ) ( 1346190 * )
NEW met1 ( 309810 1197310 ) ( 493350 * )
NEW met1 ( 493350 1010990 ) ( 1346190 * )
NEW met1 ( 309810 1339090 ) M1M2_PR
NEW met1 ( 1262470 800190 ) M1M2_PR
NEW met2 ( 1262470 793900 ) M2M3_PR
NEW met1 ( 1346190 800190 ) M1M2_PR
NEW met1 ( 309810 1197310 ) M1M2_PR
NEW met1 ( 493350 1010990 ) M1M2_PR
NEW met1 ( 493350 1197310 ) M1M2_PR
NEW met1 ( 1346190 1010990 ) M1M2_PR
NEW met1 ( 338790 1339090 ) M1M2_PR
NEW met2 ( 338790 1341300 ) M2M3_PR ;
- rambus_wb_dat_o\[28\] ( wrapped_function_generator_0 rambus_wb_dat_o[28] ) ( wb_openram_wrapper wbs_b_dat_i[28] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1449420 ) ( * 1452140 0 )
NEW met3 ( 1244760 795940 0 ) ( 1262700 * )
NEW met4 ( 1262700 795940 ) ( * 1449420 )
NEW met3 ( 599380 1449420 ) ( 1262700 * )
NEW met3 ( 1262700 795940 ) M3M4_PR
NEW met3 ( 1262700 1449420 ) M3M4_PR ;
- rambus_wb_dat_o\[29\] ( wrapped_function_generator_0 rambus_wb_dat_o[29] ) ( wb_openram_wrapper wbs_b_dat_i[29] ) + USE SIGNAL
+ ROUTED met1 ( 1258790 799850 ) ( 1270750 * )
NEW met2 ( 1258790 797980 ) ( * 799850 )
NEW met3 ( 1244760 797980 0 ) ( 1258790 * )
NEW met2 ( 1270750 799850 ) ( * 880430 )
NEW met3 ( 351900 1443980 ) ( * 1445340 0 )
NEW met3 ( 349370 1443980 ) ( 351900 * )
NEW met2 ( 349370 1443980 ) ( * 1477130 )
NEW met1 ( 1224750 880430 ) ( 1270750 * )
NEW met1 ( 349370 1477130 ) ( 1224750 * )
NEW met2 ( 1224750 880430 ) ( * 1477130 )
NEW met1 ( 1270750 799850 ) M1M2_PR
NEW met1 ( 1258790 799850 ) M1M2_PR
NEW met2 ( 1258790 797980 ) M2M3_PR
NEW met1 ( 1270750 880430 ) M1M2_PR
NEW met2 ( 349370 1443980 ) M2M3_PR
NEW met1 ( 349370 1477130 ) M1M2_PR
NEW met1 ( 1224750 880430 ) M1M2_PR
NEW met1 ( 1224750 1477130 ) M1M2_PR ;
- rambus_wb_dat_o\[2\] ( wrapped_function_generator_0 rambus_wb_dat_o[2] ) ( wb_openram_wrapper wbs_b_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 508010 1199010 ) ( * 1200185 0 )
NEW met1 ( 503930 1199010 ) ( 508010 * )
NEW met2 ( 503930 1018810 ) ( * 1199010 )
NEW met1 ( 1257410 737290 ) ( 1271210 * )
NEW met2 ( 1257410 734740 ) ( * 737290 )
NEW met3 ( 1244760 734740 0 ) ( 1257410 * )
NEW met2 ( 1271210 737290 ) ( * 1018810 )
NEW met1 ( 503930 1018810 ) ( 1271210 * )
NEW met1 ( 503930 1018810 ) M1M2_PR
NEW met1 ( 508010 1199010 ) M1M2_PR
NEW met1 ( 503930 1199010 ) M1M2_PR
NEW met1 ( 1271210 737290 ) M1M2_PR
NEW met1 ( 1257410 737290 ) M1M2_PR
NEW met2 ( 1257410 734740 ) M2M3_PR
NEW met1 ( 1271210 1018810 ) M1M2_PR ;
- rambus_wb_dat_o\[30\] ( wrapped_function_generator_0 rambus_wb_dat_o[30] ) ( wb_openram_wrapper wbs_b_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 801380 ) ( * 806990 )
NEW met3 ( 1246140 801380 ) ( 1262470 * )
NEW met3 ( 1246140 800700 ) ( * 801380 )
NEW met3 ( 1244760 800700 0 ) ( 1246140 * )
NEW met3 ( 599380 1407940 0 ) ( 607890 * )
NEW met2 ( 607890 1407770 ) ( * 1407940 )
NEW met2 ( 1245450 950130 ) ( * 1407770 )
NEW met2 ( 1359990 806990 ) ( * 950130 )
NEW met1 ( 1262470 806990 ) ( 1359990 * )
NEW met1 ( 607890 1407770 ) ( 1245450 * )
NEW met1 ( 1245450 950130 ) ( 1359990 * )
NEW met1 ( 1262470 806990 ) M1M2_PR
NEW met2 ( 1262470 801380 ) M2M3_PR
NEW met1 ( 1359990 806990 ) M1M2_PR
NEW met2 ( 607890 1407940 ) M2M3_PR
NEW met1 ( 607890 1407770 ) M1M2_PR
NEW met1 ( 1245450 950130 ) M1M2_PR
NEW met1 ( 1245450 1407770 ) M1M2_PR
NEW met1 ( 1359990 950130 ) M1M2_PR ;
- rambus_wb_dat_o\[31\] ( wrapped_function_generator_0 rambus_wb_dat_o[31] ) ( wb_openram_wrapper wbs_b_dat_i[31] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 802740 0 ) ( 1250050 * )
NEW met2 ( 579830 998070 ) ( * 1193700 )
NEW met2 ( 582130 1193700 ) ( * 1200185 0 )
NEW met2 ( 579830 1193700 ) ( 582130 * )
NEW met2 ( 1250050 802740 ) ( * 998070 )
NEW met1 ( 579830 998070 ) ( 1250050 * )
NEW met1 ( 579830 998070 ) M1M2_PR
NEW met2 ( 1250050 802740 ) M2M3_PR
NEW met1 ( 1250050 998070 ) M1M2_PR ;
- rambus_wb_dat_o\[3\] ( wrapped_function_generator_0 rambus_wb_dat_o[3] ) ( wb_openram_wrapper wbs_b_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 1066510 942650 ) ( * 1532550 )
NEW met2 ( 1262470 737460 ) ( * 737630 )
NEW met3 ( 1244760 737460 0 ) ( 1262470 * )
NEW met2 ( 1373330 737630 ) ( * 942650 )
NEW met2 ( 434930 1459620 ) ( 437170 * 0 )
NEW met1 ( 434930 1532550 ) ( 1066510 * )
NEW met2 ( 434930 1459620 ) ( * 1532550 )
NEW met1 ( 1262470 737630 ) ( 1373330 * )
NEW met1 ( 1066510 942650 ) ( 1373330 * )
NEW met1 ( 1066510 1532550 ) M1M2_PR
NEW met1 ( 1066510 942650 ) M1M2_PR
NEW met1 ( 1262470 737630 ) M1M2_PR
NEW met2 ( 1262470 737460 ) M2M3_PR
NEW met1 ( 1373330 737630 ) M1M2_PR
NEW met1 ( 1373330 942650 ) M1M2_PR
NEW met1 ( 434930 1532550 ) M1M2_PR ;
- rambus_wb_dat_o\[4\] ( wrapped_function_generator_0 rambus_wb_dat_o[4] ) ( wb_openram_wrapper wbs_b_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 322690 1128290 ) ( * 1359490 )
NEW met3 ( 1244760 739500 0 ) ( 1256030 * )
NEW met2 ( 1256030 739500 ) ( * 1128290 )
NEW met2 ( 338330 1359490 ) ( * 1361700 )
NEW met3 ( 338330 1361700 ) ( 350980 * )
NEW met3 ( 350980 1361700 ) ( * 1363740 0 )
NEW met1 ( 322690 1359490 ) ( 338330 * )
NEW met1 ( 322690 1128290 ) ( 1256030 * )
NEW met1 ( 322690 1359490 ) M1M2_PR
NEW met1 ( 322690 1128290 ) M1M2_PR
NEW met2 ( 1256030 739500 ) M2M3_PR
NEW met1 ( 1256030 1128290 ) M1M2_PR
NEW met1 ( 338330 1359490 ) M1M2_PR
NEW met2 ( 338330 1361700 ) M2M3_PR ;
- rambus_wb_dat_o\[5\] ( wrapped_function_generator_0 rambus_wb_dat_o[5] ) ( wb_openram_wrapper wbs_b_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1459815 0 ) ( * 1462850 )
NEW met2 ( 1148850 936530 ) ( * 1462850 )
NEW met1 ( 1262010 744770 ) ( 1283630 * )
NEW met2 ( 1262010 741540 ) ( * 744770 )
NEW met3 ( 1244760 741540 0 ) ( 1262010 * )
NEW met2 ( 1283630 744770 ) ( * 936530 )
NEW met1 ( 511290 1462850 ) ( 1148850 * )
NEW met1 ( 1148850 936530 ) ( 1283630 * )
NEW met1 ( 511290 1462850 ) M1M2_PR
NEW met1 ( 1148850 1462850 ) M1M2_PR
NEW met1 ( 1148850 936530 ) M1M2_PR
NEW met1 ( 1283630 744770 ) M1M2_PR
NEW met1 ( 1262010 744770 ) M1M2_PR
NEW met2 ( 1262010 741540 ) M2M3_PR
NEW met1 ( 1283630 936530 ) M1M2_PR ;
- rambus_wb_dat_o\[6\] ( wrapped_function_generator_0 rambus_wb_dat_o[6] ) ( wb_openram_wrapper wbs_b_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 373290 1100410 ) ( * 1193700 )
NEW met2 ( 376050 1193700 ) ( * 1200185 0 )
NEW met2 ( 373290 1193700 ) ( 376050 * )
NEW met2 ( 1262470 744260 ) ( * 745110 )
NEW met3 ( 1244760 744260 0 ) ( 1262470 * )
NEW met1 ( 1262470 745110 ) ( 1407830 * )
NEW met1 ( 373290 1100410 ) ( 1407830 * )
NEW met2 ( 1407830 745110 ) ( * 1100410 )
NEW met1 ( 373290 1100410 ) M1M2_PR
NEW met1 ( 1262470 745110 ) M1M2_PR
NEW met2 ( 1262470 744260 ) M2M3_PR
NEW met1 ( 1407830 745110 ) M1M2_PR
NEW met1 ( 1407830 1100410 ) M1M2_PR ;
- rambus_wb_dat_o\[7\] ( wrapped_function_generator_0 rambus_wb_dat_o[7] ) ( wb_openram_wrapper wbs_b_dat_i[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1271940 0 ) ( 607890 * )
NEW met2 ( 607890 1269730 ) ( * 1271940 )
NEW met2 ( 1262010 746300 ) ( * 751910 )
NEW met3 ( 1244760 746300 0 ) ( 1262010 * )
NEW met1 ( 607890 1269730 ) ( 1421630 * )
NEW met1 ( 1262010 751910 ) ( 1421630 * )
NEW met2 ( 1421630 751910 ) ( * 1269730 )
NEW met2 ( 607890 1271940 ) M2M3_PR
NEW met1 ( 607890 1269730 ) M1M2_PR
NEW met1 ( 1262010 751910 ) M1M2_PR
NEW met2 ( 1262010 746300 ) M2M3_PR
NEW met1 ( 1421630 1269730 ) M1M2_PR
NEW met1 ( 1421630 751910 ) M1M2_PR ;
- rambus_wb_dat_o\[8\] ( wrapped_function_generator_0 rambus_wb_dat_o[8] ) ( wb_openram_wrapper wbs_b_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 469430 1169260 ) ( 469890 * )
NEW met2 ( 469890 1066070 ) ( * 1169260 )
NEW met2 ( 469430 1169260 ) ( * 1200185 0 )
NEW met2 ( 1163110 901510 ) ( * 1066070 )
NEW met1 ( 1261550 751230 ) ( 1284090 * )
NEW met2 ( 1261550 749020 ) ( * 751230 )
NEW met3 ( 1244760 749020 0 ) ( 1261550 * )
NEW met2 ( 1284090 751230 ) ( * 901510 )
NEW met1 ( 469890 1066070 ) ( 1163110 * )
NEW met1 ( 1163110 901510 ) ( 1284090 * )
NEW met1 ( 469890 1066070 ) M1M2_PR
NEW met1 ( 1163110 901510 ) M1M2_PR
NEW met1 ( 1163110 1066070 ) M1M2_PR
NEW met1 ( 1284090 901510 ) M1M2_PR
NEW met1 ( 1284090 751230 ) M1M2_PR
NEW met1 ( 1261550 751230 ) M1M2_PR
NEW met2 ( 1261550 749020 ) M2M3_PR ;
- rambus_wb_dat_o\[9\] ( wrapped_function_generator_0 rambus_wb_dat_o[9] ) ( wb_openram_wrapper wbs_b_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 409630 1459620 ) ( 411410 * 0 )
NEW met2 ( 409630 1459620 ) ( * 1525410 )
NEW met2 ( 1262470 751060 ) ( * 751570 )
NEW met3 ( 1244760 751060 0 ) ( 1262470 * )
NEW met1 ( 1107450 963730 ) ( 1400930 * )
NEW met1 ( 409630 1525410 ) ( 1107450 * )
NEW met2 ( 1107450 963730 ) ( * 1525410 )
NEW met1 ( 1262470 751570 ) ( 1400930 * )
NEW met2 ( 1400930 751570 ) ( * 963730 )
NEW met1 ( 409630 1525410 ) M1M2_PR
NEW met1 ( 1262470 751570 ) M1M2_PR
NEW met2 ( 1262470 751060 ) M2M3_PR
NEW met1 ( 1107450 963730 ) M1M2_PR
NEW met1 ( 1400930 963730 ) M1M2_PR
NEW met1 ( 1107450 1525410 ) M1M2_PR
NEW met1 ( 1400930 751570 ) M1M2_PR ;
- rambus_wb_rst_o ( wrapped_function_generator_0 rambus_wb_rst_o ) ( wb_openram_wrapper wb_b_rst_i ) + USE SIGNAL
+ ROUTED met3 ( 1244760 685100 0 ) ( 1248900 * )
NEW met4 ( 1248900 685100 ) ( * 882980 )
NEW met2 ( 338330 1242190 ) ( * 1242700 )
NEW met3 ( 338330 1242700 ) ( 350980 * )
NEW met3 ( 350980 1242700 ) ( * 1244740 0 )
NEW met1 ( 274390 1242190 ) ( 338330 * )
NEW met3 ( 1232570 882980 ) ( 1248900 * )
NEW met1 ( 274390 1086810 ) ( 1232570 * )
NEW met2 ( 274390 1086810 ) ( * 1242190 )
NEW met2 ( 1232570 882980 ) ( * 1086810 )
NEW met3 ( 1248900 685100 ) M3M4_PR
NEW met3 ( 1248900 882980 ) M3M4_PR
NEW met1 ( 274390 1086810 ) M1M2_PR
NEW met1 ( 274390 1242190 ) M1M2_PR
NEW met1 ( 338330 1242190 ) M1M2_PR
NEW met2 ( 338330 1242700 ) M2M3_PR
NEW met2 ( 1232570 882980 ) M2M3_PR
NEW met1 ( 1232570 1086810 ) M1M2_PR ;
- rambus_wb_sel_o\[0\] ( wrapped_function_generator_0 rambus_wb_sel_o[0] ) ( wb_openram_wrapper wbs_b_sel_i[0] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 697340 0 ) ( 1262930 * )
NEW met2 ( 1262930 697340 ) ( * 710700 )
NEW met2 ( 1262930 710700 ) ( 1263850 * )
NEW met2 ( 1263850 710700 ) ( * 914770 )
NEW met2 ( 552230 914770 ) ( * 1097100 )
NEW met2 ( 552230 1097100 ) ( 554990 * )
NEW met2 ( 554990 1097100 ) ( * 1193700 )
NEW met2 ( 556370 1193700 ) ( * 1200185 0 )
NEW met2 ( 554990 1193700 ) ( 556370 * )
NEW met1 ( 552230 914770 ) ( 1263850 * )
NEW met2 ( 1262930 697340 ) M2M3_PR
NEW met1 ( 1263850 914770 ) M1M2_PR
NEW met1 ( 552230 914770 ) M1M2_PR ;
- rambus_wb_sel_o\[1\] ( wrapped_function_generator_0 rambus_wb_sel_o[1] ) ( wb_openram_wrapper wbs_b_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 699380 ) ( * 703290 )
NEW met3 ( 1244760 699380 0 ) ( 1262010 * )
NEW met2 ( 514510 1459815 0 ) ( * 1490730 )
NEW met2 ( 1380230 703290 ) ( * 1490730 )
NEW met1 ( 1262010 703290 ) ( 1380230 * )
NEW met1 ( 514510 1490730 ) ( 1380230 * )
NEW met1 ( 1262010 703290 ) M1M2_PR
NEW met2 ( 1262010 699380 ) M2M3_PR
NEW met1 ( 1380230 703290 ) M1M2_PR
NEW met1 ( 514510 1490730 ) M1M2_PR
NEW met1 ( 1380230 1490730 ) M1M2_PR ;
- rambus_wb_sel_o\[2\] ( wrapped_function_generator_0 rambus_wb_sel_o[2] ) ( wb_openram_wrapper wbs_b_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 396750 1459620 ) ( 398530 * 0 )
NEW met2 ( 1262470 702100 ) ( * 703630 )
NEW met3 ( 1244760 702100 0 ) ( 1262470 * )
NEW met2 ( 396750 1459620 ) ( * 1505010 )
NEW met2 ( 1073410 963390 ) ( * 1505010 )
NEW met1 ( 1262470 703630 ) ( 1387590 * )
NEW met1 ( 1073410 963390 ) ( 1387590 * )
NEW met1 ( 396750 1505010 ) ( 1073410 * )
NEW met2 ( 1387590 703630 ) ( * 963390 )
NEW met1 ( 1073410 963390 ) M1M2_PR
NEW met1 ( 1262470 703630 ) M1M2_PR
NEW met2 ( 1262470 702100 ) M2M3_PR
NEW met1 ( 396750 1505010 ) M1M2_PR
NEW met1 ( 1073410 1505010 ) M1M2_PR
NEW met1 ( 1387590 703630 ) M1M2_PR
NEW met1 ( 1387590 963390 ) M1M2_PR ;
- rambus_wb_sel_o\[3\] ( wrapped_function_generator_0 rambus_wb_sel_o[3] ) ( wb_openram_wrapper wbs_b_sel_i[3] ) + USE SIGNAL
+ ROUTED met1 ( 1256030 707710 ) ( 1266610 * )
NEW met2 ( 1256030 704140 ) ( * 707710 )
NEW met3 ( 1244760 704140 0 ) ( 1256030 * )
NEW met2 ( 1266610 707710 ) ( * 817530 )
NEW met2 ( 1339750 817530 ) ( * 956250 )
NEW met2 ( 338330 1366290 ) ( * 1367820 )
NEW met3 ( 338330 1367820 ) ( 350980 * )
NEW met3 ( 350980 1367140 0 ) ( * 1367820 )
NEW met1 ( 240810 1366290 ) ( 338330 * )
NEW met1 ( 240810 956250 ) ( 1339750 * )
NEW met2 ( 240810 956250 ) ( * 1366290 )
NEW met1 ( 1266610 817530 ) ( 1339750 * )
NEW met1 ( 1266610 707710 ) M1M2_PR
NEW met1 ( 1256030 707710 ) M1M2_PR
NEW met2 ( 1256030 704140 ) M2M3_PR
NEW met1 ( 1339750 956250 ) M1M2_PR
NEW met1 ( 1266610 817530 ) M1M2_PR
NEW met1 ( 1339750 817530 ) M1M2_PR
NEW met1 ( 240810 956250 ) M1M2_PR
NEW met1 ( 240810 1366290 ) M1M2_PR
NEW met1 ( 338330 1366290 ) M1M2_PR
NEW met2 ( 338330 1367820 ) M2M3_PR ;
- rambus_wb_stb_o ( wrapped_function_generator_0 rambus_wb_stb_o ) ( wb_openram_wrapper wbs_b_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 498410 1459815 0 ) ( * 1478150 )
NEW met3 ( 1244760 687820 0 ) ( 1283860 * )
NEW met4 ( 1283860 687820 ) ( * 1417460 )
NEW met1 ( 498410 1478150 ) ( 721050 * )
NEW met2 ( 721050 1417460 ) ( * 1478150 )
NEW met3 ( 721050 1417460 ) ( 1283860 * )
NEW met1 ( 498410 1478150 ) M1M2_PR
NEW met3 ( 1283860 687820 ) M3M4_PR
NEW met3 ( 1283860 1417460 ) M3M4_PR
NEW met1 ( 721050 1478150 ) M1M2_PR
NEW met2 ( 721050 1417460 ) M2M3_PR ;
- rambus_wb_we_o ( wrapped_function_generator_0 rambus_wb_we_o ) ( wb_openram_wrapper wbs_b_we_i ) + USE SIGNAL
+ ROUTED met3 ( 1244760 692580 0 ) ( 1259250 * )
NEW met2 ( 1259250 692580 ) ( * 790330 )
NEW met1 ( 1259250 790330 ) ( 1297430 * )
NEW met1 ( 337410 1190850 ) ( 1297430 * )
NEW met3 ( 337410 1221620 ) ( 350980 * )
NEW met3 ( 350980 1221620 ) ( * 1224340 0 )
NEW met2 ( 337410 1190850 ) ( * 1221620 )
NEW met2 ( 1297430 790330 ) ( * 1190850 )
NEW met2 ( 1259250 692580 ) M2M3_PR
NEW met1 ( 1259250 790330 ) M1M2_PR
NEW met1 ( 337410 1190850 ) M1M2_PR
NEW met1 ( 1297430 790330 ) M1M2_PR
NEW met1 ( 1297430 1190850 ) M1M2_PR
NEW met2 ( 337410 1221620 ) M2M3_PR ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( wrapped_vga_clock_1 wb_clk_i ) ( wrapped_rgb_mixer_3 wb_clk_i ) ( wrapped_hack_soc_dffram_11 wb_clk_i ) ( wrapped_function_generator_0 wb_clk_i ) ( wrapped_frequency_counter_2 wb_clk_i ) ( wb_openram_wrapper wb_a_clk_i )
( wb_bridge_2way wb_clk_i ) + USE SIGNAL
+ ROUTED met2 ( 690 1700 ) ( 2990 * 0 )
NEW met3 ( 499100 2347020 0 ) ( * 2348380 )
NEW met3 ( 499100 2348380 ) ( 514510 * )
NEW met3 ( 1244760 483140 0 ) ( 1262470 * )
NEW met2 ( 230 82800 ) ( 690 * )
NEW met2 ( 690 1700 ) ( * 82800 )
NEW met1 ( 230 330990 ) ( 6670 * )
NEW met2 ( 230 82800 ) ( * 330990 )
NEW met2 ( 6670 330990 ) ( * 906780 )
NEW met2 ( 514510 2348380 ) ( * 2356370 )
NEW met4 ( 593860 906780 ) ( * 1097100 )
NEW met4 ( 593860 1097100 ) ( 596620 * )
NEW met3 ( 599380 1207340 0 ) ( 606970 * )
NEW met3 ( 596620 1205980 ) ( 599380 * )
NEW met3 ( 599380 1205980 ) ( * 1207340 0 )
NEW met4 ( 596620 1097100 ) ( * 1205980 )
NEW met2 ( 606970 1207340 ) ( * 1811010 )
NEW met2 ( 697590 2352970 ) ( * 2356370 )
NEW met2 ( 697590 2356370 ) ( * 2801430 )
NEW met1 ( 1259710 448630 ) ( 1262470 * )
NEW met2 ( 1259710 330990 ) ( * 448630 )
NEW met2 ( 1262470 448630 ) ( * 486540 )
NEW met3 ( 529460 2805340 0 ) ( 544870 * )
NEW met2 ( 544870 2801430 ) ( * 2805340 )
NEW met1 ( 544870 2801430 ) ( 697590 * )
NEW met3 ( 1262470 486540 ) ( 1310540 * )
NEW met3 ( 1310540 876860 ) ( 1483500 * )
NEW met3 ( 1483500 876860 ) ( * 878220 )
NEW met3 ( 1483500 878220 ) ( 1550660 * 0 )
NEW met2 ( 2104730 500140 ) ( 2108870 * 0 )
NEW met3 ( 6670 906780 ) ( 593860 * )
NEW met1 ( 514510 2356370 ) ( 697590 * )
NEW met1 ( 697590 2352970 ) ( 711850 * )
NEW met2 ( 711850 1811010 ) ( * 2352970 )
NEW met1 ( 6670 330990 ) ( 1259710 * )
NEW met4 ( 1310540 486540 ) ( * 876860 )
NEW met1 ( 1262470 455090 ) ( 2104730 * )
NEW met2 ( 2104730 455090 ) ( * 500140 )
NEW met3 ( 579140 1809140 0 ) ( 586730 * )
NEW met2 ( 586730 1809140 ) ( * 1811010 )
NEW met1 ( 586730 1811010 ) ( 711850 * )
NEW met2 ( 514510 2348380 ) M2M3_PR
NEW met1 ( 697590 2801430 ) M1M2_PR
NEW met2 ( 1262470 486540 ) M2M3_PR
NEW met2 ( 1262470 483140 ) M2M3_PR
NEW met1 ( 230 330990 ) M1M2_PR
NEW met1 ( 6670 330990 ) M1M2_PR
NEW met2 ( 6670 906780 ) M2M3_PR
NEW met1 ( 514510 2356370 ) M1M2_PR
NEW met3 ( 593860 906780 ) M3M4_PR
NEW met2 ( 606970 1207340 ) M2M3_PR
NEW met3 ( 596620 1205980 ) M3M4_PR
NEW met1 ( 606970 1811010 ) M1M2_PR
NEW met1 ( 697590 2352970 ) M1M2_PR
NEW met1 ( 697590 2356370 ) M1M2_PR
NEW met1 ( 1259710 330990 ) M1M2_PR
NEW met1 ( 1262470 448630 ) M1M2_PR
NEW met1 ( 1259710 448630 ) M1M2_PR
NEW met1 ( 1262470 455090 ) M1M2_PR
NEW met2 ( 544870 2805340 ) M2M3_PR
NEW met1 ( 544870 2801430 ) M1M2_PR
NEW met3 ( 1310540 486540 ) M3M4_PR
NEW met3 ( 1310540 876860 ) M3M4_PR
NEW met1 ( 711850 1811010 ) M1M2_PR
NEW met1 ( 711850 2352970 ) M1M2_PR
NEW met1 ( 2104730 455090 ) M1M2_PR
NEW met2 ( 586730 1809140 ) M2M3_PR
NEW met1 ( 586730 1811010 ) M1M2_PR
NEW met2 ( 1262470 483140 ) RECT ( -70 -485 70 0 )
NEW met1 ( 606970 1811010 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1262470 455090 ) RECT ( -70 -485 70 0 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( wrapped_function_generator_0 wb_rst_i ) ( wb_openram_wrapper wb_a_rst_i ) ( wb_bridge_2way wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 482310 1459815 0 ) ( * 1469990 )
NEW met2 ( 8510 1700 0 ) ( * 37910 )
NEW met2 ( 217350 37910 ) ( * 117130 )
NEW met2 ( 220570 117130 ) ( * 1469990 )
NEW met2 ( 1249130 117130 ) ( * 485180 )
NEW met4 ( 1348260 485860 ) ( * 883660 )
NEW met1 ( 220570 1469990 ) ( 482310 * )
NEW met1 ( 217350 117130 ) ( 1249130 * )
NEW met3 ( 1244760 485180 0 ) ( 1290300 * )
NEW met3 ( 1290300 485180 ) ( * 485860 )
NEW met3 ( 1290300 485860 ) ( 1348260 * )
NEW met2 ( 1580100 879580 0 ) ( 1580330 * )
NEW met2 ( 1580330 879580 ) ( * 883660 )
NEW met3 ( 1348260 883660 ) ( 1580330 * )
NEW met1 ( 8510 37910 ) ( 217350 * )
NEW met1 ( 217350 117130 ) M1M2_PR
NEW met1 ( 220570 117130 ) M1M2_PR
NEW met1 ( 220570 1469990 ) M1M2_PR
NEW met1 ( 482310 1469990 ) M1M2_PR
NEW met1 ( 1249130 117130 ) M1M2_PR
NEW met2 ( 1249130 485180 ) M2M3_PR
NEW met3 ( 1348260 485860 ) M3M4_PR
NEW met3 ( 1348260 883660 ) M3M4_PR
NEW met1 ( 8510 37910 ) M1M2_PR
NEW met1 ( 217350 37910 ) M1M2_PR
NEW met2 ( 1580330 883660 ) M2M3_PR
NEW met1 ( 220570 117130 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1249130 485180 ) RECT ( -800 -150 0 150 ) ;
- wbs_ack_o ( PIN wbs_ack_o ) ( wb_bridge_2way wbs_ack_o ) + USE SIGNAL
+ ROUTED met3 ( 1547670 698700 ) ( * 699840 )
NEW met3 ( 1547670 699840 ) ( 1550660 * 0 )
NEW met2 ( 14490 1700 0 ) ( * 141100 )
NEW met3 ( 1521220 698700 ) ( 1547670 * )
NEW met3 ( 14490 141100 ) ( 1521220 * )
NEW met4 ( 1521220 141100 ) ( * 698700 )
NEW met2 ( 14490 141100 ) M2M3_PR
NEW met3 ( 1521220 698700 ) M3M4_PR
NEW met3 ( 1521220 141100 ) M3M4_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( wb_bridge_2way wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 490450 ) ( * 495380 )
NEW met3 ( 1538010 495380 ) ( 1550200 * 0 )
NEW met1 ( 34730 320790 ) ( 1405530 * )
NEW met1 ( 1405530 490450 ) ( 1538010 * )
NEW met2 ( 34730 82800 ) ( 38410 * )
NEW met2 ( 38410 1700 0 ) ( * 82800 )
NEW met2 ( 34730 82800 ) ( * 320790 )
NEW met2 ( 1405530 320790 ) ( * 490450 )
NEW met1 ( 1538010 490450 ) M1M2_PR
NEW met2 ( 1538010 495380 ) M2M3_PR
NEW met1 ( 34730 320790 ) M1M2_PR
NEW met1 ( 1405530 320790 ) M1M2_PR
NEW met1 ( 1405530 490450 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( wb_bridge_2way wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 510850 ) ( * 517140 )
NEW met3 ( 1538010 517140 ) ( 1550200 * 0 )
NEW met2 ( 237130 1700 ) ( 239430 * 0 )
NEW met1 ( 234830 314330 ) ( 1294210 * )
NEW met1 ( 1294210 510850 ) ( 1538010 * )
NEW met2 ( 234830 82800 ) ( 237130 * )
NEW met2 ( 237130 1700 ) ( * 82800 )
NEW met2 ( 234830 82800 ) ( * 314330 )
NEW met2 ( 1294210 314330 ) ( * 510850 )
NEW met1 ( 1538010 510850 ) M1M2_PR
NEW met2 ( 1538010 517140 ) M2M3_PR
NEW met1 ( 234830 314330 ) M1M2_PR
NEW met1 ( 1294210 314330 ) M1M2_PR
NEW met1 ( 1294210 510850 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( wb_bridge_2way wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 1279950 134470 ) ( * 517650 )
NEW met2 ( 1533870 517650 ) ( * 519180 )
NEW met3 ( 1533870 519180 ) ( 1550200 * 0 )
NEW met2 ( 255530 1700 ) ( 256910 * 0 )
NEW met2 ( 255530 1700 ) ( * 134470 )
NEW met1 ( 255530 134470 ) ( 1279950 * )
NEW met1 ( 1279950 517650 ) ( 1533870 * )
NEW met1 ( 1279950 134470 ) M1M2_PR
NEW met1 ( 1279950 517650 ) M1M2_PR
NEW met1 ( 1533870 517650 ) M1M2_PR
NEW met2 ( 1533870 519180 ) M2M3_PR
NEW met1 ( 255530 134470 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( wb_bridge_2way wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 1356770 306850 ) ( * 517990 )
NEW met2 ( 1534790 517990 ) ( * 521220 )
NEW met3 ( 1534790 521220 ) ( 1550200 * 0 )
NEW met1 ( 269330 306850 ) ( 1356770 * )
NEW met2 ( 269330 82800 ) ( 274850 * )
NEW met2 ( 274850 1700 0 ) ( * 82800 )
NEW met2 ( 269330 82800 ) ( * 306850 )
NEW met1 ( 1356770 517990 ) ( 1534790 * )
NEW met1 ( 1356770 306850 ) M1M2_PR
NEW met1 ( 1356770 517990 ) M1M2_PR
NEW met1 ( 1534790 517990 ) M1M2_PR
NEW met2 ( 1534790 521220 ) M2M3_PR
NEW met1 ( 269330 306850 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( wb_bridge_2way wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 290030 82800 ) ( 292330 * )
NEW met2 ( 292330 1700 0 ) ( * 82800 )
NEW met2 ( 290030 82800 ) ( * 217090 )
NEW met2 ( 1537550 518330 ) ( * 523260 )
NEW met3 ( 1537550 523260 ) ( 1550200 * 0 )
NEW met1 ( 290030 217090 ) ( 1433130 * )
NEW met2 ( 1433130 217090 ) ( * 518330 )
NEW met1 ( 1433130 518330 ) ( 1537550 * )
NEW met1 ( 290030 217090 ) M1M2_PR
NEW met1 ( 1537550 518330 ) M1M2_PR
NEW met2 ( 1537550 523260 ) M2M3_PR
NEW met1 ( 1433130 217090 ) M1M2_PR
NEW met1 ( 1433130 518330 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( wb_bridge_2way wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 45050 )
NEW met2 ( 1538470 524790 ) ( * 525300 )
NEW met3 ( 1538470 525300 ) ( 1550200 * 0 )
NEW met1 ( 310270 45050 ) ( 1411970 * )
NEW met2 ( 1411970 45050 ) ( * 524790 )
NEW met1 ( 1411970 524790 ) ( 1538470 * )
NEW met1 ( 310270 45050 ) M1M2_PR
NEW met1 ( 1538470 524790 ) M1M2_PR
NEW met2 ( 1538470 525300 ) M2M3_PR
NEW met1 ( 1411970 45050 ) M1M2_PR
NEW met1 ( 1411970 524790 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( wb_bridge_2way wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 524450 ) ( * 527340 )
NEW met3 ( 1533870 527340 ) ( 1550200 * 0 )
NEW met2 ( 325450 1700 ) ( 327750 * 0 )
NEW met1 ( 324530 300390 ) ( 1307550 * )
NEW met2 ( 324530 82800 ) ( 325450 * )
NEW met2 ( 325450 1700 ) ( * 82800 )
NEW met2 ( 324530 82800 ) ( * 300390 )
NEW met2 ( 1307550 300390 ) ( * 524450 )
NEW met1 ( 1307550 524450 ) ( 1533870 * )
NEW met1 ( 1533870 524450 ) M1M2_PR
NEW met2 ( 1533870 527340 ) M2M3_PR
NEW met1 ( 324530 300390 ) M1M2_PR
NEW met1 ( 1307550 300390 ) M1M2_PR
NEW met1 ( 1307550 524450 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( wb_bridge_2way wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 525130 ) ( * 529380 )
NEW met3 ( 1538010 529380 ) ( 1550200 * 0 )
NEW met1 ( 345690 293250 ) ( 1515930 * )
NEW met2 ( 345690 1700 0 ) ( * 293250 )
NEW met2 ( 1515930 293250 ) ( * 525130 )
NEW met1 ( 1515930 525130 ) ( 1538010 * )
NEW met1 ( 1538010 525130 ) M1M2_PR
NEW met2 ( 1538010 529380 ) M2M3_PR
NEW met1 ( 345690 293250 ) M1M2_PR
NEW met1 ( 1515930 293250 ) M1M2_PR
NEW met1 ( 1515930 525130 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( wb_bridge_2way wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 531930 ) ( * 532100 )
NEW met3 ( 1537550 532100 ) ( 1550200 * 0 )
NEW met1 ( 359030 286450 ) ( 1321810 * )
NEW met2 ( 359030 82800 ) ( 363170 * )
NEW met2 ( 363170 1700 0 ) ( * 82800 )
NEW met2 ( 359030 82800 ) ( * 286450 )
NEW met2 ( 1321810 286450 ) ( * 531930 )
NEW met1 ( 1321810 531930 ) ( 1537550 * )
NEW met1 ( 1537550 531930 ) M1M2_PR
NEW met2 ( 1537550 532100 ) M2M3_PR
NEW met1 ( 359030 286450 ) M1M2_PR
NEW met1 ( 1321810 286450 ) M1M2_PR
NEW met1 ( 1321810 531930 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( wb_bridge_2way wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 379730 1700 ) ( 381110 * 0 )
NEW met2 ( 379730 1700 ) ( * 341870 )
NEW met2 ( 1280870 341870 ) ( * 531590 )
NEW met2 ( 1533870 531590 ) ( * 534140 )
NEW met3 ( 1533870 534140 ) ( 1550200 * 0 )
NEW met1 ( 379730 341870 ) ( 1280870 * )
NEW met1 ( 1280870 531590 ) ( 1533870 * )
NEW met1 ( 379730 341870 ) M1M2_PR
NEW met1 ( 1280870 341870 ) M1M2_PR
NEW met1 ( 1280870 531590 ) M1M2_PR
NEW met1 ( 1533870 531590 ) M1M2_PR
NEW met2 ( 1533870 534140 ) M2M3_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( wb_bridge_2way wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 1700 0 ) ( * 31110 )
NEW met2 ( 1538470 532270 ) ( * 536180 )
NEW met3 ( 1538470 536180 ) ( 1550200 * 0 )
NEW met1 ( 398590 31110 ) ( 1508110 * )
NEW met2 ( 1508110 31110 ) ( * 532270 )
NEW met1 ( 1508110 532270 ) ( 1538470 * )
NEW met1 ( 398590 31110 ) M1M2_PR
NEW met1 ( 1538470 532270 ) M1M2_PR
NEW met2 ( 1538470 536180 ) M2M3_PR
NEW met1 ( 1508110 31110 ) M1M2_PR
NEW met1 ( 1508110 532270 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( wb_bridge_2way wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 496910 ) ( * 498100 )
NEW met3 ( 1538470 498100 ) ( 1550200 * 0 )
NEW met2 ( 59570 1700 ) ( 61870 * 0 )
NEW met1 ( 1426230 496910 ) ( 1538470 * )
NEW met2 ( 55890 82800 ) ( 59570 * )
NEW met2 ( 59570 1700 ) ( * 82800 )
NEW met2 ( 55890 82800 ) ( * 244970 )
NEW met1 ( 55890 244970 ) ( 1386900 * )
NEW met1 ( 1386900 244630 ) ( * 244970 )
NEW met1 ( 1386900 244630 ) ( 1426230 * )
NEW met2 ( 1426230 244630 ) ( * 496910 )
NEW met1 ( 1538470 496910 ) M1M2_PR
NEW met2 ( 1538470 498100 ) M2M3_PR
NEW met1 ( 1426230 496910 ) M1M2_PR
NEW met1 ( 55890 244970 ) M1M2_PR
NEW met1 ( 1426230 244630 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( wb_bridge_2way wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 414230 82800 ) ( 416530 * )
NEW met2 ( 416530 1700 0 ) ( * 82800 )
NEW met2 ( 414230 82800 ) ( * 273190 )
NEW met2 ( 1377470 273190 ) ( * 538730 )
NEW met2 ( 1538470 538220 ) ( * 538730 )
NEW met3 ( 1538470 538220 ) ( 1550200 * 0 )
NEW met1 ( 414230 273190 ) ( 1377470 * )
NEW met1 ( 1377470 538730 ) ( 1538470 * )
NEW met1 ( 414230 273190 ) M1M2_PR
NEW met1 ( 1377470 273190 ) M1M2_PR
NEW met1 ( 1377470 538730 ) M1M2_PR
NEW met1 ( 1538470 538730 ) M1M2_PR
NEW met2 ( 1538470 538220 ) M2M3_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( wb_bridge_2way wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 538390 ) ( * 538900 )
NEW met3 ( 1538010 538900 ) ( 1548820 * )
NEW met3 ( 1548820 538900 ) ( * 540040 )
NEW met3 ( 1548820 540040 ) ( 1550660 * 0 )
NEW met2 ( 432170 1700 ) ( 434470 * 0 )
NEW met2 ( 428490 82800 ) ( 432170 * )
NEW met2 ( 432170 1700 ) ( * 82800 )
NEW met2 ( 428490 82800 ) ( * 252110 )
NEW met1 ( 428490 252110 ) ( 1501670 * )
NEW met2 ( 1501670 252110 ) ( * 538390 )
NEW met1 ( 1501670 538390 ) ( 1538010 * )
NEW met1 ( 1538010 538390 ) M1M2_PR
NEW met2 ( 1538010 538900 ) M2M3_PR
NEW met1 ( 428490 252110 ) M1M2_PR
NEW met1 ( 1501670 252110 ) M1M2_PR
NEW met1 ( 1501670 538390 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( wb_bridge_2way wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met3 ( 1547900 539580 ) ( * 542080 )
NEW met3 ( 1547900 542080 ) ( 1550660 * 0 )
NEW met2 ( 449650 1700 ) ( 451950 * 0 )
NEW met2 ( 448730 82800 ) ( 449650 * )
NEW met2 ( 449650 1700 ) ( * 82800 )
NEW met2 ( 448730 82800 ) ( * 355130 )
NEW met1 ( 448730 355130 ) ( 1529730 * )
NEW met2 ( 1529730 355130 ) ( * 539580 )
NEW met3 ( 1529730 539580 ) ( 1547900 * )
NEW met1 ( 448730 355130 ) M1M2_PR
NEW met1 ( 1529730 355130 ) M1M2_PR
NEW met2 ( 1529730 539580 ) M2M3_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( wb_bridge_2way wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 279990 )
NEW met2 ( 1342510 279990 ) ( * 539410 )
NEW met2 ( 1537550 539410 ) ( * 544340 )
NEW met3 ( 1537550 544340 ) ( 1550200 * 0 )
NEW met1 ( 469890 279990 ) ( 1342510 * )
NEW met1 ( 1342510 539410 ) ( 1537550 * )
NEW met1 ( 469890 279990 ) M1M2_PR
NEW met1 ( 1342510 279990 ) M1M2_PR
NEW met1 ( 1342510 539410 ) M1M2_PR
NEW met1 ( 1537550 539410 ) M1M2_PR
NEW met2 ( 1537550 544340 ) M2M3_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( wb_bridge_2way wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 65790 )
NEW met2 ( 1266150 65790 ) ( * 545190 )
NEW met2 ( 1538470 545190 ) ( * 546380 )
NEW met3 ( 1538470 546380 ) ( 1550200 * 0 )
NEW met1 ( 487370 65790 ) ( 1266150 * )
NEW met1 ( 1266150 545190 ) ( 1538470 * )
NEW met1 ( 487370 65790 ) M1M2_PR
NEW met1 ( 1266150 65790 ) M1M2_PR
NEW met1 ( 1266150 545190 ) M1M2_PR
NEW met1 ( 1538470 545190 ) M1M2_PR
NEW met2 ( 1538470 546380 ) M2M3_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( wb_bridge_2way wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1700 ) ( 505310 * 0 )
NEW met2 ( 503930 1700 ) ( * 93670 )
NEW met2 ( 1287310 93670 ) ( * 545530 )
NEW met2 ( 1534790 545530 ) ( * 549100 )
NEW met3 ( 1534790 549100 ) ( 1550200 * 0 )
NEW met1 ( 503930 93670 ) ( 1287310 * )
NEW met1 ( 1287310 545530 ) ( 1534790 * )
NEW met1 ( 503930 93670 ) M1M2_PR
NEW met1 ( 1287310 93670 ) M1M2_PR
NEW met1 ( 1287310 545530 ) M1M2_PR
NEW met1 ( 1534790 545530 ) M1M2_PR
NEW met2 ( 1534790 549100 ) M2M3_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( wb_bridge_2way wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 545870 ) ( * 551140 )
NEW met3 ( 1538010 551140 ) ( 1550200 * 0 )
NEW met2 ( 520490 1700 ) ( 522790 * 0 )
NEW met1 ( 517730 86530 ) ( 1514550 * )
NEW met2 ( 517730 82800 ) ( * 86530 )
NEW met2 ( 517730 82800 ) ( 520490 * )
NEW met2 ( 520490 1700 ) ( * 82800 )
NEW met2 ( 1514550 86530 ) ( * 545870 )
NEW met1 ( 1514550 545870 ) ( 1538010 * )
NEW met1 ( 1538010 545870 ) M1M2_PR
NEW met2 ( 1538010 551140 ) M2M3_PR
NEW met1 ( 517730 86530 ) M1M2_PR
NEW met1 ( 1514550 86530 ) M1M2_PR
NEW met1 ( 1514550 545870 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( wb_bridge_2way wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 552330 ) ( * 553180 )
NEW met3 ( 1538470 553180 ) ( 1550200 * 0 )
NEW met2 ( 540730 1700 0 ) ( * 79730 )
NEW met1 ( 540730 79730 ) ( 1397710 * )
NEW met2 ( 1397710 79730 ) ( * 552330 )
NEW met1 ( 1397710 552330 ) ( 1538470 * )
NEW met1 ( 1538470 552330 ) M1M2_PR
NEW met2 ( 1538470 553180 ) M2M3_PR
NEW met1 ( 540730 79730 ) M1M2_PR
NEW met1 ( 1397710 79730 ) M1M2_PR
NEW met1 ( 1397710 552330 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( wb_bridge_2way wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 1474070 10710 ) ( * 553010 )
NEW met2 ( 1533870 553010 ) ( * 555220 )
NEW met3 ( 1533870 555220 ) ( 1550200 * 0 )
NEW met2 ( 558210 1700 0 ) ( * 10710 )
NEW met1 ( 558210 10710 ) ( 1474070 * )
NEW met1 ( 1474070 553010 ) ( 1533870 * )
NEW met1 ( 1474070 10710 ) M1M2_PR
NEW met1 ( 1474070 553010 ) M1M2_PR
NEW met1 ( 1533870 553010 ) M1M2_PR
NEW met2 ( 1533870 555220 ) M2M3_PR
NEW met1 ( 558210 10710 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( wb_bridge_2way wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 573850 1700 ) ( 576150 * 0 )
NEW met2 ( 572930 82800 ) ( 573850 * )
NEW met2 ( 573850 1700 ) ( * 82800 )
NEW met2 ( 572930 82800 ) ( * 258570 )
NEW met2 ( 1460270 258570 ) ( * 552670 )
NEW met2 ( 1536630 552670 ) ( * 557260 )
NEW met3 ( 1536630 557260 ) ( 1550200 * 0 )
NEW met1 ( 572930 258570 ) ( 1460270 * )
NEW met1 ( 1460270 552670 ) ( 1536630 * )
NEW met1 ( 572930 258570 ) M1M2_PR
NEW met1 ( 1460270 258570 ) M1M2_PR
NEW met1 ( 1460270 552670 ) M1M2_PR
NEW met1 ( 1536630 552670 ) M1M2_PR
NEW met2 ( 1536630 557260 ) M2M3_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( wb_bridge_2way wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 497250 ) ( * 500140 )
NEW met3 ( 1538010 500140 ) ( 1550200 * 0 )
NEW met2 ( 83030 82800 ) ( 85330 * )
NEW met2 ( 85330 1700 0 ) ( * 82800 )
NEW met2 ( 83030 82800 ) ( * 189550 )
NEW met2 ( 1480970 189550 ) ( * 497250 )
NEW met1 ( 83030 189550 ) ( 1480970 * )
NEW met1 ( 1480970 497250 ) ( 1538010 * )
NEW met1 ( 83030 189550 ) M1M2_PR
NEW met1 ( 1480970 189550 ) M1M2_PR
NEW met1 ( 1480970 497250 ) M1M2_PR
NEW met1 ( 1538010 497250 ) M1M2_PR
NEW met2 ( 1538010 500140 ) M2M3_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( wb_bridge_2way wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 34500 )
NEW met2 ( 593630 34500 ) ( 594090 * )
NEW met2 ( 593630 34500 ) ( * 238170 )
NEW met2 ( 1538470 559300 ) ( * 559810 )
NEW met3 ( 1538470 559300 ) ( 1550200 * 0 )
NEW met1 ( 593630 238170 ) ( 1494770 * )
NEW met2 ( 1494770 238170 ) ( * 559810 )
NEW met1 ( 1494770 559810 ) ( 1538470 * )
NEW met1 ( 593630 238170 ) M1M2_PR
NEW met1 ( 1538470 559810 ) M1M2_PR
NEW met2 ( 1538470 559300 ) M2M3_PR
NEW met1 ( 1494770 238170 ) M1M2_PR
NEW met1 ( 1494770 559810 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( wb_bridge_2way wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 607430 82800 ) ( 611570 * )
NEW met2 ( 611570 1700 0 ) ( * 82800 )
NEW met2 ( 607430 82800 ) ( * 224570 )
NEW met2 ( 1538010 559470 ) ( * 561340 )
NEW met3 ( 1538010 561340 ) ( 1550200 * 0 )
NEW met1 ( 607430 224570 ) ( 1487410 * )
NEW met2 ( 1487410 224570 ) ( * 559470 )
NEW met1 ( 1487410 559470 ) ( 1538010 * )
NEW met1 ( 607430 224570 ) M1M2_PR
NEW met1 ( 1538010 559470 ) M1M2_PR
NEW met2 ( 1538010 561340 ) M2M3_PR
NEW met1 ( 1487410 224570 ) M1M2_PR
NEW met1 ( 1487410 559470 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( wb_bridge_2way wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 497590 ) ( * 502180 )
NEW met3 ( 1537550 502180 ) ( 1550200 * 0 )
NEW met2 ( 103730 82800 ) ( 109250 * )
NEW met2 ( 109250 1700 0 ) ( * 82800 )
NEW met2 ( 103730 82800 ) ( * 210290 )
NEW met1 ( 103730 210290 ) ( 1509030 * )
NEW met1 ( 1509030 497590 ) ( 1537550 * )
NEW met2 ( 1509030 210290 ) ( * 497590 )
NEW met1 ( 103730 210290 ) M1M2_PR
NEW met1 ( 1537550 497590 ) M1M2_PR
NEW met2 ( 1537550 502180 ) M2M3_PR
NEW met1 ( 1509030 210290 ) M1M2_PR
NEW met1 ( 1509030 497590 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( wb_bridge_2way wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 504220 ) ( * 504730 )
NEW met3 ( 1538470 504220 ) ( 1550200 * 0 )
NEW met2 ( 1356310 231030 ) ( * 504730 )
NEW met2 ( 131330 1700 ) ( 132710 * 0 )
NEW met1 ( 1356310 504730 ) ( 1538470 * )
NEW met2 ( 131330 1700 ) ( * 231030 )
NEW met1 ( 131330 231030 ) ( 1356310 * )
NEW met1 ( 1356310 504730 ) M1M2_PR
NEW met1 ( 1538470 504730 ) M1M2_PR
NEW met2 ( 1538470 504220 ) M2M3_PR
NEW met1 ( 1356310 231030 ) M1M2_PR
NEW met1 ( 131330 231030 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( wb_bridge_2way wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 504050 ) ( * 506260 )
NEW met3 ( 1538010 506260 ) ( 1550200 * 0 )
NEW met2 ( 1439570 175950 ) ( * 504050 )
NEW met1 ( 1439570 504050 ) ( 1538010 * )
NEW met2 ( 145130 82800 ) ( 150650 * )
NEW met2 ( 150650 1700 0 ) ( * 82800 )
NEW met2 ( 145130 82800 ) ( * 175950 )
NEW met1 ( 145130 175950 ) ( 1439570 * )
NEW met1 ( 1439570 504050 ) M1M2_PR
NEW met1 ( 1538010 504050 ) M1M2_PR
NEW met2 ( 1538010 506260 ) M2M3_PR
NEW met1 ( 1439570 175950 ) M1M2_PR
NEW met1 ( 145130 175950 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( wb_bridge_2way wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 503710 ) ( * 508300 )
NEW met3 ( 1537550 508300 ) ( 1550200 * 0 )
NEW met1 ( 1522370 503710 ) ( 1537550 * )
NEW met2 ( 165830 82800 ) ( 168130 * )
NEW met2 ( 168130 1700 0 ) ( * 82800 )
NEW met2 ( 165830 82800 ) ( * 362270 )
NEW met1 ( 165830 362270 ) ( 1522370 * )
NEW met2 ( 1522370 362270 ) ( * 503710 )
NEW met1 ( 1537550 503710 ) M1M2_PR
NEW met2 ( 1537550 508300 ) M2M3_PR
NEW met1 ( 1522370 503710 ) M1M2_PR
NEW met1 ( 165830 362270 ) M1M2_PR
NEW met1 ( 1522370 362270 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( wb_bridge_2way wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 184230 1700 ) ( 186070 * 0 )
NEW met2 ( 184230 1700 ) ( * 17510 )
NEW met1 ( 179630 17510 ) ( 184230 * )
NEW met2 ( 1532950 504390 ) ( * 510340 )
NEW met3 ( 1532950 510340 ) ( 1550200 * 0 )
NEW met2 ( 179630 17510 ) ( * 203490 )
NEW met1 ( 179630 203490 ) ( 1501210 * )
NEW met1 ( 1501210 504390 ) ( 1532950 * )
NEW met2 ( 1501210 203490 ) ( * 504390 )
NEW met1 ( 184230 17510 ) M1M2_PR
NEW met1 ( 179630 17510 ) M1M2_PR
NEW met1 ( 179630 203490 ) M1M2_PR
NEW met1 ( 1532950 504390 ) M1M2_PR
NEW met2 ( 1532950 510340 ) M2M3_PR
NEW met1 ( 1501210 203490 ) M1M2_PR
NEW met1 ( 1501210 504390 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( wb_bridge_2way wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 201250 1700 ) ( 203550 * 0 )
NEW met2 ( 1532950 511530 ) ( * 512380 )
NEW met3 ( 1532950 512380 ) ( 1550200 * 0 )
NEW met2 ( 200330 82800 ) ( * 127670 )
NEW met2 ( 200330 82800 ) ( 201250 * )
NEW met2 ( 201250 1700 ) ( * 82800 )
NEW met2 ( 1446470 127670 ) ( * 511530 )
NEW met1 ( 200330 127670 ) ( 1446470 * )
NEW met1 ( 1446470 511530 ) ( 1532950 * )
NEW met1 ( 200330 127670 ) M1M2_PR
NEW met1 ( 1446470 127670 ) M1M2_PR
NEW met1 ( 1446470 511530 ) M1M2_PR
NEW met1 ( 1532950 511530 ) M1M2_PR
NEW met2 ( 1532950 512380 ) M2M3_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( wb_bridge_2way wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 511190 ) ( * 515100 )
NEW met3 ( 1538470 515100 ) ( 1550200 * 0 )
NEW met2 ( 221030 82800 ) ( 221490 * )
NEW met2 ( 221490 1700 0 ) ( * 82800 )
NEW met2 ( 221030 82800 ) ( * 155550 )
NEW met2 ( 1370570 155550 ) ( * 511190 )
NEW met1 ( 1370570 511190 ) ( 1538470 * )
NEW met1 ( 221030 155550 ) ( 1370570 * )
NEW met1 ( 1370570 511190 ) M1M2_PR
NEW met1 ( 1538470 511190 ) M1M2_PR
NEW met2 ( 1538470 515100 ) M2M3_PR
NEW met1 ( 221030 155550 ) M1M2_PR
NEW met1 ( 1370570 155550 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( wb_bridge_2way wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 18630 1700 ) ( 20470 * 0 )
NEW met2 ( 18630 1700 ) ( * 17510 )
NEW met1 ( 14030 17510 ) ( 18630 * )
NEW met2 ( 1538470 483140 ) ( * 483650 )
NEW met3 ( 1538470 483140 ) ( 1550200 * 0 )
NEW met2 ( 14030 17510 ) ( * 196690 )
NEW met1 ( 14030 196690 ) ( 1391730 * )
NEW met1 ( 1391730 483650 ) ( 1538470 * )
NEW met2 ( 1391730 196690 ) ( * 483650 )
NEW met1 ( 18630 17510 ) M1M2_PR
NEW met1 ( 14030 17510 ) M1M2_PR
NEW met1 ( 14030 196690 ) M1M2_PR
NEW met1 ( 1538470 483650 ) M1M2_PR
NEW met2 ( 1538470 483140 ) M2M3_PR
NEW met1 ( 1391730 196690 ) M1M2_PR
NEW met1 ( 1391730 483650 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( wb_bridge_2way wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1348950 23970 ) ( * 559130 )
NEW met2 ( 1537550 559130 ) ( * 563380 )
NEW met3 ( 1537550 563380 ) ( 1550200 * 0 )
NEW met2 ( 43930 1700 0 ) ( * 23970 )
NEW met1 ( 43930 23970 ) ( 1348950 * )
NEW met1 ( 1348950 559130 ) ( 1537550 * )
NEW met1 ( 1348950 23970 ) M1M2_PR
NEW met1 ( 1348950 559130 ) M1M2_PR
NEW met1 ( 1537550 559130 ) M1M2_PR
NEW met2 ( 1537550 563380 ) M2M3_PR
NEW met1 ( 43930 23970 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( wb_bridge_2way wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 579870 ) ( * 585140 )
NEW met3 ( 1537550 585140 ) ( 1550200 * 0 )
NEW met2 ( 242650 1700 ) ( 244950 * 0 )
NEW met1 ( 241730 120530 ) ( 1335150 * )
NEW met1 ( 1335150 579870 ) ( 1537550 * )
NEW met2 ( 241730 82800 ) ( * 120530 )
NEW met2 ( 241730 82800 ) ( 242650 * )
NEW met2 ( 242650 1700 ) ( * 82800 )
NEW met2 ( 1335150 120530 ) ( * 579870 )
NEW met1 ( 1537550 579870 ) M1M2_PR
NEW met2 ( 1537550 585140 ) M2M3_PR
NEW met1 ( 241730 120530 ) M1M2_PR
NEW met1 ( 1335150 120530 ) M1M2_PR
NEW met1 ( 1335150 579870 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( wb_bridge_2way wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 587180 ) ( * 587690 )
NEW met3 ( 1538470 587180 ) ( 1550200 * 0 )
NEW met1 ( 1328710 587690 ) ( 1538470 * )
NEW met2 ( 262890 1700 0 ) ( * 34500 )
NEW met2 ( 262430 34500 ) ( 262890 * )
NEW met2 ( 262430 34500 ) ( * 148410 )
NEW met1 ( 262430 148410 ) ( 1328710 * )
NEW met2 ( 1328710 148410 ) ( * 587690 )
NEW met1 ( 1538470 587690 ) M1M2_PR
NEW met2 ( 1538470 587180 ) M2M3_PR
NEW met1 ( 1328710 587690 ) M1M2_PR
NEW met1 ( 262430 148410 ) M1M2_PR
NEW met1 ( 1328710 148410 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( wb_bridge_2way wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met3 ( 1547900 587860 ) ( * 589000 )
NEW met3 ( 1547900 589000 ) ( 1550660 * 0 )
NEW met2 ( 280370 1700 0 ) ( * 65450 )
NEW met3 ( 1529270 587860 ) ( 1547900 * )
NEW met1 ( 280370 65450 ) ( 1529270 * )
NEW met2 ( 1529270 65450 ) ( * 587860 )
NEW met1 ( 280370 65450 ) M1M2_PR
NEW met2 ( 1529270 587860 ) M2M3_PR
NEW met1 ( 1529270 65450 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( wb_bridge_2way wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 296930 1700 ) ( 298310 * 0 )
NEW met2 ( 1536630 586670 ) ( * 591260 )
NEW met3 ( 1536630 591260 ) ( 1550200 * 0 )
NEW met2 ( 296930 1700 ) ( * 197030 )
NEW met1 ( 296930 197030 ) ( 1521910 * )
NEW met1 ( 1521910 586670 ) ( 1536630 * )
NEW met2 ( 1521910 197030 ) ( * 586670 )
NEW met1 ( 296930 197030 ) M1M2_PR
NEW met1 ( 1536630 586670 ) M1M2_PR
NEW met2 ( 1536630 591260 ) M2M3_PR
NEW met1 ( 1521910 197030 ) M1M2_PR
NEW met1 ( 1521910 586670 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( wb_bridge_2way wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 587010 ) ( * 593300 )
NEW met3 ( 1538010 593300 ) ( 1550200 * 0 )
NEW met2 ( 310730 82800 ) ( 316250 * )
NEW met2 ( 316250 1700 0 ) ( * 82800 )
NEW met2 ( 310730 82800 ) ( * 162010 )
NEW met1 ( 1418870 587010 ) ( 1538010 * )
NEW met1 ( 310730 162010 ) ( 1418870 * )
NEW met2 ( 1418870 162010 ) ( * 587010 )
NEW met1 ( 1538010 587010 ) M1M2_PR
NEW met2 ( 1538010 593300 ) M2M3_PR
NEW met1 ( 310730 162010 ) M1M2_PR
NEW met1 ( 1418870 587010 ) M1M2_PR
NEW met1 ( 1418870 162010 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( wb_bridge_2way wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met3 ( 1547900 594660 ) ( * 595120 )
NEW met3 ( 1547900 595120 ) ( 1550660 * 0 )
NEW met3 ( 1528810 594660 ) ( 1547900 * )
NEW met2 ( 333730 1700 0 ) ( * 72590 )
NEW met1 ( 333730 72590 ) ( 1528810 * )
NEW met2 ( 1528810 72590 ) ( * 594660 )
NEW met2 ( 1528810 594660 ) M2M3_PR
NEW met1 ( 333730 72590 ) M1M2_PR
NEW met1 ( 1528810 72590 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( wb_bridge_2way wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 593810 ) ( * 598060 )
NEW met3 ( 1538470 598060 ) ( 1550200 * 0 )
NEW met2 ( 349830 1700 ) ( 351670 * 0 )
NEW met2 ( 349830 1700 ) ( * 17510 )
NEW met1 ( 345230 17510 ) ( 349830 * )
NEW met1 ( 345230 375870 ) ( 1495230 * )
NEW met1 ( 1495230 593810 ) ( 1538470 * )
NEW met2 ( 345230 17510 ) ( * 375870 )
NEW met2 ( 1495230 375870 ) ( * 593810 )
NEW met1 ( 1538470 593810 ) M1M2_PR
NEW met2 ( 1538470 598060 ) M2M3_PR
NEW met1 ( 349830 17510 ) M1M2_PR
NEW met1 ( 345230 17510 ) M1M2_PR
NEW met1 ( 345230 375870 ) M1M2_PR
NEW met1 ( 1495230 375870 ) M1M2_PR
NEW met1 ( 1495230 593810 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( wb_bridge_2way wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 1532950 594150 ) ( * 600100 )
NEW met3 ( 1532950 600100 ) ( 1550200 * 0 )
NEW met2 ( 366850 1700 ) ( 369150 * 0 )
NEW met1 ( 1398170 594150 ) ( 1532950 * )
NEW met2 ( 365930 82800 ) ( 366850 * )
NEW met2 ( 366850 1700 ) ( * 82800 )
NEW met2 ( 365930 82800 ) ( * 327590 )
NEW met1 ( 365930 327590 ) ( 1398170 * )
NEW met2 ( 1398170 327590 ) ( * 594150 )
NEW met1 ( 1532950 594150 ) M1M2_PR
NEW met2 ( 1532950 600100 ) M2M3_PR
NEW met1 ( 1398170 594150 ) M1M2_PR
NEW met1 ( 365930 327590 ) M1M2_PR
NEW met1 ( 1398170 327590 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( wb_bridge_2way wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 600950 ) ( * 602140 )
NEW met3 ( 1538470 602140 ) ( 1550200 * 0 )
NEW met2 ( 387090 1700 0 ) ( * 58990 )
NEW met2 ( 1286850 58990 ) ( * 600950 )
NEW met1 ( 1286850 600950 ) ( 1538470 * )
NEW met1 ( 387090 58990 ) ( 1286850 * )
NEW met1 ( 1286850 600950 ) M1M2_PR
NEW met1 ( 1538470 600950 ) M1M2_PR
NEW met2 ( 1538470 602140 ) M2M3_PR
NEW met1 ( 387090 58990 ) M1M2_PR
NEW met1 ( 1286850 58990 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( wb_bridge_2way wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met1 ( 1252810 600950 ) ( 1274890 * )
NEW met1 ( 1274890 600610 ) ( * 600950 )
NEW met2 ( 1538010 600610 ) ( * 604180 )
NEW met3 ( 1538010 604180 ) ( 1550200 * 0 )
NEW met2 ( 400430 82800 ) ( 404570 * )
NEW met2 ( 404570 1700 0 ) ( * 82800 )
NEW met2 ( 400430 82800 ) ( * 162350 )
NEW met2 ( 1252810 162350 ) ( * 600950 )
NEW met1 ( 1274890 600610 ) ( 1538010 * )
NEW met1 ( 400430 162350 ) ( 1252810 * )
NEW met1 ( 1252810 600950 ) M1M2_PR
NEW met1 ( 1538010 600610 ) M1M2_PR
NEW met2 ( 1538010 604180 ) M2M3_PR
NEW met1 ( 400430 162350 ) M1M2_PR
NEW met1 ( 1252810 162350 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( wb_bridge_2way wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met3 ( 1546750 566100 ) ( * 567460 )
NEW met3 ( 1546750 566100 ) ( 1550200 * 0 )
NEW met3 ( 62330 382500 ) ( 1522140 * )
NEW met3 ( 1522140 567460 ) ( 1546750 * )
NEW met2 ( 62330 82800 ) ( 67850 * )
NEW met2 ( 67850 1700 0 ) ( * 82800 )
NEW met2 ( 62330 82800 ) ( * 382500 )
NEW met4 ( 1522140 382500 ) ( * 567460 )
NEW met2 ( 62330 382500 ) M2M3_PR
NEW met3 ( 1522140 382500 ) M3M4_PR
NEW met3 ( 1522140 567460 ) M3M4_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( wb_bridge_2way wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 1536630 601290 ) ( * 606220 )
NEW met3 ( 1536630 606220 ) ( 1550200 * 0 )
NEW met2 ( 421130 1700 ) ( 422510 * 0 )
NEW met1 ( 1293750 601290 ) ( 1536630 * )
NEW met2 ( 421130 1700 ) ( * 72930 )
NEW met1 ( 421130 72930 ) ( 1293750 * )
NEW met2 ( 1293750 72930 ) ( * 601290 )
NEW met1 ( 1536630 601290 ) M1M2_PR
NEW met2 ( 1536630 606220 ) M2M3_PR
NEW met1 ( 1293750 601290 ) M1M2_PR
NEW met1 ( 421130 72930 ) M1M2_PR
NEW met1 ( 1293750 72930 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( wb_bridge_2way wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 607750 ) ( * 608260 )
NEW met3 ( 1538470 608260 ) ( 1550200 * 0 )
NEW met2 ( 1481430 348330 ) ( * 607750 )
NEW met2 ( 437690 1700 ) ( 439990 * 0 )
NEW met1 ( 1481430 607750 ) ( 1538470 * )
NEW met2 ( 434930 82800 ) ( 437690 * )
NEW met2 ( 437690 1700 ) ( * 82800 )
NEW met2 ( 434930 82800 ) ( * 348330 )
NEW met1 ( 434930 348330 ) ( 1481430 * )
NEW met1 ( 1481430 607750 ) M1M2_PR
NEW met1 ( 1538470 607750 ) M1M2_PR
NEW met2 ( 1538470 608260 ) M2M3_PR
NEW met1 ( 1481430 348330 ) M1M2_PR
NEW met1 ( 434930 348330 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( wb_bridge_2way wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 607410 ) ( * 610300 )
NEW met3 ( 1533870 610300 ) ( 1550200 * 0 )
NEW met2 ( 1363210 189890 ) ( * 607410 )
NEW met1 ( 455630 189890 ) ( 1363210 * )
NEW met1 ( 1363210 607410 ) ( 1533870 * )
NEW met2 ( 455630 82800 ) ( 457930 * )
NEW met2 ( 457930 1700 0 ) ( * 82800 )
NEW met2 ( 455630 82800 ) ( * 189890 )
NEW met1 ( 1363210 189890 ) M1M2_PR
NEW met1 ( 1363210 607410 ) M1M2_PR
NEW met1 ( 1533870 607410 ) M1M2_PR
NEW met2 ( 1533870 610300 ) M2M3_PR
NEW met1 ( 455630 189890 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( wb_bridge_2way wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 474030 1700 ) ( 475870 * 0 )
NEW met2 ( 474030 1700 ) ( * 17850 )
NEW met1 ( 469430 17850 ) ( 474030 * )
NEW met2 ( 1538010 608090 ) ( * 612340 )
NEW met3 ( 1538010 612340 ) ( 1550200 * 0 )
NEW met2 ( 469430 17850 ) ( * 376210 )
NEW met1 ( 469430 376210 ) ( 1502130 * )
NEW met1 ( 1502130 608090 ) ( 1538010 * )
NEW met2 ( 1502130 376210 ) ( * 608090 )
NEW met1 ( 474030 17850 ) M1M2_PR
NEW met1 ( 469430 17850 ) M1M2_PR
NEW met1 ( 469430 376210 ) M1M2_PR
NEW met1 ( 1538010 608090 ) M1M2_PR
NEW met2 ( 1538010 612340 ) M2M3_PR
NEW met1 ( 1502130 376210 ) M1M2_PR
NEW met1 ( 1502130 608090 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( wb_bridge_2way wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 491050 1700 ) ( 493350 * 0 )
NEW met2 ( 491050 1700 ) ( * 58650 )
NEW met2 ( 1538470 614550 ) ( * 615060 )
NEW met3 ( 1538470 615060 ) ( 1550200 * 0 )
NEW met1 ( 491050 58650 ) ( 1493850 * )
NEW met2 ( 1493850 58650 ) ( * 614550 )
NEW met1 ( 1493850 614550 ) ( 1538470 * )
NEW met1 ( 491050 58650 ) M1M2_PR
NEW met1 ( 1538470 614550 ) M1M2_PR
NEW met2 ( 1538470 615060 ) M2M3_PR
NEW met1 ( 1493850 58650 ) M1M2_PR
NEW met1 ( 1493850 614550 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( wb_bridge_2way wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 510830 82800 ) ( 511290 * )
NEW met2 ( 511290 1700 0 ) ( * 82800 )
NEW met2 ( 510830 82800 ) ( * 369070 )
NEW met2 ( 1538010 614210 ) ( * 617100 )
NEW met3 ( 1538010 617100 ) ( 1550200 * 0 )
NEW met1 ( 510830 369070 ) ( 1488330 * )
NEW met2 ( 1488330 369070 ) ( * 614210 )
NEW met1 ( 1488330 614210 ) ( 1538010 * )
NEW met1 ( 510830 369070 ) M1M2_PR
NEW met1 ( 1538010 614210 ) M1M2_PR
NEW met2 ( 1538010 617100 ) M2M3_PR
NEW met1 ( 1488330 369070 ) M1M2_PR
NEW met1 ( 1488330 614210 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( wb_bridge_2way wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met4 ( 1279260 472260 ) ( * 615740 )
NEW met3 ( 1547900 618460 ) ( * 618920 )
NEW met3 ( 1547900 618920 ) ( 1550660 * 0 )
NEW met3 ( 1232570 472260 ) ( 1279260 * )
NEW met2 ( 524630 82800 ) ( 528770 * )
NEW met2 ( 528770 1700 0 ) ( * 82800 )
NEW met2 ( 524630 82800 ) ( * 369410 )
NEW met1 ( 524630 369410 ) ( 1232570 * )
NEW met2 ( 1232570 369410 ) ( * 472260 )
NEW met3 ( 1279260 615740 ) ( 1483500 * )
NEW met3 ( 1483500 615740 ) ( * 618460 )
NEW met3 ( 1483500 618460 ) ( 1547900 * )
NEW met3 ( 1279260 472260 ) M3M4_PR
NEW met3 ( 1279260 615740 ) M3M4_PR
NEW met2 ( 1232570 472260 ) M2M3_PR
NEW met1 ( 524630 369410 ) M1M2_PR
NEW met1 ( 1232570 369410 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( wb_bridge_2way wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1162650 38250 ) ( * 465630 )
NEW met2 ( 1538470 621010 ) ( * 621180 )
NEW met3 ( 1538470 621180 ) ( 1550200 * 0 )
NEW met2 ( 546710 1700 0 ) ( * 38250 )
NEW met1 ( 546710 38250 ) ( 1162650 * )
NEW met1 ( 1162650 465630 ) ( 1391270 * )
NEW met2 ( 1391270 465630 ) ( * 621010 )
NEW met1 ( 1391270 621010 ) ( 1538470 * )
NEW met1 ( 1162650 38250 ) M1M2_PR
NEW met1 ( 1162650 465630 ) M1M2_PR
NEW met1 ( 1538470 621010 ) M1M2_PR
NEW met2 ( 1538470 621180 ) M2M3_PR
NEW met1 ( 546710 38250 ) M1M2_PR
NEW met1 ( 1391270 465630 ) M1M2_PR
NEW met1 ( 1391270 621010 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( wb_bridge_2way wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 622030 ) ( * 623220 )
NEW met3 ( 1538470 623220 ) ( 1550200 * 0 )
NEW met2 ( 561890 1700 ) ( 564190 * 0 )
NEW met1 ( 559130 300730 ) ( 1515470 * )
NEW met2 ( 559130 82800 ) ( 561890 * )
NEW met2 ( 561890 1700 ) ( * 82800 )
NEW met2 ( 559130 82800 ) ( * 300730 )
NEW met2 ( 1515470 300730 ) ( * 622030 )
NEW met1 ( 1515470 622030 ) ( 1538470 * )
NEW met1 ( 1538470 622030 ) M1M2_PR
NEW met2 ( 1538470 623220 ) M2M3_PR
NEW met1 ( 559130 300730 ) M1M2_PR
NEW met1 ( 1515470 300730 ) M1M2_PR
NEW met1 ( 1515470 622030 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( wb_bridge_2way wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 579830 82800 ) ( 582130 * )
NEW met2 ( 582130 1700 0 ) ( * 82800 )
NEW met2 ( 579830 82800 ) ( * 355470 )
NEW met2 ( 1538010 621690 ) ( * 625260 )
NEW met3 ( 1538010 625260 ) ( 1550200 * 0 )
NEW met1 ( 579830 355470 ) ( 1487870 * )
NEW met2 ( 1487870 355470 ) ( * 621690 )
NEW met1 ( 1487870 621690 ) ( 1538010 * )
NEW met1 ( 579830 355470 ) M1M2_PR
NEW met1 ( 1538010 621690 ) M1M2_PR
NEW met2 ( 1538010 625260 ) M2M3_PR
NEW met1 ( 1487870 355470 ) M1M2_PR
NEW met1 ( 1487870 621690 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( wb_bridge_2way wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 1700 0 ) ( * 17170 )
NEW met3 ( 1535940 568140 ) ( 1550200 * 0 )
NEW met4 ( 1535940 451860 ) ( * 568140 )
NEW met1 ( 91310 17170 ) ( 1011310 * )
NEW met2 ( 1011310 17170 ) ( * 451860 )
NEW met3 ( 1011310 451860 ) ( 1535940 * )
NEW met1 ( 91310 17170 ) M1M2_PR
NEW met3 ( 1535940 568140 ) M3M4_PR
NEW met3 ( 1535940 451860 ) M3M4_PR
NEW met1 ( 1011310 17170 ) M1M2_PR
NEW met2 ( 1011310 451860 ) M2M3_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( wb_bridge_2way wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 82800 ) ( * 121210 )
NEW met2 ( 594090 82800 ) ( 599610 * )
NEW met2 ( 599610 1700 0 ) ( * 82800 )
NEW met2 ( 1537550 621350 ) ( * 627300 )
NEW met3 ( 1537550 627300 ) ( 1550200 * 0 )
NEW met1 ( 594090 121210 ) ( 1432210 * )
NEW met2 ( 1432210 121210 ) ( * 621350 )
NEW met1 ( 1432210 621350 ) ( 1537550 * )
NEW met1 ( 594090 121210 ) M1M2_PR
NEW met1 ( 1537550 621350 ) M1M2_PR
NEW met2 ( 1537550 627300 ) M2M3_PR
NEW met1 ( 1432210 121210 ) M1M2_PR
NEW met1 ( 1432210 621350 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( wb_bridge_2way wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 1384830 342210 ) ( * 628150 )
NEW met2 ( 1538470 628150 ) ( * 628660 )
NEW met3 ( 1538470 628660 ) ( 1548820 * )
NEW met3 ( 1548820 628660 ) ( * 629120 )
NEW met3 ( 1548820 629120 ) ( 1550660 * 0 )
NEW met2 ( 615250 1700 ) ( 617550 * 0 )
NEW met2 ( 614330 82800 ) ( 615250 * )
NEW met2 ( 615250 1700 ) ( * 82800 )
NEW met2 ( 614330 82800 ) ( * 342210 )
NEW met1 ( 614330 342210 ) ( 1384830 * )
NEW met1 ( 1384830 628150 ) ( 1538470 * )
NEW met1 ( 1384830 342210 ) M1M2_PR
NEW met1 ( 1384830 628150 ) M1M2_PR
NEW met1 ( 1538470 628150 ) M1M2_PR
NEW met2 ( 1538470 628660 ) M2M3_PR
NEW met1 ( 614330 342210 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( wb_bridge_2way wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 112930 1700 ) ( 115230 * 0 )
NEW met2 ( 1538470 565930 ) ( * 566100 )
NEW met3 ( 1538470 566100 ) ( 1545600 * )
NEW met3 ( 1546750 570180 ) ( 1550200 * 0 )
NEW met2 ( 110630 82800 ) ( 112930 * )
NEW met2 ( 112930 1700 ) ( * 82800 )
NEW met2 ( 110630 82800 ) ( * 334390 )
NEW met2 ( 1452910 334390 ) ( * 565930 )
NEW met3 ( 1545600 565420 ) ( 1546750 * )
NEW met3 ( 1545600 565420 ) ( * 566100 )
NEW met2 ( 1546750 565420 ) ( * 570180 )
NEW met1 ( 1452910 565930 ) ( 1538470 * )
NEW met1 ( 110630 334390 ) ( 1452910 * )
NEW met1 ( 1452910 565930 ) M1M2_PR
NEW met1 ( 1538470 565930 ) M1M2_PR
NEW met2 ( 1538470 566100 ) M2M3_PR
NEW met2 ( 1546750 570180 ) M2M3_PR
NEW met1 ( 110630 334390 ) M1M2_PR
NEW met1 ( 1452910 334390 ) M1M2_PR
NEW met2 ( 1546750 565420 ) M2M3_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( wb_bridge_2way wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 1532950 566270 ) ( * 572220 )
NEW met3 ( 1532950 572220 ) ( 1550200 * 0 )
NEW met1 ( 138690 92990 ) ( 1508570 * )
NEW met1 ( 1508570 566270 ) ( 1532950 * )
NEW met2 ( 138690 1700 0 ) ( * 92990 )
NEW met2 ( 1508570 92990 ) ( * 566270 )
NEW met1 ( 1532950 566270 ) M1M2_PR
NEW met2 ( 1532950 572220 ) M2M3_PR
NEW met1 ( 138690 92990 ) M1M2_PR
NEW met1 ( 1508570 92990 ) M1M2_PR
NEW met1 ( 1508570 566270 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( wb_bridge_2way wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 573070 ) ( * 574260 )
NEW met3 ( 1538470 574260 ) ( 1550200 * 0 )
NEW met2 ( 154330 1700 ) ( 156630 * 0 )
NEW met1 ( 152030 106930 ) ( 1405070 * )
NEW met1 ( 1405070 573070 ) ( 1538470 * )
NEW met2 ( 152030 82800 ) ( * 106930 )
NEW met2 ( 152030 82800 ) ( 154330 * )
NEW met2 ( 154330 1700 ) ( * 82800 )
NEW met2 ( 1405070 106930 ) ( * 573070 )
NEW met1 ( 1538470 573070 ) M1M2_PR
NEW met2 ( 1538470 574260 ) M2M3_PR
NEW met1 ( 152030 106930 ) M1M2_PR
NEW met1 ( 1405070 106930 ) M1M2_PR
NEW met1 ( 1405070 573070 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( wb_bridge_2way wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 573410 ) ( * 576300 )
NEW met3 ( 1538010 576300 ) ( 1550200 * 0 )
NEW met2 ( 1480510 44710 ) ( * 573410 )
NEW met1 ( 1480510 573410 ) ( 1538010 * )
NEW met2 ( 174110 1700 0 ) ( * 44710 )
NEW met1 ( 174110 44710 ) ( 1480510 * )
NEW met1 ( 1480510 573410 ) M1M2_PR
NEW met1 ( 1538010 573410 ) M1M2_PR
NEW met2 ( 1538010 576300 ) M2M3_PR
NEW met1 ( 1480510 44710 ) M1M2_PR
NEW met1 ( 174110 44710 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( wb_bridge_2way wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 1536630 572730 ) ( * 578340 )
NEW met3 ( 1536630 578340 ) ( 1550200 * 0 )
NEW met2 ( 186530 82800 ) ( * 86190 )
NEW met2 ( 186530 82800 ) ( 192050 * )
NEW met2 ( 192050 1700 0 ) ( * 82800 )
NEW met2 ( 1342050 86190 ) ( * 572730 )
NEW met1 ( 186530 86190 ) ( 1342050 * )
NEW met1 ( 1342050 572730 ) ( 1536630 * )
NEW met1 ( 186530 86190 ) M1M2_PR
NEW met1 ( 1342050 86190 ) M1M2_PR
NEW met1 ( 1342050 572730 ) M1M2_PR
NEW met1 ( 1536630 572730 ) M1M2_PR
NEW met2 ( 1536630 578340 ) M2M3_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( wb_bridge_2way wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 580550 ) ( * 581060 )
NEW met3 ( 1538470 581060 ) ( 1550200 * 0 )
NEW met2 ( 207230 82800 ) ( 209530 * )
NEW met2 ( 209530 1700 0 ) ( * 82800 )
NEW met2 ( 207230 82800 ) ( * 182750 )
NEW met2 ( 1466710 182750 ) ( * 580550 )
NEW met1 ( 207230 182750 ) ( 1466710 * )
NEW met1 ( 1466710 580550 ) ( 1538470 * )
NEW met1 ( 207230 182750 ) M1M2_PR
NEW met1 ( 1466710 182750 ) M1M2_PR
NEW met1 ( 1466710 580550 ) M1M2_PR
NEW met1 ( 1538470 580550 ) M1M2_PR
NEW met2 ( 1538470 581060 ) M2M3_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( wb_bridge_2way wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 580210 ) ( * 583100 )
NEW met3 ( 1538010 583100 ) ( 1550200 * 0 )
NEW met2 ( 227470 1700 0 ) ( * 37910 )
NEW met2 ( 1355850 37910 ) ( * 580210 )
NEW met1 ( 1355850 580210 ) ( 1538010 * )
NEW met1 ( 227470 37910 ) ( 1355850 * )
NEW met1 ( 1355850 580210 ) M1M2_PR
NEW met1 ( 1538010 580210 ) M1M2_PR
NEW met2 ( 1538010 583100 ) M2M3_PR
NEW met1 ( 227470 37910 ) M1M2_PR
NEW met1 ( 1355850 37910 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( wb_bridge_2way wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met4 ( 1458660 134300 ) ( * 629340 )
NEW met3 ( 1547900 629340 ) ( * 631840 )
NEW met3 ( 1547900 631840 ) ( 1550660 * 0 )
NEW met2 ( 48530 1700 ) ( 49910 * 0 )
NEW met2 ( 48530 1700 ) ( * 134300 )
NEW met3 ( 48530 134300 ) ( 1458660 * )
NEW met3 ( 1458660 629340 ) ( 1547900 * )
NEW met3 ( 1458660 134300 ) M3M4_PR
NEW met3 ( 1458660 629340 ) M3M4_PR
NEW met2 ( 48530 134300 ) M2M3_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( wb_bridge_2way wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met3 ( 1547900 650420 ) ( * 652920 )
NEW met3 ( 1547900 652920 ) ( 1550660 * 0 )
NEW met3 ( 248630 285940 ) ( 1500060 * )
NEW met2 ( 248630 82800 ) ( 250930 * )
NEW met2 ( 250930 1700 0 ) ( * 82800 )
NEW met2 ( 248630 82800 ) ( * 285940 )
NEW met4 ( 1500060 285940 ) ( * 650420 )
NEW met3 ( 1500060 650420 ) ( 1547900 * )
NEW met2 ( 248630 285940 ) M2M3_PR
NEW met3 ( 1500060 285940 ) M3M4_PR
NEW met3 ( 1500060 650420 ) M3M4_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( wb_bridge_2way wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 1452450 113730 ) ( * 649230 )
NEW met2 ( 1537550 649230 ) ( * 655180 )
NEW met3 ( 1537550 655180 ) ( 1550200 * 0 )
NEW met2 ( 266570 1700 ) ( 268870 * 0 )
NEW met1 ( 262890 113730 ) ( 1452450 * )
NEW met2 ( 262890 82800 ) ( * 113730 )
NEW met2 ( 262890 82800 ) ( 266570 * )
NEW met2 ( 266570 1700 ) ( * 82800 )
NEW met1 ( 1452450 649230 ) ( 1537550 * )
NEW met1 ( 1452450 113730 ) M1M2_PR
NEW met1 ( 1452450 649230 ) M1M2_PR
NEW met1 ( 1537550 649230 ) M1M2_PR
NEW met2 ( 1537550 655180 ) M2M3_PR
NEW met1 ( 262890 113730 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( wb_bridge_2way wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 284050 1700 ) ( 286350 * 0 )
NEW met2 ( 283130 82800 ) ( * 99790 )
NEW met2 ( 283130 82800 ) ( 284050 * )
NEW met2 ( 284050 1700 ) ( * 82800 )
NEW met2 ( 1538470 655690 ) ( * 657220 )
NEW met3 ( 1538470 657220 ) ( 1550200 * 0 )
NEW met1 ( 283130 99790 ) ( 1390810 * )
NEW met2 ( 1390810 99790 ) ( * 655690 )
NEW met1 ( 1390810 655690 ) ( 1538470 * )
NEW met1 ( 283130 99790 ) M1M2_PR
NEW met1 ( 1538470 655690 ) M1M2_PR
NEW met2 ( 1538470 657220 ) M2M3_PR
NEW met1 ( 1390810 99790 ) M1M2_PR
NEW met1 ( 1390810 655690 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( wb_bridge_2way wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 303830 82800 ) ( 304290 * )
NEW met2 ( 304290 1700 0 ) ( * 82800 )
NEW met2 ( 303830 82800 ) ( * 168810 )
NEW met2 ( 1445550 168810 ) ( * 656030 )
NEW met2 ( 1538010 656030 ) ( * 659260 )
NEW met3 ( 1538010 659260 ) ( 1550200 * 0 )
NEW met1 ( 303830 168810 ) ( 1445550 * )
NEW met1 ( 1445550 656030 ) ( 1538010 * )
NEW met1 ( 303830 168810 ) M1M2_PR
NEW met1 ( 1445550 168810 ) M1M2_PR
NEW met1 ( 1445550 656030 ) M1M2_PR
NEW met1 ( 1538010 656030 ) M1M2_PR
NEW met2 ( 1538010 659260 ) M2M3_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( wb_bridge_2way wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1700 0 ) ( * 10370 )
NEW met2 ( 1473610 10370 ) ( * 656370 )
NEW met2 ( 1537550 656370 ) ( * 661300 )
NEW met3 ( 1537550 661300 ) ( 1550200 * 0 )
NEW met1 ( 321770 10370 ) ( 1473610 * )
NEW met1 ( 1473610 656370 ) ( 1537550 * )
NEW met1 ( 321770 10370 ) M1M2_PR
NEW met1 ( 1473610 10370 ) M1M2_PR
NEW met1 ( 1473610 656370 ) M1M2_PR
NEW met1 ( 1537550 656370 ) M1M2_PR
NEW met2 ( 1537550 661300 ) M2M3_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( wb_bridge_2way wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 338330 1700 ) ( 339710 * 0 )
NEW met3 ( 338330 320620 ) ( 1513860 * )
NEW met3 ( 1513860 663340 ) ( 1550200 * 0 )
NEW met2 ( 338330 1700 ) ( * 320620 )
NEW met4 ( 1513860 320620 ) ( * 663340 )
NEW met2 ( 338330 320620 ) M2M3_PR
NEW met3 ( 1513860 320620 ) M3M4_PR
NEW met3 ( 1513860 663340 ) M3M4_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( wb_bridge_2way wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 1532950 662490 ) ( * 666060 )
NEW met3 ( 1532950 666060 ) ( 1550200 * 0 )
NEW met1 ( 352130 313990 ) ( 1425770 * )
NEW met1 ( 1425770 662490 ) ( 1532950 * )
NEW met2 ( 352130 82800 ) ( 357650 * )
NEW met2 ( 357650 1700 0 ) ( * 82800 )
NEW met2 ( 352130 82800 ) ( * 313990 )
NEW met2 ( 1425770 313990 ) ( * 662490 )
NEW met1 ( 1532950 662490 ) M1M2_PR
NEW met2 ( 1532950 666060 ) M2M3_PR
NEW met1 ( 352130 313990 ) M1M2_PR
NEW met1 ( 1425770 313990 ) M1M2_PR
NEW met1 ( 1425770 662490 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( wb_bridge_2way wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 1534790 662830 ) ( * 668100 )
NEW met3 ( 1534790 668100 ) ( 1550200 * 0 )
NEW met2 ( 372830 82800 ) ( 375130 * )
NEW met2 ( 375130 1700 0 ) ( * 82800 )
NEW met2 ( 372830 82800 ) ( * 307190 )
NEW met1 ( 372830 307190 ) ( 1432670 * )
NEW met1 ( 1432670 662830 ) ( 1534790 * )
NEW met2 ( 1432670 307190 ) ( * 662830 )
NEW met1 ( 372830 307190 ) M1M2_PR
NEW met1 ( 1534790 662830 ) M1M2_PR
NEW met2 ( 1534790 668100 ) M2M3_PR
NEW met1 ( 1432670 307190 ) M1M2_PR
NEW met1 ( 1432670 662830 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( wb_bridge_2way wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1700 0 ) ( * 17850 )
NEW met3 ( 1535250 670140 ) ( 1550200 * 0 )
NEW met2 ( 1535250 341530 ) ( * 670140 )
NEW met1 ( 393070 17850 ) ( 445050 * )
NEW met2 ( 445050 17850 ) ( * 341530 )
NEW met1 ( 445050 341530 ) ( 1535250 * )
NEW met1 ( 393070 17850 ) M1M2_PR
NEW met2 ( 1535250 670140 ) M2M3_PR
NEW met1 ( 1535250 341530 ) M1M2_PR
NEW met1 ( 445050 17850 ) M1M2_PR
NEW met1 ( 445050 341530 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( wb_bridge_2way wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 408250 1700 ) ( 410550 * 0 )
NEW met2 ( 1538470 669630 ) ( * 672180 )
NEW met3 ( 1538470 672180 ) ( 1550200 * 0 )
NEW met2 ( 407330 82800 ) ( 408250 * )
NEW met2 ( 408250 1700 ) ( * 82800 )
NEW met2 ( 407330 82800 ) ( * 141270 )
NEW met2 ( 1377010 141270 ) ( * 669630 )
NEW met1 ( 1377010 669630 ) ( 1538470 * )
NEW met1 ( 407330 141270 ) ( 1377010 * )
NEW met1 ( 1377010 669630 ) M1M2_PR
NEW met1 ( 1538470 669630 ) M1M2_PR
NEW met2 ( 1538470 672180 ) M2M3_PR
NEW met1 ( 407330 141270 ) M1M2_PR
NEW met1 ( 1377010 141270 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( wb_bridge_2way wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 628490 ) ( * 634100 )
NEW met3 ( 1538010 634100 ) ( 1550200 * 0 )
NEW met2 ( 73830 1700 0 ) ( * 30770 )
NEW met1 ( 73830 30770 ) ( 1418410 * )
NEW met2 ( 1418410 30770 ) ( * 628490 )
NEW met1 ( 1418410 628490 ) ( 1538010 * )
NEW met1 ( 1538010 628490 ) M1M2_PR
NEW met2 ( 1538010 634100 ) M2M3_PR
NEW met1 ( 73830 30770 ) M1M2_PR
NEW met1 ( 1418410 30770 ) M1M2_PR
NEW met1 ( 1418410 628490 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( wb_bridge_2way wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 669970 ) ( * 674220 )
NEW met3 ( 1538010 674220 ) ( 1550200 * 0 )
NEW met1 ( 428030 293930 ) ( 1412430 * )
NEW met1 ( 1412430 669970 ) ( 1538010 * )
NEW met2 ( 428490 1700 0 ) ( * 34500 )
NEW met2 ( 428030 34500 ) ( 428490 * )
NEW met2 ( 428030 34500 ) ( * 293930 )
NEW met2 ( 1412430 293930 ) ( * 669970 )
NEW met1 ( 1538010 669970 ) M1M2_PR
NEW met2 ( 1538010 674220 ) M2M3_PR
NEW met1 ( 428030 293930 ) M1M2_PR
NEW met1 ( 1412430 293930 ) M1M2_PR
NEW met1 ( 1412430 669970 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( wb_bridge_2way wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met3 ( 1535710 676260 ) ( 1550200 * 0 )
NEW met2 ( 894010 17510 ) ( * 417350 )
NEW met2 ( 1535710 417350 ) ( * 676260 )
NEW met2 ( 445970 1700 0 ) ( * 17510 )
NEW met1 ( 445970 17510 ) ( 894010 * )
NEW met1 ( 894010 417350 ) ( 1535710 * )
NEW met1 ( 894010 17510 ) M1M2_PR
NEW met1 ( 894010 417350 ) M1M2_PR
NEW met1 ( 1535710 417350 ) M1M2_PR
NEW met2 ( 1535710 676260 ) M2M3_PR
NEW met1 ( 445970 17510 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( wb_bridge_2way wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 676770 ) ( * 678300 )
NEW met3 ( 1538470 678300 ) ( 1550200 * 0 )
NEW met2 ( 462530 1700 ) ( 463910 * 0 )
NEW met1 ( 462530 217430 ) ( 1494310 * )
NEW met1 ( 1494310 676770 ) ( 1538470 * )
NEW met2 ( 462530 1700 ) ( * 217430 )
NEW met2 ( 1494310 217430 ) ( * 676770 )
NEW met1 ( 1538470 676770 ) M1M2_PR
NEW met2 ( 1538470 678300 ) M2M3_PR
NEW met1 ( 462530 217430 ) M1M2_PR
NEW met1 ( 1494310 217430 ) M1M2_PR
NEW met1 ( 1494310 676770 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( wb_bridge_2way wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 479090 1700 ) ( 481390 * 0 )
NEW met2 ( 1532950 676430 ) ( * 681020 )
NEW met3 ( 1532950 681020 ) ( 1550200 * 0 )
NEW met2 ( 476330 82800 ) ( 479090 * )
NEW met2 ( 479090 1700 ) ( * 82800 )
NEW met2 ( 476330 82800 ) ( * 224910 )
NEW met2 ( 1252350 224910 ) ( * 676430 )
NEW met1 ( 476330 224910 ) ( 1252350 * )
NEW met1 ( 1252350 676430 ) ( 1532950 * )
NEW met1 ( 476330 224910 ) M1M2_PR
NEW met1 ( 1252350 224910 ) M1M2_PR
NEW met1 ( 1252350 676430 ) M1M2_PR
NEW met1 ( 1532950 676430 ) M1M2_PR
NEW met2 ( 1532950 681020 ) M2M3_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( wb_bridge_2way wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 677110 ) ( * 683060 )
NEW met3 ( 1537550 683060 ) ( 1550200 * 0 )
NEW met2 ( 497030 82800 ) ( 499330 * )
NEW met2 ( 499330 1700 0 ) ( * 82800 )
NEW met2 ( 497030 82800 ) ( * 245310 )
NEW met1 ( 1521450 677110 ) ( 1537550 * )
NEW met1 ( 497030 245310 ) ( 1521450 * )
NEW met2 ( 1521450 245310 ) ( * 677110 )
NEW met1 ( 1537550 677110 ) M1M2_PR
NEW met2 ( 1537550 683060 ) M2M3_PR
NEW met1 ( 497030 245310 ) M1M2_PR
NEW met1 ( 1521450 677110 ) M1M2_PR
NEW met1 ( 1521450 245310 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( wb_bridge_2way wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1700 0 ) ( * 17850 )
NEW met3 ( 1535020 685100 ) ( 1550200 * 0 )
NEW met4 ( 1535020 423980 ) ( * 685100 )
NEW met1 ( 516810 17850 ) ( 852150 * )
NEW met2 ( 852150 17850 ) ( * 423980 )
NEW met3 ( 852150 423980 ) ( 1535020 * )
NEW met1 ( 516810 17850 ) M1M2_PR
NEW met3 ( 1535020 685100 ) M3M4_PR
NEW met3 ( 1535020 423980 ) M3M4_PR
NEW met1 ( 852150 17850 ) M1M2_PR
NEW met2 ( 852150 423980 ) M2M3_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( wb_bridge_2way wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 1535710 683570 ) ( * 687140 )
NEW met3 ( 1535710 687140 ) ( 1550200 * 0 )
NEW met2 ( 532450 1700 ) ( 534750 * 0 )
NEW met1 ( 1300650 683570 ) ( 1535710 * )
NEW met2 ( 531530 82800 ) ( 532450 * )
NEW met2 ( 532450 1700 ) ( * 82800 )
NEW met2 ( 531530 82800 ) ( * 327930 )
NEW met1 ( 531530 327930 ) ( 1193700 * )
NEW met1 ( 1193700 327930 ) ( * 328270 )
NEW met1 ( 1193700 328270 ) ( 1300650 * )
NEW met2 ( 1300650 328270 ) ( * 683570 )
NEW met1 ( 1535710 683570 ) M1M2_PR
NEW met2 ( 1535710 687140 ) M2M3_PR
NEW met1 ( 1300650 683570 ) M1M2_PR
NEW met1 ( 531530 327930 ) M1M2_PR
NEW met1 ( 1300650 328270 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( wb_bridge_2way wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 683230 ) ( * 689180 )
NEW met3 ( 1538470 689180 ) ( 1550200 * 0 )
NEW met2 ( 1253270 273530 ) ( * 683230 )
NEW met1 ( 1253270 683230 ) ( 1538470 * )
NEW met2 ( 552230 82800 ) ( 552690 * )
NEW met2 ( 552690 1700 0 ) ( * 82800 )
NEW met2 ( 552230 82800 ) ( * 273530 )
NEW met1 ( 552230 273530 ) ( 1253270 * )
NEW met1 ( 1253270 683230 ) M1M2_PR
NEW met1 ( 1538470 683230 ) M1M2_PR
NEW met2 ( 1538470 689180 ) M2M3_PR
NEW met1 ( 1253270 273530 ) M1M2_PR
NEW met1 ( 552230 273530 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( wb_bridge_2way wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 690370 ) ( * 691220 )
NEW met3 ( 1538470 691220 ) ( 1550200 * 0 )
NEW met2 ( 566030 82800 ) ( 570170 * )
NEW met2 ( 570170 1700 0 ) ( * 82800 )
NEW met2 ( 566030 82800 ) ( * 252450 )
NEW met2 ( 1266610 252450 ) ( * 690370 )
NEW met1 ( 1266610 690370 ) ( 1538470 * )
NEW met1 ( 566030 252450 ) ( 1266610 * )
NEW met1 ( 1266610 690370 ) M1M2_PR
NEW met1 ( 1538470 690370 ) M1M2_PR
NEW met2 ( 1538470 691220 ) M2M3_PR
NEW met1 ( 566030 252450 ) M1M2_PR
NEW met1 ( 1266610 252450 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( wb_bridge_2way wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 586730 1700 ) ( 588110 * 0 )
NEW met2 ( 1534790 690710 ) ( * 693260 )
NEW met3 ( 1534790 693260 ) ( 1550200 * 0 )
NEW met2 ( 586730 1700 ) ( * 266050 )
NEW met2 ( 1474530 266050 ) ( * 690710 )
NEW met1 ( 1474530 690710 ) ( 1534790 * )
NEW met1 ( 586730 266050 ) ( 1474530 * )
NEW met1 ( 1474530 690710 ) M1M2_PR
NEW met1 ( 1534790 690710 ) M1M2_PR
NEW met2 ( 1534790 693260 ) M2M3_PR
NEW met1 ( 586730 266050 ) M1M2_PR
NEW met1 ( 1474530 266050 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( wb_bridge_2way wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 79390 )
NEW met2 ( 1439110 79390 ) ( * 635630 )
NEW met2 ( 1538470 635630 ) ( * 636140 )
NEW met3 ( 1538470 636140 ) ( 1550200 * 0 )
NEW met1 ( 97290 79390 ) ( 1439110 * )
NEW met1 ( 1439110 635630 ) ( 1538470 * )
NEW met1 ( 97290 79390 ) M1M2_PR
NEW met1 ( 1439110 79390 ) M1M2_PR
NEW met1 ( 1439110 635630 ) M1M2_PR
NEW met1 ( 1538470 635630 ) M1M2_PR
NEW met2 ( 1538470 636140 ) M2M3_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( wb_bridge_2way wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 603290 1700 ) ( 605590 * 0 )
NEW met2 ( 1532950 690030 ) ( * 695300 )
NEW met3 ( 1532950 695300 ) ( 1550200 * 0 )
NEW met2 ( 600530 82800 ) ( 603290 * )
NEW met2 ( 603290 1700 ) ( * 82800 )
NEW met2 ( 600530 82800 ) ( * 258910 )
NEW met2 ( 1245450 258910 ) ( * 690030 )
NEW met1 ( 1245450 690030 ) ( 1532950 * )
NEW met1 ( 600530 258910 ) ( 1245450 * )
NEW met1 ( 1245450 690030 ) M1M2_PR
NEW met1 ( 1532950 690030 ) M1M2_PR
NEW met2 ( 1532950 695300 ) M2M3_PR
NEW met1 ( 600530 258910 ) M1M2_PR
NEW met1 ( 1245450 258910 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( wb_bridge_2way wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 697170 ) ( * 698020 )
NEW met3 ( 1538470 698020 ) ( 1550200 * 0 )
NEW met2 ( 1273050 51510 ) ( * 697170 )
NEW met1 ( 1273050 697170 ) ( 1538470 * )
NEW met2 ( 623530 1700 0 ) ( * 51510 )
NEW met1 ( 623530 51510 ) ( 1273050 * )
NEW met1 ( 1273050 697170 ) M1M2_PR
NEW met1 ( 1538470 697170 ) M1M2_PR
NEW met2 ( 1538470 698020 ) M2M3_PR
NEW met1 ( 1273050 51510 ) M1M2_PR
NEW met1 ( 623530 51510 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( wb_bridge_2way wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 117530 82800 ) ( 121210 * )
NEW met2 ( 121210 1700 0 ) ( * 82800 )
NEW met2 ( 117530 82800 ) ( * 237830 )
NEW met2 ( 1349410 237830 ) ( * 634950 )
NEW met2 ( 1538010 634950 ) ( * 638180 )
NEW met3 ( 1538010 638180 ) ( 1550200 * 0 )
NEW met1 ( 117530 237830 ) ( 1349410 * )
NEW met1 ( 1349410 634950 ) ( 1538010 * )
NEW met1 ( 117530 237830 ) M1M2_PR
NEW met1 ( 1349410 237830 ) M1M2_PR
NEW met1 ( 1349410 634950 ) M1M2_PR
NEW met1 ( 1538010 634950 ) M1M2_PR
NEW met2 ( 1538010 638180 ) M2M3_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( wb_bridge_2way wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 1537550 635290 ) ( * 640220 )
NEW met3 ( 1537550 640220 ) ( 1550200 * 0 )
NEW met2 ( 142830 1700 ) ( 144670 * 0 )
NEW met2 ( 142830 1700 ) ( * 17510 )
NEW met1 ( 138230 17510 ) ( 142830 * )
NEW met1 ( 138230 224230 ) ( 1425310 * )
NEW met2 ( 138230 17510 ) ( * 224230 )
NEW met2 ( 1425310 224230 ) ( * 635290 )
NEW met1 ( 1425310 635290 ) ( 1537550 * )
NEW met1 ( 1537550 635290 ) M1M2_PR
NEW met2 ( 1537550 640220 ) M2M3_PR
NEW met1 ( 142830 17510 ) M1M2_PR
NEW met1 ( 138230 17510 ) M1M2_PR
NEW met1 ( 138230 224230 ) M1M2_PR
NEW met1 ( 1425310 224230 ) M1M2_PR
NEW met1 ( 1425310 635290 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( wb_bridge_2way wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met4 ( 1444860 230860 ) ( * 643620 )
NEW met2 ( 159850 1700 ) ( 162150 * 0 )
NEW met2 ( 158930 82800 ) ( 159850 * )
NEW met2 ( 159850 1700 ) ( * 82800 )
NEW met2 ( 158930 82800 ) ( * 230860 )
NEW met3 ( 158930 230860 ) ( 1444860 * )
NEW met3 ( 1444860 643620 ) ( 1483500 * )
NEW met3 ( 1483500 642260 ) ( * 643620 )
NEW met3 ( 1483500 642260 ) ( 1550200 * 0 )
NEW met3 ( 1444860 230860 ) M3M4_PR
NEW met3 ( 1444860 643620 ) M3M4_PR
NEW met2 ( 158930 230860 ) M2M3_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( wb_bridge_2way wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 128010 )
NEW met2 ( 1383910 128010 ) ( * 642090 )
NEW met2 ( 1534790 642090 ) ( * 644300 )
NEW met3 ( 1534790 644300 ) ( 1550200 * 0 )
NEW met1 ( 180090 128010 ) ( 1383910 * )
NEW met1 ( 1383910 642090 ) ( 1534790 * )
NEW met1 ( 180090 128010 ) M1M2_PR
NEW met1 ( 1383910 128010 ) M1M2_PR
NEW met1 ( 1383910 642090 ) M1M2_PR
NEW met1 ( 1534790 642090 ) M1M2_PR
NEW met2 ( 1534790 644300 ) M2M3_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( wb_bridge_2way wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 195730 1700 ) ( 198030 * 0 )
NEW met2 ( 193430 82800 ) ( 195730 * )
NEW met2 ( 195730 1700 ) ( * 82800 )
NEW met2 ( 193430 82800 ) ( * 210630 )
NEW met2 ( 1538470 641750 ) ( * 646340 )
NEW met3 ( 1538470 646340 ) ( 1550200 * 0 )
NEW met1 ( 193430 210630 ) ( 1321350 * )
NEW met2 ( 1321350 210630 ) ( * 641750 )
NEW met1 ( 1321350 641750 ) ( 1538470 * )
NEW met1 ( 193430 210630 ) M1M2_PR
NEW met1 ( 1538470 641750 ) M1M2_PR
NEW met2 ( 1538470 646340 ) M2M3_PR
NEW met1 ( 1321350 210630 ) M1M2_PR
NEW met1 ( 1321350 641750 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( wb_bridge_2way wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 1700 0 ) ( * 24310 )
NEW met2 ( 1459810 24310 ) ( * 649570 )
NEW met2 ( 1538470 649060 ) ( * 649570 )
NEW met3 ( 1538470 649060 ) ( 1550200 * 0 )
NEW met1 ( 215510 24310 ) ( 1459810 * )
NEW met1 ( 1459810 649570 ) ( 1538470 * )
NEW met1 ( 215510 24310 ) M1M2_PR
NEW met1 ( 1459810 24310 ) M1M2_PR
NEW met1 ( 1459810 649570 ) M1M2_PR
NEW met1 ( 1538470 649570 ) M1M2_PR
NEW met2 ( 1538470 649060 ) M2M3_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( wb_bridge_2way wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 1370110 203830 ) ( * 648890 )
NEW met2 ( 1538010 648890 ) ( * 649740 )
NEW met3 ( 1538010 649740 ) ( 1548820 * )
NEW met3 ( 1548820 649740 ) ( * 650880 )
NEW met3 ( 1548820 650880 ) ( 1550660 * 0 )
NEW met1 ( 227930 203830 ) ( 1370110 * )
NEW met2 ( 227930 82800 ) ( 233450 * )
NEW met2 ( 233450 1700 0 ) ( * 82800 )
NEW met2 ( 227930 82800 ) ( * 203830 )
NEW met1 ( 1370110 648890 ) ( 1538010 * )
NEW met1 ( 1370110 203830 ) M1M2_PR
NEW met1 ( 1370110 648890 ) M1M2_PR
NEW met1 ( 1538010 648890 ) M1M2_PR
NEW met2 ( 1538010 649740 ) M2M3_PR
NEW met1 ( 227930 203830 ) M1M2_PR ;
- wbs_oram_ack_o ( wb_openram_wrapper wbs_a_ack_o ) ( wb_bridge_2way wbm_b_ack_i ) + USE SIGNAL
+ ROUTED met2 ( 1262470 494700 ) ( * 496230 )
NEW met3 ( 1244760 494700 0 ) ( 1262470 * )
NEW met2 ( 1537090 870060 ) ( * 876180 )
NEW met3 ( 1537090 876180 ) ( 1550200 * 0 )
NEW met1 ( 1262470 496230 ) ( 1336990 * )
NEW met3 ( 1528810 870060 ) ( 1537090 * )
NEW met2 ( 1336990 496230 ) ( * 651950 )
NEW met1 ( 1336990 651950 ) ( 1528810 * )
NEW met2 ( 1528810 651950 ) ( * 870060 )
NEW met1 ( 1262470 496230 ) M1M2_PR
NEW met2 ( 1262470 494700 ) M2M3_PR
NEW met2 ( 1537090 870060 ) M2M3_PR
NEW met2 ( 1537090 876180 ) M2M3_PR
NEW met1 ( 1336990 496230 ) M1M2_PR
NEW met2 ( 1528810 870060 ) M2M3_PR
NEW met1 ( 1336990 651950 ) M1M2_PR
NEW met1 ( 1528810 651950 ) M1M2_PR ;
- wbs_oram_adr_i\[0\] ( wb_openram_wrapper wbs_a_adr_i[0] ) ( wb_bridge_2way wbm_b_adr_o[0] ) + USE SIGNAL
+ ROUTED met1 ( 1258790 514250 ) ( 1273970 * )
NEW met2 ( 1258790 506940 ) ( * 514250 )
NEW met3 ( 1244760 506940 0 ) ( 1258790 * )
NEW met2 ( 1273970 514250 ) ( * 710770 )
NEW met2 ( 1538010 710770 ) ( * 717060 )
NEW met3 ( 1538010 717060 ) ( 1550200 * 0 )
NEW met1 ( 1273970 710770 ) ( 1538010 * )
NEW met1 ( 1273970 514250 ) M1M2_PR
NEW met1 ( 1258790 514250 ) M1M2_PR
NEW met2 ( 1258790 506940 ) M2M3_PR
NEW met1 ( 1273970 710770 ) M1M2_PR
NEW met1 ( 1538010 710770 ) M1M2_PR
NEW met2 ( 1538010 717060 ) M2M3_PR ;
- wbs_oram_adr_i\[10\] ( wb_openram_wrapper wbs_a_adr_i[10] ) ( wb_bridge_2way wbm_b_adr_o[10] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 530060 0 ) ( 1253730 * )
NEW met2 ( 1253730 530060 ) ( * 720970 )
NEW met2 ( 1537550 731510 ) ( * 738140 )
NEW met3 ( 1537550 738140 ) ( 1550200 * 0 )
NEW met2 ( 1518230 720970 ) ( * 731510 )
NEW met1 ( 1253730 720970 ) ( 1518230 * )
NEW met1 ( 1518230 731510 ) ( 1537550 * )
NEW met2 ( 1253730 530060 ) M2M3_PR
NEW met1 ( 1253730 720970 ) M1M2_PR
NEW met1 ( 1537550 731510 ) M1M2_PR
NEW met2 ( 1537550 738140 ) M2M3_PR
NEW met1 ( 1518230 720970 ) M1M2_PR
NEW met1 ( 1518230 731510 ) M1M2_PR ;
- wbs_oram_adr_i\[1\] ( wb_openram_wrapper wbs_a_adr_i[1] ) ( wb_bridge_2way wbm_b_adr_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 508980 ) ( * 510510 )
NEW met3 ( 1244760 508980 0 ) ( 1262470 * )
NEW met2 ( 1453370 510510 ) ( * 717910 )
NEW met2 ( 1538470 717910 ) ( * 719100 )
NEW met3 ( 1538470 719100 ) ( 1550200 * 0 )
NEW met1 ( 1262470 510510 ) ( 1453370 * )
NEW met1 ( 1453370 717910 ) ( 1538470 * )
NEW met1 ( 1262470 510510 ) M1M2_PR
NEW met2 ( 1262470 508980 ) M2M3_PR
NEW met1 ( 1453370 510510 ) M1M2_PR
NEW met1 ( 1453370 717910 ) M1M2_PR
NEW met1 ( 1538470 717910 ) M1M2_PR
NEW met2 ( 1538470 719100 ) M2M3_PR ;
- wbs_oram_adr_i\[2\] ( wb_openram_wrapper wbs_a_adr_i[2] ) ( wb_bridge_2way wbm_b_adr_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 511020 ) ( * 517310 )
NEW met3 ( 1244760 511020 0 ) ( 1262010 * )
NEW met2 ( 1371030 517310 ) ( * 714170 )
NEW met2 ( 1537550 714170 ) ( * 721140 )
NEW met3 ( 1537550 721140 ) ( 1550200 * 0 )
NEW met1 ( 1262010 517310 ) ( 1371030 * )
NEW met1 ( 1371030 714170 ) ( 1537550 * )
NEW met1 ( 1262010 517310 ) M1M2_PR
NEW met2 ( 1262010 511020 ) M2M3_PR
NEW met1 ( 1371030 517310 ) M1M2_PR
NEW met1 ( 1371030 714170 ) M1M2_PR
NEW met1 ( 1537550 714170 ) M1M2_PR
NEW met2 ( 1537550 721140 ) M2M3_PR ;
- wbs_oram_adr_i\[3\] ( wb_openram_wrapper wbs_a_adr_i[3] ) ( wb_bridge_2way wbm_b_adr_o[3] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 513740 0 ) ( 1259250 * )
NEW met2 ( 1259250 513740 ) ( * 624410 )
NEW met2 ( 1532950 718250 ) ( * 723180 )
NEW met3 ( 1532950 723180 ) ( 1550200 * 0 )
NEW met1 ( 1259250 624410 ) ( 1515010 * )
NEW met2 ( 1515010 624410 ) ( * 718250 )
NEW met1 ( 1515010 718250 ) ( 1532950 * )
NEW met2 ( 1259250 513740 ) M2M3_PR
NEW met1 ( 1259250 624410 ) M1M2_PR
NEW met1 ( 1532950 718250 ) M1M2_PR
NEW met2 ( 1532950 723180 ) M2M3_PR
NEW met1 ( 1515010 624410 ) M1M2_PR
NEW met1 ( 1515010 718250 ) M1M2_PR ;
- wbs_oram_adr_i\[4\] ( wb_openram_wrapper wbs_a_adr_i[4] ) ( wb_bridge_2way wbm_b_adr_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 512890 ) ( * 515780 )
NEW met3 ( 1244760 515780 0 ) ( 1262470 * )
NEW met3 ( 1535020 725220 ) ( 1550200 * 0 )
NEW met4 ( 1535020 700060 ) ( * 725220 )
NEW met1 ( 1262470 512890 ) ( 1294670 * )
NEW met3 ( 1294670 700060 ) ( 1535020 * )
NEW met2 ( 1294670 512890 ) ( * 700060 )
NEW met1 ( 1262470 512890 ) M1M2_PR
NEW met2 ( 1262470 515780 ) M2M3_PR
NEW met3 ( 1535020 700060 ) M3M4_PR
NEW met3 ( 1535020 725220 ) M3M4_PR
NEW met1 ( 1294670 512890 ) M1M2_PR
NEW met2 ( 1294670 700060 ) M2M3_PR ;
- wbs_oram_adr_i\[5\] ( wb_openram_wrapper wbs_a_adr_i[5] ) ( wb_bridge_2way wbm_b_adr_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 518500 ) ( * 524110 )
NEW met3 ( 1244760 518500 0 ) ( 1262470 * )
NEW met2 ( 1357690 524110 ) ( * 596870 )
NEW met2 ( 1536170 596870 ) ( * 614100 )
NEW met2 ( 1536170 614100 ) ( 1536630 * )
NEW met3 ( 1536630 727260 ) ( 1550200 * 0 )
NEW met2 ( 1536630 614100 ) ( * 727260 )
NEW met1 ( 1357690 596870 ) ( 1536170 * )
NEW met1 ( 1262470 524110 ) ( 1357690 * )
NEW met1 ( 1357690 596870 ) M1M2_PR
NEW met1 ( 1536170 596870 ) M1M2_PR
NEW met1 ( 1262470 524110 ) M1M2_PR
NEW met2 ( 1262470 518500 ) M2M3_PR
NEW met1 ( 1357690 524110 ) M1M2_PR
NEW met2 ( 1536630 727260 ) M2M3_PR ;
- wbs_oram_adr_i\[6\] ( wb_openram_wrapper wbs_a_adr_i[6] ) ( wb_bridge_2way wbm_b_adr_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 520540 ) ( * 527850 )
NEW met3 ( 1244760 520540 0 ) ( 1262010 * )
NEW met2 ( 1460730 527850 ) ( * 724710 )
NEW met2 ( 1532950 724710 ) ( * 729300 )
NEW met3 ( 1532950 729300 ) ( 1550200 * 0 )
NEW met1 ( 1262010 527850 ) ( 1460730 * )
NEW met1 ( 1460730 724710 ) ( 1532950 * )
NEW met1 ( 1262010 527850 ) M1M2_PR
NEW met2 ( 1262010 520540 ) M2M3_PR
NEW met1 ( 1460730 527850 ) M1M2_PR
NEW met1 ( 1460730 724710 ) M1M2_PR
NEW met1 ( 1532950 724710 ) M1M2_PR
NEW met2 ( 1532950 729300 ) M2M3_PR ;
- wbs_oram_adr_i\[7\] ( wb_openram_wrapper wbs_a_adr_i[7] ) ( wb_bridge_2way wbm_b_adr_o[7] ) + USE SIGNAL
+ ROUTED met1 ( 1261550 523770 ) ( 1287770 * )
NEW met2 ( 1261550 523260 ) ( * 523770 )
NEW met3 ( 1244760 523260 0 ) ( 1261550 * )
NEW met2 ( 1287770 523770 ) ( * 731850 )
NEW met2 ( 1538470 731850 ) ( * 732020 )
NEW met3 ( 1538470 732020 ) ( 1550200 * 0 )
NEW met1 ( 1287770 731850 ) ( 1538470 * )
NEW met1 ( 1287770 523770 ) M1M2_PR
NEW met1 ( 1261550 523770 ) M1M2_PR
NEW met2 ( 1261550 523260 ) M2M3_PR
NEW met1 ( 1287770 731850 ) M1M2_PR
NEW met1 ( 1538470 731850 ) M1M2_PR
NEW met2 ( 1538470 732020 ) M2M3_PR ;
- wbs_oram_adr_i\[8\] ( wb_openram_wrapper wbs_a_adr_i[8] ) ( wb_bridge_2way wbm_b_adr_o[8] ) + USE SIGNAL
+ ROUTED met1 ( 1260170 600610 ) ( 1274430 * )
NEW met3 ( 1244760 525300 0 ) ( 1260170 * )
NEW met2 ( 1260170 525300 ) ( * 600610 )
NEW met2 ( 1274430 600610 ) ( * 732530 )
NEW met2 ( 1538470 732530 ) ( * 734060 )
NEW met3 ( 1538470 734060 ) ( 1550200 * 0 )
NEW met1 ( 1274430 732530 ) ( 1538470 * )
NEW met1 ( 1260170 600610 ) M1M2_PR
NEW met1 ( 1274430 600610 ) M1M2_PR
NEW met2 ( 1260170 525300 ) M2M3_PR
NEW met1 ( 1274430 732530 ) M1M2_PR
NEW met1 ( 1538470 732530 ) M1M2_PR
NEW met2 ( 1538470 734060 ) M2M3_PR ;
- wbs_oram_adr_i\[9\] ( wb_openram_wrapper wbs_a_adr_i[9] ) ( wb_bridge_2way wbm_b_adr_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 528020 ) ( * 562190 )
NEW met3 ( 1244760 528020 0 ) ( 1261550 * )
NEW met2 ( 1384370 562190 ) ( * 732190 )
NEW met2 ( 1538010 732190 ) ( * 736100 )
NEW met3 ( 1538010 736100 ) ( 1550200 * 0 )
NEW met1 ( 1261550 562190 ) ( 1384370 * )
NEW met1 ( 1384370 732190 ) ( 1538010 * )
NEW met1 ( 1261550 562190 ) M1M2_PR
NEW met2 ( 1261550 528020 ) M2M3_PR
NEW met1 ( 1384370 562190 ) M1M2_PR
NEW met1 ( 1384370 732190 ) M1M2_PR
NEW met1 ( 1538010 732190 ) M1M2_PR
NEW met2 ( 1538010 736100 ) M2M3_PR ;
- wbs_oram_cyc_i ( wb_openram_wrapper wbs_a_cyc_i ) ( wb_bridge_2way wbm_b_cyc_o ) + USE SIGNAL
+ ROUTED met3 ( 1244760 489940 0 ) ( 1256950 * )
NEW met2 ( 1538470 703970 ) ( * 704140 )
NEW met3 ( 1538470 704140 ) ( 1550200 * 0 )
NEW met2 ( 1256950 489940 ) ( * 528190 )
NEW met1 ( 1314910 703970 ) ( 1538470 * )
NEW met1 ( 1256950 528190 ) ( 1314910 * )
NEW met2 ( 1314910 528190 ) ( * 703970 )
NEW met2 ( 1256950 489940 ) M2M3_PR
NEW met1 ( 1538470 703970 ) M1M2_PR
NEW met2 ( 1538470 704140 ) M2M3_PR
NEW met1 ( 1256950 528190 ) M1M2_PR
NEW met1 ( 1314910 703970 ) M1M2_PR
NEW met1 ( 1314910 528190 ) M1M2_PR ;
- wbs_oram_dat_i\[0\] ( wb_openram_wrapper wbs_a_dat_i[0] ) ( wb_bridge_2way wbm_b_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 532780 ) ( * 534650 )
NEW met3 ( 1244760 532780 0 ) ( 1262470 * )
NEW met1 ( 1535250 720630 ) ( 1537090 * )
NEW met2 ( 1535250 720630 ) ( * 740180 )
NEW met3 ( 1535250 740180 ) ( 1550200 * 0 )
NEW met2 ( 1537090 665890 ) ( * 720630 )
NEW met1 ( 1295130 665890 ) ( 1537090 * )
NEW met1 ( 1262470 534650 ) ( 1295130 * )
NEW met2 ( 1295130 534650 ) ( * 665890 )
NEW met1 ( 1537090 665890 ) M1M2_PR
NEW met1 ( 1262470 534650 ) M1M2_PR
NEW met2 ( 1262470 532780 ) M2M3_PR
NEW met1 ( 1537090 720630 ) M1M2_PR
NEW met1 ( 1535250 720630 ) M1M2_PR
NEW met2 ( 1535250 740180 ) M2M3_PR
NEW met1 ( 1295130 665890 ) M1M2_PR
NEW met1 ( 1295130 534650 ) M1M2_PR ;
- wbs_oram_dat_i\[10\] ( wb_openram_wrapper wbs_a_dat_i[10] ) ( wb_bridge_2way wbm_b_dat_o[10] ) + USE SIGNAL
+ ROUTED met3 ( 1534330 761260 ) ( 1550200 * 0 )
NEW met1 ( 1256030 558790 ) ( 1267070 * )
NEW met2 ( 1256030 555900 ) ( * 558790 )
NEW met3 ( 1244760 555900 0 ) ( 1256030 * )
NEW met2 ( 1267070 558790 ) ( * 727770 )
NEW met2 ( 1534330 727770 ) ( * 761260 )
NEW met1 ( 1267070 727770 ) ( 1534330 * )
NEW met2 ( 1534330 761260 ) M2M3_PR
NEW met1 ( 1267070 558790 ) M1M2_PR
NEW met1 ( 1256030 558790 ) M1M2_PR
NEW met2 ( 1256030 555900 ) M2M3_PR
NEW met1 ( 1267070 727770 ) M1M2_PR
NEW met1 ( 1534330 727770 ) M1M2_PR ;
- wbs_oram_dat_i\[11\] ( wb_openram_wrapper wbs_a_dat_i[11] ) ( wb_bridge_2way wbm_b_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 1535710 759050 ) ( * 763300 )
NEW met3 ( 1535710 763300 ) ( 1550200 * 0 )
NEW met1 ( 1262010 558450 ) ( 1287310 * )
NEW met2 ( 1262010 558450 ) ( * 558620 )
NEW met3 ( 1244760 558620 0 ) ( 1262010 * )
NEW met2 ( 1287310 558450 ) ( * 759050 )
NEW met1 ( 1287310 759050 ) ( 1535710 * )
NEW met1 ( 1287310 759050 ) M1M2_PR
NEW met1 ( 1535710 759050 ) M1M2_PR
NEW met2 ( 1535710 763300 ) M2M3_PR
NEW met1 ( 1287310 558450 ) M1M2_PR
NEW met1 ( 1262010 558450 ) M1M2_PR
NEW met2 ( 1262010 558620 ) M2M3_PR ;
- wbs_oram_dat_i\[12\] ( wb_openram_wrapper wbs_a_dat_i[12] ) ( wb_bridge_2way wbm_b_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 762790 ) ( * 766020 )
NEW met3 ( 1538470 766020 ) ( 1550200 * 0 )
NEW met2 ( 1262470 560660 ) ( * 565590 )
NEW met3 ( 1244760 560660 0 ) ( 1262470 * )
NEW met1 ( 1495690 762790 ) ( 1538470 * )
NEW met1 ( 1262470 565590 ) ( 1495690 * )
NEW met2 ( 1495690 565590 ) ( * 762790 )
NEW met1 ( 1538470 762790 ) M1M2_PR
NEW met2 ( 1538470 766020 ) M2M3_PR
NEW met1 ( 1262470 565590 ) M1M2_PR
NEW met2 ( 1262470 560660 ) M2M3_PR
NEW met1 ( 1495690 762790 ) M1M2_PR
NEW met1 ( 1495690 565590 ) M1M2_PR ;
- wbs_oram_dat_i\[13\] ( wb_openram_wrapper wbs_a_dat_i[13] ) ( wb_bridge_2way wbm_b_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 766530 ) ( * 768060 )
NEW met3 ( 1538470 768060 ) ( 1550200 * 0 )
NEW met3 ( 1244760 563380 0 ) ( 1262010 * )
NEW met2 ( 1262010 563380 ) ( * 568990 )
NEW met1 ( 1262010 568990 ) ( 1508110 * )
NEW met1 ( 1508110 766530 ) ( 1538470 * )
NEW met2 ( 1508110 568990 ) ( * 766530 )
NEW met1 ( 1262010 568990 ) M1M2_PR
NEW met1 ( 1538470 766530 ) M1M2_PR
NEW met2 ( 1538470 768060 ) M2M3_PR
NEW met2 ( 1262010 563380 ) M2M3_PR
NEW met1 ( 1508110 568990 ) M1M2_PR
NEW met1 ( 1508110 766530 ) M1M2_PR ;
- wbs_oram_dat_i\[14\] ( wb_openram_wrapper wbs_a_dat_i[14] ) ( wb_bridge_2way wbm_b_dat_o[14] ) + USE SIGNAL
+ ROUTED met3 ( 1533870 770100 ) ( 1550200 * 0 )
NEW met1 ( 1261550 565250 ) ( 1280870 * )
NEW met2 ( 1261550 565250 ) ( * 565420 )
NEW met3 ( 1244760 565420 0 ) ( 1261550 * )
NEW met2 ( 1280870 565250 ) ( * 741710 )
NEW met2 ( 1533870 741710 ) ( * 770100 )
NEW met1 ( 1280870 741710 ) ( 1533870 * )
NEW met2 ( 1533870 770100 ) M2M3_PR
NEW met1 ( 1280870 565250 ) M1M2_PR
NEW met1 ( 1261550 565250 ) M1M2_PR
NEW met2 ( 1261550 565420 ) M2M3_PR
NEW met1 ( 1280870 741710 ) M1M2_PR
NEW met1 ( 1533870 741710 ) M1M2_PR ;
- wbs_oram_dat_i\[15\] ( wb_openram_wrapper wbs_a_dat_i[15] ) ( wb_bridge_2way wbm_b_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 567460 ) ( * 576130 )
NEW met3 ( 1244760 567460 0 ) ( 1261550 * )
NEW met2 ( 1534790 766190 ) ( * 772140 )
NEW met3 ( 1534790 772140 ) ( 1550200 * 0 )
NEW met2 ( 1342510 576130 ) ( * 766190 )
NEW met1 ( 1261550 576130 ) ( 1342510 * )
NEW met1 ( 1342510 766190 ) ( 1534790 * )
NEW met1 ( 1261550 576130 ) M1M2_PR
NEW met2 ( 1261550 567460 ) M2M3_PR
NEW met1 ( 1342510 576130 ) M1M2_PR
NEW met1 ( 1342510 766190 ) M1M2_PR
NEW met1 ( 1534790 766190 ) M1M2_PR
NEW met2 ( 1534790 772140 ) M2M3_PR ;
- wbs_oram_dat_i\[16\] ( wb_openram_wrapper wbs_a_dat_i[16] ) ( wb_bridge_2way wbm_b_dat_o[16] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 570180 0 ) ( 1266150 * )
NEW met2 ( 1537550 762450 ) ( * 774180 )
NEW met3 ( 1537550 774180 ) ( 1550200 * 0 )
NEW met2 ( 1266150 570180 ) ( * 762450 )
NEW met1 ( 1266150 762450 ) ( 1537550 * )
NEW met2 ( 1266150 570180 ) M2M3_PR
NEW met1 ( 1266150 762450 ) M1M2_PR
NEW met1 ( 1537550 762450 ) M1M2_PR
NEW met2 ( 1537550 774180 ) M2M3_PR ;
- wbs_oram_dat_i\[17\] ( wb_openram_wrapper wbs_a_dat_i[17] ) ( wb_bridge_2way wbm_b_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 572220 ) ( * 572390 )
NEW met3 ( 1244760 572220 0 ) ( 1262470 * )
NEW met2 ( 1538470 773330 ) ( * 776220 )
NEW met3 ( 1538470 776220 ) ( 1550200 * 0 )
NEW met1 ( 1262470 572390 ) ( 1433130 * )
NEW met1 ( 1433130 773330 ) ( 1538470 * )
NEW met2 ( 1433130 572390 ) ( * 773330 )
NEW met1 ( 1262470 572390 ) M1M2_PR
NEW met2 ( 1262470 572220 ) M2M3_PR
NEW met1 ( 1538470 773330 ) M1M2_PR
NEW met2 ( 1538470 776220 ) M2M3_PR
NEW met1 ( 1433130 572390 ) M1M2_PR
NEW met1 ( 1433130 773330 ) M1M2_PR ;
- wbs_oram_dat_i\[18\] ( wb_openram_wrapper wbs_a_dat_i[18] ) ( wb_bridge_2way wbm_b_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 574940 ) ( * 579190 )
NEW met3 ( 1244760 574940 0 ) ( 1262010 * )
NEW met2 ( 1532950 772990 ) ( * 778260 )
NEW met3 ( 1532950 778260 ) ( 1550200 * 0 )
NEW met1 ( 1262010 579190 ) ( 1321810 * )
NEW met1 ( 1321810 772990 ) ( 1532950 * )
NEW met2 ( 1321810 579190 ) ( * 772990 )
NEW met1 ( 1262010 579190 ) M1M2_PR
NEW met2 ( 1262010 574940 ) M2M3_PR
NEW met1 ( 1532950 772990 ) M1M2_PR
NEW met2 ( 1532950 778260 ) M2M3_PR
NEW met1 ( 1321810 579190 ) M1M2_PR
NEW met1 ( 1321810 772990 ) M1M2_PR ;
- wbs_oram_dat_i\[19\] ( wb_openram_wrapper wbs_a_dat_i[19] ) ( wb_bridge_2way wbm_b_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 576980 ) ( * 579530 )
NEW met3 ( 1244760 576980 0 ) ( 1262470 * )
NEW met2 ( 1538470 780470 ) ( * 780980 )
NEW met3 ( 1538470 780980 ) ( 1550200 * 0 )
NEW met1 ( 1262470 579530 ) ( 1426230 * )
NEW met1 ( 1426230 780470 ) ( 1538470 * )
NEW met2 ( 1426230 579530 ) ( * 780470 )
NEW met1 ( 1262470 579530 ) M1M2_PR
NEW met2 ( 1262470 576980 ) M2M3_PR
NEW met1 ( 1538470 780470 ) M1M2_PR
NEW met2 ( 1538470 780980 ) M2M3_PR
NEW met1 ( 1426230 579530 ) M1M2_PR
NEW met1 ( 1426230 780470 ) M1M2_PR ;
- wbs_oram_dat_i\[1\] ( wb_openram_wrapper wbs_a_dat_i[1] ) ( wb_bridge_2way wbm_b_dat_o[1] ) + USE SIGNAL
+ ROUTED met1 ( 1262010 537710 ) ( 1280410 * )
NEW met2 ( 1262010 534820 ) ( * 537710 )
NEW met3 ( 1244760 534820 0 ) ( 1262010 * )
NEW met2 ( 1280410 537710 ) ( * 738310 )
NEW met2 ( 1535710 738310 ) ( * 742220 )
NEW met3 ( 1535710 742220 ) ( 1550200 * 0 )
NEW met1 ( 1280410 738310 ) ( 1535710 * )
NEW met1 ( 1280410 537710 ) M1M2_PR
NEW met1 ( 1262010 537710 ) M1M2_PR
NEW met2 ( 1262010 534820 ) M2M3_PR
NEW met1 ( 1280410 738310 ) M1M2_PR
NEW met1 ( 1535710 738310 ) M1M2_PR
NEW met2 ( 1535710 742220 ) M2M3_PR ;
- wbs_oram_dat_i\[20\] ( wb_openram_wrapper wbs_a_dat_i[20] ) ( wb_bridge_2way wbm_b_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 579700 ) ( * 585990 )
NEW met3 ( 1244760 579700 0 ) ( 1262010 * )
NEW met2 ( 1538010 779790 ) ( * 783020 )
NEW met3 ( 1538010 783020 ) ( 1550200 * 0 )
NEW met1 ( 1262010 585990 ) ( 1308010 * )
NEW met1 ( 1308010 779790 ) ( 1538010 * )
NEW met2 ( 1308010 585990 ) ( * 779790 )
NEW met1 ( 1262010 585990 ) M1M2_PR
NEW met2 ( 1262010 579700 ) M2M3_PR
NEW met1 ( 1538010 779790 ) M1M2_PR
NEW met2 ( 1538010 783020 ) M2M3_PR
NEW met1 ( 1308010 585990 ) M1M2_PR
NEW met1 ( 1308010 779790 ) M1M2_PR ;
- wbs_oram_dat_i\[21\] ( wb_openram_wrapper wbs_a_dat_i[21] ) ( wb_bridge_2way wbm_b_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 581740 ) ( * 586330 )
NEW met3 ( 1244760 581740 0 ) ( 1262470 * )
NEW met2 ( 1537550 780130 ) ( * 785060 )
NEW met3 ( 1537550 785060 ) ( 1550200 * 0 )
NEW met2 ( 1356310 586330 ) ( * 780130 )
NEW met1 ( 1262470 586330 ) ( 1356310 * )
NEW met1 ( 1356310 780130 ) ( 1537550 * )
NEW met1 ( 1262470 586330 ) M1M2_PR
NEW met2 ( 1262470 581740 ) M2M3_PR
NEW met1 ( 1356310 586330 ) M1M2_PR
NEW met1 ( 1356310 780130 ) M1M2_PR
NEW met1 ( 1537550 780130 ) M1M2_PR
NEW met2 ( 1537550 785060 ) M2M3_PR ;
- wbs_oram_dat_i\[22\] ( wb_openram_wrapper wbs_a_dat_i[22] ) ( wb_bridge_2way wbm_b_dat_o[22] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 584460 0 ) ( 1259020 * )
NEW met2 ( 1538470 787100 ) ( * 787270 )
NEW met3 ( 1538470 787100 ) ( 1550200 * 0 )
NEW met4 ( 1259020 584460 ) ( * 748340 )
NEW met2 ( 1383910 748340 ) ( * 787270 )
NEW met1 ( 1383910 787270 ) ( 1538470 * )
NEW met3 ( 1259020 748340 ) ( 1383910 * )
NEW met3 ( 1259020 584460 ) M3M4_PR
NEW met1 ( 1383910 787270 ) M1M2_PR
NEW met1 ( 1538470 787270 ) M1M2_PR
NEW met2 ( 1538470 787100 ) M2M3_PR
NEW met3 ( 1259020 748340 ) M3M4_PR
NEW met2 ( 1383910 748340 ) M2M3_PR ;
- wbs_oram_dat_i\[23\] ( wb_openram_wrapper wbs_a_dat_i[23] ) ( wb_bridge_2way wbm_b_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 586500 ) ( * 592790 )
NEW met3 ( 1244760 586500 0 ) ( 1261550 * )
NEW met2 ( 1537090 776050 ) ( * 789140 )
NEW met3 ( 1537090 789140 ) ( 1550200 * 0 )
NEW met2 ( 1370570 592790 ) ( * 776050 )
NEW met1 ( 1261550 592790 ) ( 1370570 * )
NEW met1 ( 1370570 776050 ) ( 1537090 * )
NEW met1 ( 1261550 592790 ) M1M2_PR
NEW met2 ( 1261550 586500 ) M2M3_PR
NEW met1 ( 1370570 592790 ) M1M2_PR
NEW met1 ( 1370570 776050 ) M1M2_PR
NEW met1 ( 1537090 776050 ) M1M2_PR
NEW met2 ( 1537090 789140 ) M2M3_PR ;
- wbs_oram_dat_i\[24\] ( wb_openram_wrapper wbs_a_dat_i[24] ) ( wb_bridge_2way wbm_b_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 589220 ) ( * 593130 )
NEW met3 ( 1244760 589220 0 ) ( 1262010 * )
NEW met2 ( 1532950 787610 ) ( * 791180 )
NEW met3 ( 1532950 791180 ) ( 1550200 * 0 )
NEW met1 ( 1262010 593130 ) ( 1501210 * )
NEW met1 ( 1501210 787610 ) ( 1532950 * )
NEW met2 ( 1501210 593130 ) ( * 787610 )
NEW met1 ( 1262010 593130 ) M1M2_PR
NEW met2 ( 1262010 589220 ) M2M3_PR
NEW met1 ( 1532950 787610 ) M1M2_PR
NEW met2 ( 1532950 791180 ) M2M3_PR
NEW met1 ( 1501210 593130 ) M1M2_PR
NEW met1 ( 1501210 787610 ) M1M2_PR ;
- wbs_oram_dat_i\[25\] ( wb_openram_wrapper wbs_a_dat_i[25] ) ( wb_bridge_2way wbm_b_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 588370 ) ( * 591260 )
NEW met3 ( 1244760 591260 0 ) ( 1262470 * )
NEW met2 ( 1538010 786930 ) ( * 793220 )
NEW met3 ( 1538010 793220 ) ( 1550200 * 0 )
NEW met1 ( 1262470 588370 ) ( 1294210 * )
NEW met1 ( 1294210 786930 ) ( 1538010 * )
NEW met2 ( 1294210 588370 ) ( * 786930 )
NEW met1 ( 1262470 588370 ) M1M2_PR
NEW met2 ( 1262470 591260 ) M2M3_PR
NEW met1 ( 1538010 786930 ) M1M2_PR
NEW met2 ( 1538010 793220 ) M2M3_PR
NEW met1 ( 1294210 588370 ) M1M2_PR
NEW met1 ( 1294210 786930 ) M1M2_PR ;
- wbs_oram_dat_i\[26\] ( wb_openram_wrapper wbs_a_dat_i[26] ) ( wb_bridge_2way wbm_b_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 593980 ) ( * 599250 )
NEW met3 ( 1244760 593980 0 ) ( 1262010 * )
NEW met2 ( 1533870 793730 ) ( * 795260 )
NEW met3 ( 1533870 795260 ) ( 1550200 * 0 )
NEW met1 ( 1262010 599250 ) ( 1301110 * )
NEW met1 ( 1301110 793730 ) ( 1533870 * )
NEW met2 ( 1301110 599250 ) ( * 793730 )
NEW met1 ( 1262010 599250 ) M1M2_PR
NEW met2 ( 1262010 593980 ) M2M3_PR
NEW met1 ( 1533870 793730 ) M1M2_PR
NEW met2 ( 1533870 795260 ) M2M3_PR
NEW met1 ( 1301110 599250 ) M1M2_PR
NEW met1 ( 1301110 793730 ) M1M2_PR ;
- wbs_oram_dat_i\[27\] ( wb_openram_wrapper wbs_a_dat_i[27] ) ( wb_bridge_2way wbm_b_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 596020 ) ( * 600270 )
NEW met3 ( 1244760 596020 0 ) ( 1261550 * )
NEW met2 ( 1535710 794410 ) ( * 797980 )
NEW met3 ( 1535710 797980 ) ( 1550200 * 0 )
NEW met2 ( 1460270 600270 ) ( * 794410 )
NEW met1 ( 1261550 600270 ) ( 1460270 * )
NEW met1 ( 1460270 794410 ) ( 1535710 * )
NEW met1 ( 1261550 600270 ) M1M2_PR
NEW met2 ( 1261550 596020 ) M2M3_PR
NEW met1 ( 1460270 600270 ) M1M2_PR
NEW met1 ( 1460270 794410 ) M1M2_PR
NEW met1 ( 1535710 794410 ) M1M2_PR
NEW met2 ( 1535710 797980 ) M2M3_PR ;
- wbs_oram_dat_i\[28\] ( wb_openram_wrapper wbs_a_dat_i[28] ) ( wb_bridge_2way wbm_b_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 598060 ) ( * 599930 )
NEW met3 ( 1244760 598060 0 ) ( 1262470 * )
NEW met2 ( 1538470 794070 ) ( * 800020 )
NEW met3 ( 1538470 800020 ) ( 1550200 * 0 )
NEW met1 ( 1262470 599930 ) ( 1328710 * )
NEW met1 ( 1328710 794070 ) ( 1538470 * )
NEW met2 ( 1328710 599930 ) ( * 794070 )
NEW met1 ( 1262470 599930 ) M1M2_PR
NEW met2 ( 1262470 598060 ) M2M3_PR
NEW met1 ( 1538470 794070 ) M1M2_PR
NEW met2 ( 1538470 800020 ) M2M3_PR
NEW met1 ( 1328710 599930 ) M1M2_PR
NEW met1 ( 1328710 794070 ) M1M2_PR ;
- wbs_oram_dat_i\[29\] ( wb_openram_wrapper wbs_a_dat_i[29] ) ( wb_bridge_2way wbm_b_dat_o[29] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 600780 0 ) ( 1259710 * )
NEW met2 ( 1538470 800530 ) ( * 802060 )
NEW met3 ( 1538470 802060 ) ( 1550200 * 0 )
NEW met2 ( 1259710 600780 ) ( * 699890 )
NEW met1 ( 1259710 699890 ) ( 1295130 * )
NEW met1 ( 1295130 800530 ) ( 1538470 * )
NEW met2 ( 1295130 699890 ) ( * 800530 )
NEW met2 ( 1259710 600780 ) M2M3_PR
NEW met1 ( 1259710 699890 ) M1M2_PR
NEW met1 ( 1538470 800530 ) M1M2_PR
NEW met2 ( 1538470 802060 ) M2M3_PR
NEW met1 ( 1295130 699890 ) M1M2_PR
NEW met1 ( 1295130 800530 ) M1M2_PR ;
- wbs_oram_dat_i\[2\] ( wb_openram_wrapper wbs_a_dat_i[2] ) ( wb_bridge_2way wbm_b_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 537540 ) ( * 538050 )
NEW met3 ( 1244760 537540 0 ) ( 1262470 * )
NEW met2 ( 1537090 721310 ) ( * 744260 )
NEW met3 ( 1537090 744260 ) ( 1550200 * 0 )
NEW met1 ( 1262470 538050 ) ( 1336070 * )
NEW met2 ( 1336070 538050 ) ( * 721310 )
NEW met1 ( 1336070 721310 ) ( 1537090 * )
NEW met1 ( 1262470 538050 ) M1M2_PR
NEW met2 ( 1262470 537540 ) M2M3_PR
NEW met1 ( 1537090 721310 ) M1M2_PR
NEW met2 ( 1537090 744260 ) M2M3_PR
NEW met1 ( 1336070 538050 ) M1M2_PR
NEW met1 ( 1336070 721310 ) M1M2_PR ;
- wbs_oram_dat_i\[30\] ( wb_openram_wrapper wbs_a_dat_i[30] ) ( wb_bridge_2way wbm_b_dat_o[30] ) + USE SIGNAL
+ ROUTED met1 ( 1262470 607070 ) ( 1281330 * )
NEW met2 ( 1262470 602820 ) ( * 607070 )
NEW met3 ( 1244760 602820 0 ) ( 1262470 * )
NEW met2 ( 1536630 769250 ) ( * 804100 )
NEW met3 ( 1536630 804100 ) ( 1550200 * 0 )
NEW met2 ( 1281330 607070 ) ( * 769250 )
NEW met1 ( 1281330 769250 ) ( 1536630 * )
NEW met1 ( 1281330 607070 ) M1M2_PR
NEW met1 ( 1262470 607070 ) M1M2_PR
NEW met2 ( 1262470 602820 ) M2M3_PR
NEW met1 ( 1281330 769250 ) M1M2_PR
NEW met1 ( 1536630 769250 ) M1M2_PR
NEW met2 ( 1536630 804100 ) M2M3_PR ;
- wbs_oram_dat_i\[31\] ( wb_openram_wrapper wbs_a_dat_i[31] ) ( wb_bridge_2way wbm_b_dat_o[31] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 605540 0 ) ( 1260630 * )
NEW met2 ( 1538010 800870 ) ( * 806140 )
NEW met3 ( 1538010 806140 ) ( 1550200 * 0 )
NEW met2 ( 1260630 605540 ) ( * 624750 )
NEW met1 ( 1315370 800870 ) ( 1538010 * )
NEW met1 ( 1260630 624750 ) ( 1315370 * )
NEW met2 ( 1315370 624750 ) ( * 800870 )
NEW met2 ( 1260630 605540 ) M2M3_PR
NEW met1 ( 1538010 800870 ) M1M2_PR
NEW met2 ( 1538010 806140 ) M2M3_PR
NEW met1 ( 1260630 624750 ) M1M2_PR
NEW met1 ( 1315370 800870 ) M1M2_PR
NEW met1 ( 1315370 624750 ) M1M2_PR ;
- wbs_oram_dat_i\[3\] ( wb_openram_wrapper wbs_a_dat_i[3] ) ( wb_bridge_2way wbm_b_dat_o[3] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 539580 0 ) ( 1259710 * )
NEW met2 ( 1259710 539580 ) ( * 582930 )
NEW met2 ( 1538470 745450 ) ( * 746300 )
NEW met3 ( 1538470 746300 ) ( 1550200 * 0 )
NEW met1 ( 1259710 582930 ) ( 1336530 * )
NEW met2 ( 1336530 582930 ) ( * 745450 )
NEW met1 ( 1336530 745450 ) ( 1538470 * )
NEW met1 ( 1259710 582930 ) M1M2_PR
NEW met2 ( 1259710 539580 ) M2M3_PR
NEW met1 ( 1538470 745450 ) M1M2_PR
NEW met2 ( 1538470 746300 ) M2M3_PR
NEW met1 ( 1336530 582930 ) M1M2_PR
NEW met1 ( 1336530 745450 ) M1M2_PR ;
- wbs_oram_dat_i\[4\] ( wb_openram_wrapper wbs_a_dat_i[4] ) ( wb_bridge_2way wbm_b_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 541620 ) ( * 544850 )
NEW met3 ( 1244760 541620 0 ) ( 1262010 * )
NEW met3 ( 1535940 749020 ) ( 1550200 * 0 )
NEW met4 ( 1535940 686460 ) ( * 749020 )
NEW met3 ( 1391730 686460 ) ( 1535940 * )
NEW met1 ( 1262010 544850 ) ( 1391730 * )
NEW met2 ( 1391730 544850 ) ( * 686460 )
NEW met3 ( 1535940 686460 ) M3M4_PR
NEW met1 ( 1262010 544850 ) M1M2_PR
NEW met2 ( 1262010 541620 ) M2M3_PR
NEW met3 ( 1535940 749020 ) M3M4_PR
NEW met2 ( 1391730 686460 ) M2M3_PR
NEW met1 ( 1391730 544850 ) M1M2_PR ;
- wbs_oram_dat_i\[5\] ( wb_openram_wrapper wbs_a_dat_i[5] ) ( wb_bridge_2way wbm_b_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 544340 ) ( * 544510 )
NEW met3 ( 1244760 544340 0 ) ( 1262470 * )
NEW met2 ( 1377930 544510 ) ( * 745790 )
NEW met2 ( 1538010 745790 ) ( * 751060 )
NEW met3 ( 1538010 751060 ) ( 1550200 * 0 )
NEW met1 ( 1262470 544510 ) ( 1377930 * )
NEW met1 ( 1377930 745790 ) ( 1538010 * )
NEW met1 ( 1262470 544510 ) M1M2_PR
NEW met2 ( 1262470 544340 ) M2M3_PR
NEW met1 ( 1377930 544510 ) M1M2_PR
NEW met1 ( 1377930 745790 ) M1M2_PR
NEW met1 ( 1538010 745790 ) M1M2_PR
NEW met2 ( 1538010 751060 ) M2M3_PR ;
- wbs_oram_dat_i\[6\] ( wb_openram_wrapper wbs_a_dat_i[6] ) ( wb_bridge_2way wbm_b_dat_o[6] ) + USE SIGNAL
+ ROUTED met1 ( 1258790 551990 ) ( 1273510 * )
NEW met2 ( 1258790 546380 ) ( * 551990 )
NEW met3 ( 1244760 546380 0 ) ( 1258790 * )
NEW met2 ( 1273510 551990 ) ( * 752250 )
NEW met2 ( 1538010 752250 ) ( * 753100 )
NEW met3 ( 1538010 753100 ) ( 1550200 * 0 )
NEW met1 ( 1273510 752250 ) ( 1538010 * )
NEW met1 ( 1273510 551990 ) M1M2_PR
NEW met1 ( 1258790 551990 ) M1M2_PR
NEW met2 ( 1258790 546380 ) M2M3_PR
NEW met1 ( 1273510 752250 ) M1M2_PR
NEW met1 ( 1538010 752250 ) M1M2_PR
NEW met2 ( 1538010 753100 ) M2M3_PR ;
- wbs_oram_dat_i\[7\] ( wb_openram_wrapper wbs_a_dat_i[7] ) ( wb_bridge_2way wbm_b_dat_o[7] ) + USE SIGNAL
+ ROUTED met4 ( 1262700 549100 ) ( * 555220 )
NEW met3 ( 1244760 549100 0 ) ( 1262700 * )
NEW met2 ( 1467170 555220 ) ( * 752930 )
NEW met2 ( 1538470 752930 ) ( * 755140 )
NEW met3 ( 1538470 755140 ) ( 1550200 * 0 )
NEW met3 ( 1262700 555220 ) ( 1467170 * )
NEW met1 ( 1467170 752930 ) ( 1538470 * )
NEW met3 ( 1262700 555220 ) M3M4_PR
NEW met3 ( 1262700 549100 ) M3M4_PR
NEW met2 ( 1467170 555220 ) M2M3_PR
NEW met1 ( 1467170 752930 ) M1M2_PR
NEW met1 ( 1538470 752930 ) M1M2_PR
NEW met2 ( 1538470 755140 ) M2M3_PR ;
- wbs_oram_dat_i\[8\] ( wb_openram_wrapper wbs_a_dat_i[8] ) ( wb_bridge_2way wbm_b_dat_o[8] ) + USE SIGNAL
+ ROUTED met1 ( 1262470 551650 ) ( 1279950 * )
NEW met2 ( 1262470 551140 ) ( * 551650 )
NEW met3 ( 1244760 551140 0 ) ( 1262470 * )
NEW met2 ( 1279950 551650 ) ( * 752590 )
NEW met2 ( 1537550 752590 ) ( * 757180 )
NEW met3 ( 1537550 757180 ) ( 1550200 * 0 )
NEW met1 ( 1279950 752590 ) ( 1537550 * )
NEW met1 ( 1279950 551650 ) M1M2_PR
NEW met1 ( 1262470 551650 ) M1M2_PR
NEW met2 ( 1262470 551140 ) M2M3_PR
NEW met1 ( 1279950 752590 ) M1M2_PR
NEW met1 ( 1537550 752590 ) M1M2_PR
NEW met2 ( 1537550 757180 ) M2M3_PR ;
- wbs_oram_dat_i\[9\] ( wb_openram_wrapper wbs_a_dat_i[9] ) ( wb_bridge_2way wbm_b_dat_o[9] ) + USE SIGNAL
+ ROUTED met3 ( 1533410 759220 ) ( 1550200 * 0 )
NEW met2 ( 1262470 553860 ) ( * 558110 )
NEW met3 ( 1244760 553860 0 ) ( 1262470 * )
NEW met2 ( 1533410 748510 ) ( * 759220 )
NEW met1 ( 1262470 558110 ) ( 1405530 * )
NEW met2 ( 1405530 558110 ) ( * 748510 )
NEW met1 ( 1405530 748510 ) ( 1533410 * )
NEW met2 ( 1533410 759220 ) M2M3_PR
NEW met1 ( 1262470 558110 ) M1M2_PR
NEW met2 ( 1262470 553860 ) M2M3_PR
NEW met1 ( 1533410 748510 ) M1M2_PR
NEW met1 ( 1405530 558110 ) M1M2_PR
NEW met1 ( 1405530 748510 ) M1M2_PR ;
- wbs_oram_dat_o\[0\] ( wb_openram_wrapper wbs_a_dat_o[0] ) ( wb_bridge_2way wbm_b_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 607580 ) ( * 613190 )
NEW met3 ( 1244760 607580 0 ) ( 1261550 * )
NEW met2 ( 1536630 807330 ) ( * 808180 )
NEW met3 ( 1536630 808180 ) ( 1550200 * 0 )
NEW met1 ( 1261550 613190 ) ( 1307550 * )
NEW met2 ( 1307550 613190 ) ( * 807330 )
NEW met1 ( 1307550 807330 ) ( 1536630 * )
NEW met1 ( 1261550 613190 ) M1M2_PR
NEW met2 ( 1261550 607580 ) M2M3_PR
NEW met1 ( 1536630 807330 ) M1M2_PR
NEW met2 ( 1536630 808180 ) M2M3_PR
NEW met1 ( 1307550 613190 ) M1M2_PR
NEW met1 ( 1307550 807330 ) M1M2_PR ;
- wbs_oram_dat_o\[10\] ( wb_openram_wrapper wbs_a_dat_o[10] ) ( wb_bridge_2way wbm_b_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 631380 ) ( * 633930 )
NEW met3 ( 1244760 631380 0 ) ( 1262010 * )
NEW met2 ( 1538470 824330 ) ( * 829260 )
NEW met3 ( 1538470 829260 ) ( 1550200 * 0 )
NEW met1 ( 1262010 633930 ) ( 1322270 * )
NEW met2 ( 1322270 633930 ) ( * 824330 )
NEW met1 ( 1322270 824330 ) ( 1538470 * )
NEW met1 ( 1262010 633930 ) M1M2_PR
NEW met2 ( 1262010 631380 ) M2M3_PR
NEW met1 ( 1538470 824330 ) M1M2_PR
NEW met2 ( 1538470 829260 ) M2M3_PR
NEW met1 ( 1322270 633930 ) M1M2_PR
NEW met1 ( 1322270 824330 ) M1M2_PR ;
- wbs_oram_dat_o\[11\] ( wb_openram_wrapper wbs_a_dat_o[11] ) ( wb_bridge_2way wbm_b_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 633420 ) ( * 634270 )
NEW met3 ( 1244760 633420 0 ) ( 1262470 * )
NEW met2 ( 1342050 634270 ) ( * 817530 )
NEW met2 ( 1537550 817530 ) ( * 831980 )
NEW met3 ( 1537550 831980 ) ( 1550200 * 0 )
NEW met1 ( 1262470 634270 ) ( 1342050 * )
NEW met1 ( 1342050 817530 ) ( 1537550 * )
NEW met1 ( 1262470 634270 ) M1M2_PR
NEW met2 ( 1262470 633420 ) M2M3_PR
NEW met1 ( 1342050 634270 ) M1M2_PR
NEW met1 ( 1342050 817530 ) M1M2_PR
NEW met1 ( 1537550 817530 ) M1M2_PR
NEW met2 ( 1537550 831980 ) M2M3_PR ;
- wbs_oram_dat_o\[12\] ( wb_openram_wrapper wbs_a_dat_o[12] ) ( wb_bridge_2way wbm_b_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 636140 ) ( * 640730 )
NEW met3 ( 1244760 636140 0 ) ( 1262010 * )
NEW met2 ( 1533870 828070 ) ( * 834020 )
NEW met3 ( 1533870 834020 ) ( 1550200 * 0 )
NEW met1 ( 1262010 640730 ) ( 1293750 * )
NEW met2 ( 1293750 640730 ) ( * 828070 )
NEW met1 ( 1293750 828070 ) ( 1533870 * )
NEW met1 ( 1262010 640730 ) M1M2_PR
NEW met2 ( 1262010 636140 ) M2M3_PR
NEW met1 ( 1533870 828070 ) M1M2_PR
NEW met2 ( 1533870 834020 ) M2M3_PR
NEW met1 ( 1293750 640730 ) M1M2_PR
NEW met1 ( 1293750 828070 ) M1M2_PR ;
- wbs_oram_dat_o\[13\] ( wb_openram_wrapper wbs_a_dat_o[13] ) ( wb_bridge_2way wbm_b_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 638180 ) ( * 641070 )
NEW met3 ( 1244760 638180 0 ) ( 1261550 * )
NEW met2 ( 1348950 641070 ) ( * 831470 )
NEW met2 ( 1538010 831470 ) ( * 836060 )
NEW met3 ( 1538010 836060 ) ( 1550200 * 0 )
NEW met1 ( 1261550 641070 ) ( 1348950 * )
NEW met1 ( 1348950 831470 ) ( 1538010 * )
NEW met1 ( 1261550 641070 ) M1M2_PR
NEW met2 ( 1261550 638180 ) M2M3_PR
NEW met1 ( 1348950 641070 ) M1M2_PR
NEW met1 ( 1348950 831470 ) M1M2_PR
NEW met1 ( 1538010 831470 ) M1M2_PR
NEW met2 ( 1538010 836060 ) M2M3_PR ;
- wbs_oram_dat_o\[14\] ( wb_openram_wrapper wbs_a_dat_o[14] ) ( wb_bridge_2way wbm_b_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 640900 ) ( * 641410 )
NEW met3 ( 1244760 640900 0 ) ( 1262470 * )
NEW met2 ( 1439570 641410 ) ( * 835550 )
NEW met2 ( 1538470 835550 ) ( * 838100 )
NEW met3 ( 1538470 838100 ) ( 1550200 * 0 )
NEW met1 ( 1262470 641410 ) ( 1439570 * )
NEW met1 ( 1439570 835550 ) ( 1538470 * )
NEW met1 ( 1262470 641410 ) M1M2_PR
NEW met2 ( 1262470 640900 ) M2M3_PR
NEW met1 ( 1439570 641410 ) M1M2_PR
NEW met1 ( 1439570 835550 ) M1M2_PR
NEW met1 ( 1538470 835550 ) M1M2_PR
NEW met2 ( 1538470 838100 ) M2M3_PR ;
- wbs_oram_dat_o\[15\] ( wb_openram_wrapper wbs_a_dat_o[15] ) ( wb_bridge_2way wbm_b_dat_i[15] ) + USE SIGNAL
+ ROUTED met1 ( 1261550 647870 ) ( 1286850 * )
NEW met2 ( 1261550 642940 ) ( * 647870 )
NEW met3 ( 1244760 642940 0 ) ( 1261550 * )
NEW met2 ( 1286850 647870 ) ( * 835210 )
NEW met2 ( 1537550 835210 ) ( * 840140 )
NEW met3 ( 1537550 840140 ) ( 1550200 * 0 )
NEW met1 ( 1286850 835210 ) ( 1537550 * )
NEW met1 ( 1286850 647870 ) M1M2_PR
NEW met1 ( 1261550 647870 ) M1M2_PR
NEW met2 ( 1261550 642940 ) M2M3_PR
NEW met1 ( 1286850 835210 ) M1M2_PR
NEW met1 ( 1537550 835210 ) M1M2_PR
NEW met2 ( 1537550 840140 ) M2M3_PR ;
- wbs_oram_dat_o\[16\] ( wb_openram_wrapper wbs_a_dat_o[16] ) ( wb_bridge_2way wbm_b_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 645660 ) ( * 648210 )
NEW met3 ( 1244760 645660 0 ) ( 1262010 * )
NEW met2 ( 1538010 838270 ) ( * 842180 )
NEW met3 ( 1538010 842180 ) ( 1550200 * 0 )
NEW met1 ( 1262010 648210 ) ( 1329170 * )
NEW met2 ( 1329170 648210 ) ( * 838270 )
NEW met1 ( 1329170 838270 ) ( 1538010 * )
NEW met1 ( 1262010 648210 ) M1M2_PR
NEW met2 ( 1262010 645660 ) M2M3_PR
NEW met1 ( 1538010 838270 ) M1M2_PR
NEW met2 ( 1538010 842180 ) M2M3_PR
NEW met1 ( 1329170 648210 ) M1M2_PR
NEW met1 ( 1329170 838270 ) M1M2_PR ;
- wbs_oram_dat_o\[17\] ( wb_openram_wrapper wbs_a_dat_o[17] ) ( wb_bridge_2way wbm_b_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 647700 ) ( * 648550 )
NEW met3 ( 1244760 647700 0 ) ( 1262470 * )
NEW met2 ( 1538470 842350 ) ( * 844220 )
NEW met3 ( 1538470 844220 ) ( 1550200 * 0 )
NEW met1 ( 1262470 648550 ) ( 1391270 * )
NEW met2 ( 1391270 648550 ) ( * 842350 )
NEW met1 ( 1391270 842350 ) ( 1538470 * )
NEW met1 ( 1262470 648550 ) M1M2_PR
NEW met2 ( 1262470 647700 ) M2M3_PR
NEW met1 ( 1538470 842350 ) M1M2_PR
NEW met2 ( 1538470 844220 ) M2M3_PR
NEW met1 ( 1391270 648550 ) M1M2_PR
NEW met1 ( 1391270 842350 ) M1M2_PR ;
- wbs_oram_dat_o\[18\] ( wb_openram_wrapper wbs_a_dat_o[18] ) ( wb_bridge_2way wbm_b_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 650420 ) ( * 655010 )
NEW met3 ( 1244760 650420 0 ) ( 1261550 * )
NEW met2 ( 1537550 842010 ) ( * 846260 )
NEW met3 ( 1537550 846260 ) ( 1550200 * 0 )
NEW met1 ( 1261550 655010 ) ( 1335610 * )
NEW met2 ( 1335610 655010 ) ( * 842010 )
NEW met1 ( 1335610 842010 ) ( 1537550 * )
NEW met1 ( 1261550 655010 ) M1M2_PR
NEW met2 ( 1261550 650420 ) M2M3_PR
NEW met1 ( 1537550 842010 ) M1M2_PR
NEW met2 ( 1537550 846260 ) M2M3_PR
NEW met1 ( 1335610 655010 ) M1M2_PR
NEW met1 ( 1335610 842010 ) M1M2_PR ;
- wbs_oram_dat_o\[19\] ( wb_openram_wrapper wbs_a_dat_o[19] ) ( wb_bridge_2way wbm_b_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 652460 ) ( * 654670 )
NEW met3 ( 1244760 652460 0 ) ( 1262470 * )
NEW met2 ( 1538470 848810 ) ( * 848980 )
NEW met3 ( 1538470 848980 ) ( 1550200 * 0 )
NEW met1 ( 1262470 654670 ) ( 1314450 * )
NEW met2 ( 1314450 654670 ) ( * 848810 )
NEW met1 ( 1314450 848810 ) ( 1538470 * )
NEW met1 ( 1262470 654670 ) M1M2_PR
NEW met2 ( 1262470 652460 ) M2M3_PR
NEW met1 ( 1538470 848810 ) M1M2_PR
NEW met2 ( 1538470 848980 ) M2M3_PR
NEW met1 ( 1314450 654670 ) M1M2_PR
NEW met1 ( 1314450 848810 ) M1M2_PR ;
- wbs_oram_dat_o\[1\] ( wb_openram_wrapper wbs_a_dat_o[1] ) ( wb_bridge_2way wbm_b_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 610300 ) ( * 613530 )
NEW met3 ( 1244760 610300 0 ) ( 1262010 * )
NEW met2 ( 1363670 613530 ) ( * 807670 )
NEW met2 ( 1538470 807670 ) ( * 810220 )
NEW met3 ( 1538470 810220 ) ( 1550200 * 0 )
NEW met1 ( 1262010 613530 ) ( 1363670 * )
NEW met1 ( 1363670 807670 ) ( 1538470 * )
NEW met1 ( 1262010 613530 ) M1M2_PR
NEW met2 ( 1262010 610300 ) M2M3_PR
NEW met1 ( 1363670 613530 ) M1M2_PR
NEW met1 ( 1363670 807670 ) M1M2_PR
NEW met1 ( 1538470 807670 ) M1M2_PR
NEW met2 ( 1538470 810220 ) M2M3_PR ;
- wbs_oram_dat_o\[20\] ( wb_openram_wrapper wbs_a_dat_o[20] ) ( wb_bridge_2way wbm_b_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 654500 ) ( * 655350 )
NEW met3 ( 1244760 654500 0 ) ( 1262010 * )
NEW met2 ( 1452910 655350 ) ( * 845070 )
NEW met2 ( 1535710 845070 ) ( * 851020 )
NEW met3 ( 1535710 851020 ) ( 1550200 * 0 )
NEW met1 ( 1262010 655350 ) ( 1452910 * )
NEW met1 ( 1452910 845070 ) ( 1535710 * )
NEW met1 ( 1262010 655350 ) M1M2_PR
NEW met2 ( 1262010 654500 ) M2M3_PR
NEW met1 ( 1452910 655350 ) M1M2_PR
NEW met1 ( 1452910 845070 ) M1M2_PR
NEW met1 ( 1535710 845070 ) M1M2_PR
NEW met2 ( 1535710 851020 ) M2M3_PR ;
- wbs_oram_dat_o\[21\] ( wb_openram_wrapper wbs_a_dat_o[21] ) ( wb_bridge_2way wbm_b_dat_i[21] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 657220 0 ) ( 1260630 * )
NEW met2 ( 1260630 657220 ) ( * 721650 )
NEW met2 ( 1342970 721650 ) ( * 849150 )
NEW met2 ( 1538010 849150 ) ( * 853060 )
NEW met3 ( 1538010 853060 ) ( 1550200 * 0 )
NEW met1 ( 1260630 721650 ) ( 1342970 * )
NEW met1 ( 1342970 849150 ) ( 1538010 * )
NEW met2 ( 1260630 657220 ) M2M3_PR
NEW met1 ( 1260630 721650 ) M1M2_PR
NEW met1 ( 1342970 721650 ) M1M2_PR
NEW met1 ( 1342970 849150 ) M1M2_PR
NEW met1 ( 1538010 849150 ) M1M2_PR
NEW met2 ( 1538010 853060 ) M2M3_PR ;
- wbs_oram_dat_o\[22\] ( wb_openram_wrapper wbs_a_dat_o[22] ) ( wb_bridge_2way wbm_b_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 659260 ) ( * 662150 )
NEW met3 ( 1244760 659260 0 ) ( 1262010 * )
NEW met2 ( 1446470 662150 ) ( * 849490 )
NEW met2 ( 1536630 849490 ) ( * 855100 )
NEW met3 ( 1536630 855100 ) ( 1550200 * 0 )
NEW met1 ( 1262010 662150 ) ( 1446470 * )
NEW met1 ( 1446470 849490 ) ( 1536630 * )
NEW met1 ( 1262010 662150 ) M1M2_PR
NEW met2 ( 1262010 659260 ) M2M3_PR
NEW met1 ( 1446470 662150 ) M1M2_PR
NEW met1 ( 1446470 849490 ) M1M2_PR
NEW met1 ( 1536630 849490 ) M1M2_PR
NEW met2 ( 1536630 855100 ) M2M3_PR ;
- wbs_oram_dat_o\[23\] ( wb_openram_wrapper wbs_a_dat_o[23] ) ( wb_bridge_2way wbm_b_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 1536630 855610 ) ( * 857140 )
NEW met3 ( 1536630 857140 ) ( 1550200 * 0 )
NEW met2 ( 1262470 661810 ) ( * 661980 )
NEW met3 ( 1244760 661980 0 ) ( 1262470 * )
NEW met2 ( 1370110 661810 ) ( * 855610 )
NEW met1 ( 1370110 855610 ) ( 1536630 * )
NEW met1 ( 1262470 661810 ) ( 1370110 * )
NEW met1 ( 1370110 855610 ) M1M2_PR
NEW met1 ( 1536630 855610 ) M1M2_PR
NEW met2 ( 1536630 857140 ) M2M3_PR
NEW met1 ( 1262470 661810 ) M1M2_PR
NEW met2 ( 1262470 661980 ) M2M3_PR
NEW met1 ( 1370110 661810 ) M1M2_PR ;
- wbs_oram_dat_o\[24\] ( wb_openram_wrapper wbs_a_dat_o[24] ) ( wb_bridge_2way wbm_b_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 664020 ) ( * 669290 )
NEW met3 ( 1244760 664020 0 ) ( 1261550 * )
NEW met2 ( 1538470 856290 ) ( * 859180 )
NEW met3 ( 1538470 859180 ) ( 1550200 * 0 )
NEW met1 ( 1261550 669290 ) ( 1522370 * )
NEW met1 ( 1522370 856290 ) ( 1538470 * )
NEW met2 ( 1522370 669290 ) ( * 856290 )
NEW met1 ( 1261550 669290 ) M1M2_PR
NEW met2 ( 1261550 664020 ) M2M3_PR
NEW met1 ( 1538470 856290 ) M1M2_PR
NEW met2 ( 1538470 859180 ) M2M3_PR
NEW met1 ( 1522370 669290 ) M1M2_PR
NEW met1 ( 1522370 856290 ) M1M2_PR ;
- wbs_oram_dat_o\[25\] ( wb_openram_wrapper wbs_a_dat_o[25] ) ( wb_bridge_2way wbm_b_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 666740 ) ( * 668950 )
NEW met3 ( 1244760 666740 0 ) ( 1262010 * )
NEW met2 ( 1532950 855950 ) ( * 861220 )
NEW met3 ( 1532950 861220 ) ( 1550200 * 0 )
NEW met1 ( 1262010 668950 ) ( 1432210 * )
NEW met1 ( 1432210 855950 ) ( 1532950 * )
NEW met2 ( 1432210 668950 ) ( * 855950 )
NEW met1 ( 1262010 668950 ) M1M2_PR
NEW met2 ( 1262010 666740 ) M2M3_PR
NEW met1 ( 1532950 855950 ) M1M2_PR
NEW met2 ( 1532950 861220 ) M2M3_PR
NEW met1 ( 1432210 668950 ) M1M2_PR
NEW met1 ( 1432210 855950 ) M1M2_PR ;
- wbs_oram_dat_o\[26\] ( wb_openram_wrapper wbs_a_dat_o[26] ) ( wb_bridge_2way wbm_b_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 668610 ) ( * 668780 )
NEW met3 ( 1244760 668780 0 ) ( 1262470 * )
NEW met2 ( 1538470 862750 ) ( * 863260 )
NEW met3 ( 1538470 863260 ) ( 1550200 * 0 )
NEW met1 ( 1262470 668610 ) ( 1321350 * )
NEW met1 ( 1321350 862750 ) ( 1538470 * )
NEW met2 ( 1321350 668610 ) ( * 862750 )
NEW met1 ( 1262470 668610 ) M1M2_PR
NEW met2 ( 1262470 668780 ) M2M3_PR
NEW met1 ( 1538470 862750 ) M1M2_PR
NEW met2 ( 1538470 863260 ) M2M3_PR
NEW met1 ( 1321350 668610 ) M1M2_PR
NEW met1 ( 1321350 862750 ) M1M2_PR ;
- wbs_oram_dat_o\[27\] ( wb_openram_wrapper wbs_a_dat_o[27] ) ( wb_bridge_2way wbm_b_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 671500 ) ( * 675750 )
NEW met3 ( 1244760 671500 0 ) ( 1262010 * )
NEW met2 ( 1537550 863090 ) ( * 865980 )
NEW met3 ( 1537550 865980 ) ( 1550200 * 0 )
NEW met2 ( 1355850 675750 ) ( * 863090 )
NEW met1 ( 1262010 675750 ) ( 1355850 * )
NEW met1 ( 1355850 863090 ) ( 1537550 * )
NEW met1 ( 1262010 675750 ) M1M2_PR
NEW met2 ( 1262010 671500 ) M2M3_PR
NEW met1 ( 1355850 675750 ) M1M2_PR
NEW met1 ( 1355850 863090 ) M1M2_PR
NEW met1 ( 1537550 863090 ) M1M2_PR
NEW met2 ( 1537550 865980 ) M2M3_PR ;
- wbs_oram_dat_o\[28\] ( wb_openram_wrapper wbs_a_dat_o[28] ) ( wb_bridge_2way wbm_b_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 673540 ) ( * 676090 )
NEW met3 ( 1244760 673540 0 ) ( 1262470 * )
NEW met2 ( 1538010 863430 ) ( * 868020 )
NEW met3 ( 1538010 868020 ) ( 1550200 * 0 )
NEW met1 ( 1262470 676090 ) ( 1425310 * )
NEW met1 ( 1425310 863430 ) ( 1538010 * )
NEW met2 ( 1425310 676090 ) ( * 863430 )
NEW met1 ( 1262470 676090 ) M1M2_PR
NEW met2 ( 1262470 673540 ) M2M3_PR
NEW met1 ( 1538010 863430 ) M1M2_PR
NEW met2 ( 1538010 868020 ) M2M3_PR
NEW met1 ( 1425310 676090 ) M1M2_PR
NEW met1 ( 1425310 863430 ) M1M2_PR ;
- wbs_oram_dat_o\[29\] ( wb_openram_wrapper wbs_a_dat_o[29] ) ( wb_bridge_2way wbm_b_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 676260 ) ( * 682890 )
NEW met3 ( 1244760 676260 0 ) ( 1261550 * )
NEW met2 ( 1538010 870060 ) ( * 870230 )
NEW met3 ( 1538010 870060 ) ( 1550200 * 0 )
NEW met1 ( 1261550 682890 ) ( 1514550 * )
NEW met1 ( 1514550 870230 ) ( 1538010 * )
NEW met2 ( 1514550 682890 ) ( * 870230 )
NEW met1 ( 1261550 682890 ) M1M2_PR
NEW met2 ( 1261550 676260 ) M2M3_PR
NEW met1 ( 1538010 870230 ) M1M2_PR
NEW met2 ( 1538010 870060 ) M2M3_PR
NEW met1 ( 1514550 682890 ) M1M2_PR
NEW met1 ( 1514550 870230 ) M1M2_PR ;
- wbs_oram_dat_o\[2\] ( wb_openram_wrapper wbs_a_dat_o[2] ) ( wb_bridge_2way wbm_b_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 612340 ) ( * 613870 )
NEW met3 ( 1244760 612340 0 ) ( 1262470 * )
NEW met2 ( 1480510 613870 ) ( * 808010 )
NEW met2 ( 1538010 808010 ) ( * 812260 )
NEW met3 ( 1538010 812260 ) ( 1550200 * 0 )
NEW met1 ( 1262470 613870 ) ( 1480510 * )
NEW met1 ( 1480510 808010 ) ( 1538010 * )
NEW met1 ( 1262470 613870 ) M1M2_PR
NEW met2 ( 1262470 612340 ) M2M3_PR
NEW met1 ( 1480510 613870 ) M1M2_PR
NEW met1 ( 1480510 808010 ) M1M2_PR
NEW met1 ( 1538010 808010 ) M1M2_PR
NEW met2 ( 1538010 812260 ) M2M3_PR ;
- wbs_oram_dat_o\[30\] ( wb_openram_wrapper wbs_a_dat_o[30] ) ( wb_bridge_2way wbm_b_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 678300 ) ( * 682210 )
NEW met3 ( 1244760 678300 0 ) ( 1262010 * )
NEW met2 ( 1538470 870570 ) ( * 872100 )
NEW met3 ( 1538470 872100 ) ( 1550200 * 0 )
NEW met1 ( 1262010 682210 ) ( 1335150 * )
NEW met1 ( 1335150 870570 ) ( 1538470 * )
NEW met2 ( 1335150 682210 ) ( * 870570 )
NEW met1 ( 1262010 682210 ) M1M2_PR
NEW met2 ( 1262010 678300 ) M2M3_PR
NEW met1 ( 1538470 870570 ) M1M2_PR
NEW met2 ( 1538470 872100 ) M2M3_PR
NEW met1 ( 1335150 682210 ) M1M2_PR
NEW met1 ( 1335150 870570 ) M1M2_PR ;
- wbs_oram_dat_o\[31\] ( wb_openram_wrapper wbs_a_dat_o[31] ) ( wb_bridge_2way wbm_b_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 681020 ) ( * 682550 )
NEW met3 ( 1244760 681020 0 ) ( 1262470 * )
NEW met2 ( 1537550 869890 ) ( * 874140 )
NEW met3 ( 1537550 874140 ) ( 1550200 * 0 )
NEW met1 ( 1262470 682550 ) ( 1487410 * )
NEW met1 ( 1487410 869890 ) ( 1537550 * )
NEW met2 ( 1487410 682550 ) ( * 869890 )
NEW met1 ( 1262470 682550 ) M1M2_PR
NEW met2 ( 1262470 681020 ) M2M3_PR
NEW met1 ( 1537550 869890 ) M1M2_PR
NEW met2 ( 1537550 874140 ) M2M3_PR
NEW met1 ( 1487410 682550 ) M1M2_PR
NEW met1 ( 1487410 869890 ) M1M2_PR ;
- wbs_oram_dat_o\[3\] ( wb_openram_wrapper wbs_a_dat_o[3] ) ( wb_bridge_2way wbm_b_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 615060 ) ( * 619990 )
NEW met3 ( 1244760 615060 0 ) ( 1261550 * )
NEW met2 ( 1535710 814470 ) ( * 814980 )
NEW met3 ( 1535710 814980 ) ( 1550200 * 0 )
NEW met1 ( 1261550 619990 ) ( 1308470 * )
NEW met2 ( 1308470 619990 ) ( * 814470 )
NEW met1 ( 1308470 814470 ) ( 1535710 * )
NEW met1 ( 1261550 619990 ) M1M2_PR
NEW met2 ( 1261550 615060 ) M2M3_PR
NEW met1 ( 1535710 814470 ) M1M2_PR
NEW met2 ( 1535710 814980 ) M2M3_PR
NEW met1 ( 1308470 619990 ) M1M2_PR
NEW met1 ( 1308470 814470 ) M1M2_PR ;
- wbs_oram_dat_o\[4\] ( wb_openram_wrapper wbs_a_dat_o[4] ) ( wb_bridge_2way wbm_b_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 617100 ) ( * 620670 )
NEW met3 ( 1244760 617100 0 ) ( 1262010 * )
NEW met2 ( 1538470 815150 ) ( * 817020 )
NEW met3 ( 1538470 817020 ) ( 1550200 * 0 )
NEW met1 ( 1262010 620670 ) ( 1521910 * )
NEW met2 ( 1521910 620670 ) ( * 815150 )
NEW met1 ( 1521910 815150 ) ( 1538470 * )
NEW met1 ( 1262010 620670 ) M1M2_PR
NEW met2 ( 1262010 617100 ) M2M3_PR
NEW met1 ( 1538470 815150 ) M1M2_PR
NEW met2 ( 1538470 817020 ) M2M3_PR
NEW met1 ( 1521910 620670 ) M1M2_PR
NEW met1 ( 1521910 815150 ) M1M2_PR ;
- wbs_oram_dat_o\[5\] ( wb_openram_wrapper wbs_a_dat_o[5] ) ( wb_bridge_2way wbm_b_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 619820 ) ( * 620330 )
NEW met3 ( 1244760 619820 0 ) ( 1262470 * )
NEW met2 ( 1538010 814810 ) ( * 819060 )
NEW met3 ( 1538010 819060 ) ( 1550200 * 0 )
NEW met1 ( 1262470 620330 ) ( 1494770 * )
NEW met2 ( 1494770 620330 ) ( * 814810 )
NEW met1 ( 1494770 814810 ) ( 1538010 * )
NEW met1 ( 1262470 620330 ) M1M2_PR
NEW met2 ( 1262470 619820 ) M2M3_PR
NEW met1 ( 1538010 814810 ) M1M2_PR
NEW met2 ( 1538010 819060 ) M2M3_PR
NEW met1 ( 1494770 620330 ) M1M2_PR
NEW met1 ( 1494770 814810 ) M1M2_PR ;
- wbs_oram_dat_o\[6\] ( wb_openram_wrapper wbs_a_dat_o[6] ) ( wb_bridge_2way wbm_b_dat_i[6] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 621860 0 ) ( 1261090 * )
NEW met2 ( 1261090 621860 ) ( * 686290 )
NEW met2 ( 1538470 821100 ) ( * 821270 )
NEW met3 ( 1538470 821100 ) ( 1550200 * 0 )
NEW met1 ( 1261090 686290 ) ( 1301570 * )
NEW met2 ( 1301570 686290 ) ( * 821270 )
NEW met1 ( 1301570 821270 ) ( 1538470 * )
NEW met1 ( 1261090 686290 ) M1M2_PR
NEW met2 ( 1261090 621860 ) M2M3_PR
NEW met1 ( 1538470 821270 ) M1M2_PR
NEW met2 ( 1538470 821100 ) M2M3_PR
NEW met1 ( 1301570 686290 ) M1M2_PR
NEW met1 ( 1301570 821270 ) M1M2_PR ;
- wbs_oram_dat_o\[7\] ( wb_openram_wrapper wbs_a_dat_o[7] ) ( wb_bridge_2way wbm_b_dat_i[7] ) + USE SIGNAL
+ ROUTED met3 ( 1244760 623900 0 ) ( 1260170 * )
NEW met2 ( 1260170 623900 ) ( * 707030 )
NEW met2 ( 1473610 707030 ) ( * 821610 )
NEW met2 ( 1538010 821610 ) ( * 823140 )
NEW met3 ( 1538010 823140 ) ( 1550200 * 0 )
NEW met1 ( 1260170 707030 ) ( 1473610 * )
NEW met1 ( 1473610 821610 ) ( 1538010 * )
NEW met1 ( 1260170 707030 ) M1M2_PR
NEW met1 ( 1473610 707030 ) M1M2_PR
NEW met2 ( 1260170 623900 ) M2M3_PR
NEW met1 ( 1473610 821610 ) M1M2_PR
NEW met1 ( 1538010 821610 ) M1M2_PR
NEW met2 ( 1538010 823140 ) M2M3_PR ;
- wbs_oram_dat_o\[8\] ( wb_openram_wrapper wbs_a_dat_o[8] ) ( wb_bridge_2way wbm_b_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 626620 ) ( * 627810 )
NEW met3 ( 1244760 626620 0 ) ( 1262470 * )
NEW met3 ( 1536170 825180 ) ( 1550200 * 0 )
NEW met2 ( 1536170 672690 ) ( * 825180 )
NEW met1 ( 1487410 672690 ) ( 1536170 * )
NEW met1 ( 1262470 627810 ) ( 1487410 * )
NEW met2 ( 1487410 627810 ) ( * 672690 )
NEW met1 ( 1536170 672690 ) M1M2_PR
NEW met1 ( 1262470 627810 ) M1M2_PR
NEW met2 ( 1262470 626620 ) M2M3_PR
NEW met2 ( 1536170 825180 ) M2M3_PR
NEW met1 ( 1487410 672690 ) M1M2_PR
NEW met1 ( 1487410 627810 ) M1M2_PR ;
- wbs_oram_dat_o\[9\] ( wb_openram_wrapper wbs_a_dat_o[9] ) ( wb_bridge_2way wbm_b_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 628660 ) ( * 634610 )
NEW met3 ( 1244760 628660 0 ) ( 1261550 * )
NEW met3 ( 1537090 827220 ) ( 1550200 * 0 )
NEW met2 ( 1537090 803590 ) ( * 827220 )
NEW met1 ( 1418870 803590 ) ( 1537090 * )
NEW met1 ( 1261550 634610 ) ( 1418870 * )
NEW met2 ( 1418870 634610 ) ( * 803590 )
NEW met1 ( 1537090 803590 ) M1M2_PR
NEW met1 ( 1261550 634610 ) M1M2_PR
NEW met2 ( 1261550 628660 ) M2M3_PR
NEW met2 ( 1537090 827220 ) M2M3_PR
NEW met1 ( 1418870 803590 ) M1M2_PR
NEW met1 ( 1418870 634610 ) M1M2_PR ;
- wbs_oram_sel_i\[0\] ( wb_openram_wrapper wbs_a_sel_i[0] ) ( wb_bridge_2way wbm_b_sel_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 497420 ) ( * 502690 )
NEW met3 ( 1244760 497420 0 ) ( 1262010 * )
NEW met2 ( 1535250 679490 ) ( * 708220 )
NEW met3 ( 1535250 708220 ) ( 1550200 * 0 )
NEW met2 ( 1342970 502690 ) ( * 679490 )
NEW met1 ( 1262010 502690 ) ( 1342970 * )
NEW met1 ( 1342970 679490 ) ( 1535250 * )
NEW met1 ( 1262010 502690 ) M1M2_PR
NEW met2 ( 1262010 497420 ) M2M3_PR
NEW met1 ( 1342970 502690 ) M1M2_PR
NEW met1 ( 1342970 679490 ) M1M2_PR
NEW met1 ( 1535250 679490 ) M1M2_PR
NEW met2 ( 1535250 708220 ) M2M3_PR ;
- wbs_oram_sel_i\[1\] ( wb_openram_wrapper wbs_a_sel_i[1] ) ( wb_bridge_2way wbm_b_sel_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 1261550 499460 ) ( * 503370 )
NEW met3 ( 1244760 499460 0 ) ( 1261550 * )
NEW met2 ( 1534790 704650 ) ( * 710260 )
NEW met3 ( 1534790 710260 ) ( 1550200 * 0 )
NEW met1 ( 1261550 503370 ) ( 1509490 * )
NEW met1 ( 1509490 704650 ) ( 1534790 * )
NEW met2 ( 1509490 503370 ) ( * 704650 )
NEW met1 ( 1261550 503370 ) M1M2_PR
NEW met2 ( 1261550 499460 ) M2M3_PR
NEW met1 ( 1534790 704650 ) M1M2_PR
NEW met2 ( 1534790 710260 ) M2M3_PR
NEW met1 ( 1509490 503370 ) M1M2_PR
NEW met1 ( 1509490 704650 ) M1M2_PR ;
- wbs_oram_sel_i\[2\] ( wb_openram_wrapper wbs_a_sel_i[2] ) ( wb_bridge_2way wbm_b_sel_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 1262470 502180 ) ( * 503030 )
NEW met3 ( 1244760 502180 0 ) ( 1262470 * )
NEW met2 ( 1446930 503030 ) ( * 711110 )
NEW met2 ( 1538470 711110 ) ( * 712300 )
NEW met3 ( 1538470 712300 ) ( 1550200 * 0 )
NEW met1 ( 1262470 503030 ) ( 1446930 * )
NEW met1 ( 1446930 711110 ) ( 1538470 * )
NEW met1 ( 1262470 503030 ) M1M2_PR
NEW met2 ( 1262470 502180 ) M2M3_PR
NEW met1 ( 1446930 503030 ) M1M2_PR
NEW met1 ( 1446930 711110 ) M1M2_PR
NEW met1 ( 1538470 711110 ) M1M2_PR
NEW met2 ( 1538470 712300 ) M2M3_PR ;
- wbs_oram_sel_i\[3\] ( wb_openram_wrapper wbs_a_sel_i[3] ) ( wb_bridge_2way wbm_b_sel_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 504220 ) ( * 510170 )
NEW met3 ( 1244760 504220 0 ) ( 1262010 * )
NEW met2 ( 1440030 510170 ) ( * 707370 )
NEW met3 ( 1532950 715020 ) ( 1550200 * 0 )
NEW met2 ( 1532950 707370 ) ( * 715020 )
NEW met1 ( 1262010 510170 ) ( 1440030 * )
NEW met1 ( 1440030 707370 ) ( 1532950 * )
NEW met1 ( 1262010 510170 ) M1M2_PR
NEW met2 ( 1262010 504220 ) M2M3_PR
NEW met1 ( 1440030 510170 ) M1M2_PR
NEW met1 ( 1440030 707370 ) M1M2_PR
NEW met1 ( 1532950 707370 ) M1M2_PR
NEW met2 ( 1532950 715020 ) M2M3_PR ;
- wbs_oram_stb_i ( wb_openram_wrapper wbs_a_stb_i ) ( wb_bridge_2way wbm_b_stb_o ) + USE SIGNAL
+ ROUTED met2 ( 1261090 487900 ) ( * 507110 )
NEW met3 ( 1244760 487900 0 ) ( 1261090 * )
NEW met2 ( 1532950 697510 ) ( * 702100 )
NEW met3 ( 1532950 702100 ) ( 1550200 * 0 )
NEW met2 ( 1357230 507110 ) ( * 697510 )
NEW met1 ( 1261090 507110 ) ( 1357230 * )
NEW met1 ( 1357230 697510 ) ( 1532950 * )
NEW met1 ( 1261090 507110 ) M1M2_PR
NEW met2 ( 1261090 487900 ) M2M3_PR
NEW met1 ( 1357230 507110 ) M1M2_PR
NEW met1 ( 1357230 697510 ) M1M2_PR
NEW met1 ( 1532950 697510 ) M1M2_PR
NEW met2 ( 1532950 702100 ) M2M3_PR ;
- wbs_oram_we_i ( wb_openram_wrapper wbs_a_we_i ) ( wb_bridge_2way wbm_b_we_o ) + USE SIGNAL
+ ROUTED met2 ( 1262010 492660 ) ( * 496570 )
NEW met3 ( 1244760 492660 0 ) ( 1262010 * )
NEW met2 ( 1538010 704310 ) ( * 706180 )
NEW met3 ( 1538010 706180 ) ( 1550200 * 0 )
NEW met1 ( 1262010 496570 ) ( 1516390 * )
NEW met1 ( 1516390 704310 ) ( 1538010 * )
NEW met2 ( 1516390 496570 ) ( * 704310 )
NEW met1 ( 1262010 496570 ) M1M2_PR
NEW met2 ( 1262010 492660 ) M2M3_PR
NEW met1 ( 1538010 704310 ) M1M2_PR
NEW met2 ( 1538010 706180 ) M2M3_PR
NEW met1 ( 1516390 496570 ) M1M2_PR
NEW met1 ( 1516390 704310 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( wb_bridge_2way wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1538010 483310 ) ( * 487220 )
NEW met3 ( 1538010 487220 ) ( 1550200 * 0 )
NEW met1 ( 1335610 483310 ) ( 1538010 * )
NEW met2 ( 55890 1700 0 ) ( * 34500 )
NEW met2 ( 55430 34500 ) ( 55890 * )
NEW met2 ( 55430 34500 ) ( * 265370 )
NEW met1 ( 55430 265370 ) ( 1335610 * )
NEW met2 ( 1335610 265370 ) ( * 483310 )
NEW met1 ( 1538010 483310 ) M1M2_PR
NEW met2 ( 1538010 487220 ) M2M3_PR
NEW met1 ( 1335610 483310 ) M1M2_PR
NEW met1 ( 55430 265370 ) M1M2_PR
NEW met1 ( 1335610 265370 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( wb_bridge_2way wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met3 ( 1536170 489260 ) ( 1550200 * 0 )
NEW met2 ( 1536170 272510 ) ( * 489260 )
NEW met2 ( 76130 82800 ) ( 79810 * )
NEW met2 ( 79810 1700 0 ) ( * 82800 )
NEW met2 ( 76130 82800 ) ( * 272510 )
NEW met1 ( 76130 272510 ) ( 1536170 * )
NEW met2 ( 1536170 489260 ) M2M3_PR
NEW met1 ( 1536170 272510 ) M1M2_PR
NEW met1 ( 76130 272510 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( wb_bridge_2way wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 101430 1700 ) ( 103270 * 0 )
NEW met2 ( 101430 1700 ) ( * 17510 )
NEW met1 ( 96830 17510 ) ( 101430 * )
NEW met2 ( 1536630 490110 ) ( * 491300 )
NEW met3 ( 1536630 491300 ) ( 1550200 * 0 )
NEW met2 ( 96830 17510 ) ( * 251770 )
NEW met2 ( 1246370 251770 ) ( * 490110 )
NEW met1 ( 1246370 490110 ) ( 1536630 * )
NEW met1 ( 96830 251770 ) ( 1246370 * )
NEW met1 ( 101430 17510 ) M1M2_PR
NEW met1 ( 96830 17510 ) M1M2_PR
NEW met1 ( 1246370 490110 ) M1M2_PR
NEW met1 ( 1536630 490110 ) M1M2_PR
NEW met2 ( 1536630 491300 ) M2M3_PR
NEW met1 ( 96830 251770 ) M1M2_PR
NEW met1 ( 1246370 251770 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( wb_bridge_2way wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 1538470 490790 ) ( * 493340 )
NEW met3 ( 1538470 493340 ) ( 1550200 * 0 )
NEW met2 ( 124430 82800 ) ( 126730 * )
NEW met2 ( 126730 1700 0 ) ( * 82800 )
NEW met2 ( 124430 82800 ) ( * 155210 )
NEW met1 ( 1515010 490790 ) ( 1538470 * )
NEW met1 ( 124430 155210 ) ( 1515010 * )
NEW met2 ( 1515010 155210 ) ( * 490790 )
NEW met1 ( 1538470 490790 ) M1M2_PR
NEW met2 ( 1538470 493340 ) M2M3_PR
NEW met1 ( 124430 155210 ) M1M2_PR
NEW met1 ( 1515010 490790 ) M1M2_PR
NEW met1 ( 1515010 155210 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( wb_bridge_2way wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 1538470 476170 ) ( * 481100 )
NEW met3 ( 1538470 481100 ) ( 1550660 * 0 )
NEW met2 ( 20930 82800 ) ( 26450 * )
NEW met2 ( 26450 1700 0 ) ( * 82800 )
NEW met2 ( 20930 82800 ) ( * 300050 )
NEW met2 ( 1259250 300050 ) ( * 476170 )
NEW met1 ( 20930 300050 ) ( 1259250 * )
NEW met1 ( 1259250 476170 ) ( 1538470 * )
NEW met1 ( 20930 300050 ) M1M2_PR
NEW met1 ( 1259250 300050 ) M1M2_PR
NEW met1 ( 1259250 476170 ) M1M2_PR
NEW met1 ( 1538470 476170 ) M1M2_PR
NEW met2 ( 1538470 481100 ) M2M3_PR ;
- wbs_uprj_ack_o ( wrapped_function_generator_0 wbs_ack_o ) ( wb_bridge_2way wbm_a_ack_i ) + USE SIGNAL
+ ROUTED met2 ( 213670 1066410 ) ( * 1387030 )
NEW met1 ( 213670 1066410 ) ( 1521910 * )
NEW met3 ( 1606780 877540 0 ) ( * 878900 )
NEW met3 ( 1521910 878900 ) ( 1606780 * )
NEW met2 ( 338790 1387030 ) ( * 1388900 )
NEW met3 ( 338790 1388900 ) ( 350980 * )
NEW met3 ( 350980 1388900 ) ( * 1390940 0 )
NEW met1 ( 213670 1387030 ) ( 338790 * )
NEW met2 ( 1521910 878900 ) ( * 1066410 )
NEW met1 ( 213670 1066410 ) M1M2_PR
NEW met1 ( 213670 1387030 ) M1M2_PR
NEW met2 ( 1521910 878900 ) M2M3_PR
NEW met1 ( 1521910 1066410 ) M1M2_PR
NEW met1 ( 338790 1387030 ) M1M2_PR
NEW met2 ( 338790 1388900 ) M2M3_PR ;
- wbs_uprj_adr_i\[0\] ( wrapped_function_generator_0 wbs_adr_i[0] ) ( wb_bridge_2way wbm_a_adr_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 219650 963390 ) ( * 1352690 )
NEW met2 ( 997050 474810 ) ( * 963390 )
NEW met2 ( 338330 1352690 ) ( * 1354900 )
NEW met3 ( 338330 1354900 ) ( 350980 * )
NEW met3 ( 350980 1354900 ) ( * 1356940 0 )
NEW met1 ( 219650 1352690 ) ( 338330 * )
NEW met1 ( 219650 963390 ) ( 997050 * )
NEW met2 ( 1608390 474810 ) ( * 505580 )
NEW met3 ( 1608390 505580 ) ( 1608620 * )
NEW met3 ( 1608620 505580 ) ( * 508300 0 )
NEW met1 ( 997050 474810 ) ( 1608390 * )
NEW met1 ( 219650 963390 ) M1M2_PR
NEW met1 ( 219650 1352690 ) M1M2_PR
NEW met1 ( 997050 474810 ) M1M2_PR
NEW met1 ( 997050 963390 ) M1M2_PR
NEW met1 ( 338330 1352690 ) M1M2_PR
NEW met2 ( 338330 1354900 ) M2M3_PR
NEW met1 ( 1608390 474810 ) M1M2_PR
NEW met2 ( 1608390 505580 ) M2M3_PR ;
- wbs_uprj_adr_i\[10\] ( wrapped_function_generator_0 wbs_adr_i[10] ) ( wb_bridge_2way wbm_a_adr_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 1569750 886890 ) ( * 1469820 )
NEW met2 ( 540270 1459815 0 ) ( * 1469820 )
NEW met3 ( 540270 1469820 ) ( 1569750 * )
NEW met1 ( 1569750 886890 ) ( 1705450 * )
NEW met3 ( 1609540 547060 0 ) ( 1621730 * )
NEW met2 ( 1621730 547060 ) ( * 551990 )
NEW met1 ( 1621730 551990 ) ( 1705450 * )
NEW met2 ( 1705450 551990 ) ( * 886890 )
NEW met1 ( 1569750 886890 ) M1M2_PR
NEW met2 ( 1569750 1469820 ) M2M3_PR
NEW met2 ( 540270 1469820 ) M2M3_PR
NEW met1 ( 1705450 886890 ) M1M2_PR
NEW met2 ( 1621730 547060 ) M2M3_PR
NEW met1 ( 1621730 551990 ) M1M2_PR
NEW met1 ( 1705450 551990 ) M1M2_PR ;
- wbs_uprj_adr_i\[11\] ( wrapped_function_generator_0 wbs_adr_i[11] ) ( wb_bridge_2way wbm_a_adr_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 448730 1459815 ) ( 450110 * 0 )
NEW met1 ( 448730 1545810 ) ( 1521450 * )
NEW met2 ( 448730 1459815 ) ( * 1545810 )
NEW met2 ( 1521450 921060 ) ( * 1545810 )
NEW met3 ( 1609540 547740 ) ( * 550460 0 )
NEW met3 ( 1609540 547740 ) ( 1628400 * )
NEW met3 ( 1628400 545700 ) ( * 547740 )
NEW met3 ( 1628400 545700 ) ( 1697860 * )
NEW met3 ( 1521450 921060 ) ( 1697860 * )
NEW met4 ( 1697860 545700 ) ( * 921060 )
NEW met1 ( 448730 1545810 ) M1M2_PR
NEW met1 ( 1521450 1545810 ) M1M2_PR
NEW met2 ( 1521450 921060 ) M2M3_PR
NEW met3 ( 1697860 545700 ) M3M4_PR
NEW met3 ( 1697860 921060 ) M3M4_PR ;
- wbs_uprj_adr_i\[12\] ( wrapped_function_generator_0 wbs_adr_i[12] ) ( wb_bridge_2way wbm_a_adr_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 302910 1182860 ) ( * 1324810 )
NEW met4 ( 1671180 553180 ) ( * 1182860 )
NEW met3 ( 302910 1182860 ) ( 1671180 * )
NEW met2 ( 338790 1324810 ) ( * 1327700 )
NEW met3 ( 338790 1327700 ) ( 350980 * )
NEW met3 ( 350980 1327700 ) ( * 1329740 0 )
NEW met1 ( 302910 1324810 ) ( 338790 * )
NEW met3 ( 1609540 553180 ) ( * 554540 0 )
NEW met3 ( 1609540 553180 ) ( 1671180 * )
NEW met2 ( 302910 1182860 ) M2M3_PR
NEW met3 ( 1671180 1182860 ) M3M4_PR
NEW met1 ( 302910 1324810 ) M1M2_PR
NEW met3 ( 1671180 553180 ) M3M4_PR
NEW met1 ( 338790 1324810 ) M1M2_PR
NEW met2 ( 338790 1327700 ) M2M3_PR ;
- wbs_uprj_adr_i\[13\] ( wrapped_function_generator_0 wbs_adr_i[13] ) ( wb_bridge_2way wbm_a_adr_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 379730 1459815 ) ( 382490 * 0 )
NEW met2 ( 379730 1459815 ) ( * 1511470 )
NEW met2 ( 969450 475150 ) ( * 1511470 )
NEW met1 ( 969450 475150 ) ( 1614830 * )
NEW met1 ( 379730 1511470 ) ( 969450 * )
NEW met3 ( 1609540 558620 0 ) ( 1614830 * )
NEW met2 ( 1614830 475150 ) ( * 558620 )
NEW met1 ( 969450 475150 ) M1M2_PR
NEW met1 ( 379730 1511470 ) M1M2_PR
NEW met1 ( 969450 1511470 ) M1M2_PR
NEW met1 ( 1614830 475150 ) M1M2_PR
NEW met2 ( 1614830 558620 ) M2M3_PR ;
- wbs_uprj_adr_i\[14\] ( wrapped_function_generator_0 wbs_adr_i[14] ) ( wb_bridge_2way wbm_a_adr_o[14] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1339940 0 ) ( 607890 * )
NEW met2 ( 607890 1338750 ) ( * 1339940 )
NEW met1 ( 607890 1338750 ) ( 1690730 * )
NEW met3 ( 1609540 562020 0 ) ( 1621730 * )
NEW met2 ( 1621730 562020 ) ( * 565590 )
NEW met1 ( 1621730 565590 ) ( 1690730 * )
NEW met2 ( 1690730 565590 ) ( * 1338750 )
NEW met2 ( 607890 1339940 ) M2M3_PR
NEW met1 ( 607890 1338750 ) M1M2_PR
NEW met1 ( 1690730 1338750 ) M1M2_PR
NEW met2 ( 1621730 562020 ) M2M3_PR
NEW met1 ( 1621730 565590 ) M1M2_PR
NEW met1 ( 1690730 565590 ) M1M2_PR ;
- wbs_uprj_adr_i\[15\] ( wrapped_function_generator_0 wbs_adr_i[15] ) ( wb_bridge_2way wbm_a_adr_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 1746850 572050 ) ( * 1018130 )
NEW met3 ( 352820 1189660 ) ( 451950 * )
NEW met3 ( 1609540 566100 0 ) ( 1621730 * )
NEW met2 ( 1621730 566100 ) ( * 572050 )
NEW met1 ( 1621730 572050 ) ( 1746850 * )
NEW met3 ( 352820 1201220 ) ( * 1203940 0 )
NEW met4 ( 352820 1189660 ) ( * 1201220 )
NEW met2 ( 451950 1018130 ) ( * 1189660 )
NEW met1 ( 451950 1018130 ) ( 1746850 * )
NEW met1 ( 1746850 572050 ) M1M2_PR
NEW met1 ( 1746850 1018130 ) M1M2_PR
NEW met3 ( 352820 1189660 ) M3M4_PR
NEW met2 ( 451950 1189660 ) M2M3_PR
NEW met2 ( 1621730 566100 ) M2M3_PR
NEW met1 ( 1621730 572050 ) M1M2_PR
NEW met3 ( 352820 1201220 ) M3M4_PR
NEW met1 ( 451950 1018130 ) M1M2_PR ;
- wbs_uprj_adr_i\[16\] ( wrapped_function_generator_0 wbs_adr_i[16] ) ( wb_bridge_2way wbm_a_adr_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 488690 1199010 ) ( * 1200185 0 )
NEW met1 ( 483230 1199010 ) ( 488690 * )
NEW met2 ( 483230 914090 ) ( * 1199010 )
NEW met1 ( 1032010 474470 ) ( 1609310 * )
NEW met3 ( 1609310 568140 ) ( 1609540 * )
NEW met3 ( 1609540 568140 ) ( * 570180 0 )
NEW met1 ( 483230 914090 ) ( 1032010 * )
NEW met2 ( 1032010 474470 ) ( * 914090 )
NEW met2 ( 1609310 474470 ) ( * 568140 )
NEW met1 ( 483230 914090 ) M1M2_PR
NEW met1 ( 488690 1199010 ) M1M2_PR
NEW met1 ( 483230 1199010 ) M1M2_PR
NEW met1 ( 1032010 474470 ) M1M2_PR
NEW met1 ( 1609310 474470 ) M1M2_PR
NEW met2 ( 1609310 568140 ) M2M3_PR
NEW met1 ( 1032010 914090 ) M1M2_PR ;
- wbs_uprj_adr_i\[17\] ( wrapped_function_generator_0 wbs_adr_i[17] ) ( wb_bridge_2way wbm_a_adr_o[17] ) + USE SIGNAL
+ ROUTED met1 ( 233910 1348950 ) ( 341550 * )
NEW met3 ( 1609540 573580 0 ) ( 1622190 * )
NEW met2 ( 1622190 573580 ) ( * 579190 )
NEW met1 ( 1622190 579190 ) ( 1718330 * )
NEW met1 ( 233910 955910 ) ( 1718330 * )
NEW met2 ( 233910 955910 ) ( * 1348950 )
NEW met3 ( 341550 1422220 ) ( 350980 * )
NEW met3 ( 350980 1421540 0 ) ( * 1422220 )
NEW met2 ( 341550 1348950 ) ( * 1422220 )
NEW met2 ( 1718330 579190 ) ( * 955910 )
NEW met1 ( 233910 955910 ) M1M2_PR
NEW met1 ( 233910 1348950 ) M1M2_PR
NEW met1 ( 341550 1348950 ) M1M2_PR
NEW met2 ( 1622190 573580 ) M2M3_PR
NEW met1 ( 1622190 579190 ) M1M2_PR
NEW met1 ( 1718330 579190 ) M1M2_PR
NEW met1 ( 1718330 955910 ) M1M2_PR
NEW met2 ( 341550 1422220 ) M2M3_PR ;
- wbs_uprj_adr_i\[18\] ( wrapped_function_generator_0 wbs_adr_i[18] ) ( wb_bridge_2way wbm_a_adr_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 296470 949110 ) ( * 1283670 )
NEW met2 ( 1753290 579530 ) ( * 949110 )
NEW met2 ( 338330 1283670 ) ( * 1284180 )
NEW met3 ( 338330 1284180 ) ( 351900 * )
NEW met3 ( 351900 1284180 ) ( * 1285540 0 )
NEW met1 ( 296470 1283670 ) ( 338330 * )
NEW met3 ( 1609540 577660 0 ) ( 1621730 * )
NEW met2 ( 1621730 577660 ) ( * 579530 )
NEW met1 ( 1621730 579530 ) ( 1753290 * )
NEW met1 ( 296470 949110 ) ( 1753290 * )
NEW met1 ( 296470 1283670 ) M1M2_PR
NEW met1 ( 1753290 579530 ) M1M2_PR
NEW met1 ( 296470 949110 ) M1M2_PR
NEW met1 ( 1753290 949110 ) M1M2_PR
NEW met1 ( 338330 1283670 ) M1M2_PR
NEW met2 ( 338330 1284180 ) M2M3_PR
NEW met2 ( 1621730 577660 ) M2M3_PR
NEW met1 ( 1621730 579530 ) M1M2_PR ;
- wbs_uprj_adr_i\[19\] ( wrapped_function_generator_0 wbs_adr_i[19] ) ( wb_bridge_2way wbm_a_adr_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 566030 1197140 ) ( 566490 * )
NEW met2 ( 566030 1197140 ) ( * 1200185 0 )
NEW met2 ( 566490 1176230 ) ( * 1197140 )
NEW met3 ( 1609540 581740 0 ) ( 1621730 * )
NEW met2 ( 1621730 581740 ) ( * 586330 )
NEW met1 ( 1621730 586330 ) ( 1704990 * )
NEW met1 ( 566490 1176230 ) ( 1704990 * )
NEW met2 ( 1704990 586330 ) ( * 1176230 )
NEW met1 ( 566490 1176230 ) M1M2_PR
NEW met2 ( 1621730 581740 ) M2M3_PR
NEW met1 ( 1621730 586330 ) M1M2_PR
NEW met1 ( 1704990 586330 ) M1M2_PR
NEW met1 ( 1704990 1176230 ) M1M2_PR ;
- wbs_uprj_adr_i\[1\] ( wrapped_function_generator_0 wbs_adr_i[1] ) ( wb_bridge_2way wbm_a_adr_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 572470 1459620 0 ) ( 572930 * )
NEW met2 ( 762450 922250 ) ( * 1459620 )
NEW met3 ( 572930 1459620 ) ( 762450 * )
NEW met3 ( 1608620 511020 ) ( 1608850 * )
NEW met3 ( 1608620 511020 ) ( * 512380 0 )
NEW met1 ( 762450 922250 ) ( 935410 * )
NEW met2 ( 935410 462230 ) ( * 922250 )
NEW met1 ( 935410 462230 ) ( 1608850 * )
NEW met2 ( 1608850 462230 ) ( * 511020 )
NEW met2 ( 572930 1459620 ) M2M3_PR
NEW met2 ( 762450 1459620 ) M2M3_PR
NEW met1 ( 762450 922250 ) M1M2_PR
NEW met2 ( 1608850 511020 ) M2M3_PR
NEW met1 ( 935410 462230 ) M1M2_PR
NEW met1 ( 935410 922250 ) M1M2_PR
NEW met1 ( 1608850 462230 ) M1M2_PR ;
- wbs_uprj_adr_i\[20\] ( wrapped_function_generator_0 wbs_adr_i[20] ) ( wb_bridge_2way wbm_a_adr_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 1563310 887060 ) ( * 1024590 )
NEW met4 ( 1657380 582420 ) ( * 887060 )
NEW met3 ( 1609540 582420 ) ( * 585140 0 )
NEW met3 ( 1609540 582420 ) ( 1657380 * )
NEW met3 ( 1563310 887060 ) ( 1657380 * )
NEW met2 ( 253690 1024590 ) ( * 1318010 )
NEW met2 ( 338330 1318010 ) ( * 1318180 )
NEW met3 ( 338330 1318180 ) ( 350980 * )
NEW met3 ( 350980 1318180 ) ( * 1319540 0 )
NEW met1 ( 253690 1318010 ) ( 338330 * )
NEW met1 ( 253690 1024590 ) ( 1563310 * )
NEW met2 ( 1563310 887060 ) M2M3_PR
NEW met3 ( 1657380 582420 ) M3M4_PR
NEW met3 ( 1657380 887060 ) M3M4_PR
NEW met1 ( 1563310 1024590 ) M1M2_PR
NEW met1 ( 253690 1024590 ) M1M2_PR
NEW met1 ( 253690 1318010 ) M1M2_PR
NEW met1 ( 338330 1318010 ) M1M2_PR
NEW met2 ( 338330 1318180 ) M2M3_PR ;
- wbs_uprj_adr_i\[21\] ( wrapped_function_generator_0 wbs_adr_i[21] ) ( wb_bridge_2way wbm_a_adr_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 475810 1199180 ) ( * 1200185 0 )
NEW met2 ( 475410 1199180 ) ( 475810 * )
NEW met2 ( 475410 1155830 ) ( * 1199180 )
NEW met2 ( 1739490 592790 ) ( * 1155830 )
NEW met3 ( 1609540 589220 0 ) ( 1622190 * )
NEW met2 ( 1622190 589220 ) ( * 592790 )
NEW met1 ( 1622190 592790 ) ( 1739490 * )
NEW met1 ( 475410 1155830 ) ( 1739490 * )
NEW met1 ( 475410 1155830 ) M1M2_PR
NEW met1 ( 1739490 592790 ) M1M2_PR
NEW met1 ( 1739490 1155830 ) M1M2_PR
NEW met2 ( 1622190 589220 ) M2M3_PR
NEW met1 ( 1622190 592790 ) M1M2_PR ;
- wbs_uprj_adr_i\[22\] ( wrapped_function_generator_0 wbs_adr_i[22] ) ( wb_bridge_2way wbm_a_adr_o[22] ) + USE SIGNAL
+ ROUTED met3 ( 1609540 592620 0 ) ( 1621730 * )
NEW met2 ( 1621730 592620 ) ( * 593130 )
NEW met1 ( 1621730 593130 ) ( 1773530 * )
NEW met2 ( 530550 1199010 ) ( * 1200185 0 )
NEW met1 ( 524630 1199010 ) ( 530550 * )
NEW met2 ( 524630 935170 ) ( * 1199010 )
NEW met1 ( 524630 935170 ) ( 1773530 * )
NEW met2 ( 1773530 593130 ) ( * 935170 )
NEW met2 ( 1621730 592620 ) M2M3_PR
NEW met1 ( 1621730 593130 ) M1M2_PR
NEW met1 ( 1773530 593130 ) M1M2_PR
NEW met1 ( 524630 935170 ) M1M2_PR
NEW met1 ( 530550 1199010 ) M1M2_PR
NEW met1 ( 524630 1199010 ) M1M2_PR
NEW met1 ( 1773530 935170 ) M1M2_PR ;
- wbs_uprj_adr_i\[23\] ( wrapped_function_generator_0 wbs_adr_i[23] ) ( wb_bridge_2way wbm_a_adr_o[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1227740 0 ) ( 608350 * )
NEW met2 ( 608350 1203770 ) ( * 1227740 )
NEW met3 ( 1608390 599420 ) ( 1608620 * )
NEW met3 ( 1608620 596700 0 ) ( * 599420 )
NEW met1 ( 608350 1203770 ) ( 1608390 * )
NEW met2 ( 1608390 599420 ) ( * 1203770 )
NEW met2 ( 608350 1227740 ) M2M3_PR
NEW met1 ( 608350 1203770 ) M1M2_PR
NEW met2 ( 1608390 599420 ) M2M3_PR
NEW met1 ( 1608390 1203770 ) M1M2_PR ;
- wbs_uprj_adr_i\[24\] ( wrapped_function_generator_0 wbs_adr_i[24] ) ( wb_bridge_2way wbm_a_adr_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 606730 ) ( * 1504670 )
NEW met1 ( 441830 1471690 ) ( 445510 * )
NEW met2 ( 445510 1459815 ) ( * 1471690 )
NEW met2 ( 445510 1459815 ) ( 446890 * 0 )
NEW met3 ( 1609540 600780 0 ) ( 1622190 * )
NEW met2 ( 1622190 600780 ) ( * 606730 )
NEW met1 ( 1622190 606730 ) ( 1739030 * )
NEW met2 ( 441830 1471690 ) ( * 1504670 )
NEW met1 ( 441830 1504670 ) ( 1739030 * )
NEW met1 ( 1739030 606730 ) M1M2_PR
NEW met1 ( 1739030 1504670 ) M1M2_PR
NEW met1 ( 441830 1471690 ) M1M2_PR
NEW met1 ( 445510 1471690 ) M1M2_PR
NEW met2 ( 1622190 600780 ) M2M3_PR
NEW met1 ( 1622190 606730 ) M1M2_PR
NEW met1 ( 441830 1504670 ) M1M2_PR ;
- wbs_uprj_adr_i\[25\] ( wrapped_function_generator_0 wbs_adr_i[25] ) ( wb_bridge_2way wbm_a_adr_o[25] ) + USE SIGNAL
+ ROUTED met1 ( 469430 1168750 ) ( 470350 * )
NEW met2 ( 469430 969170 ) ( * 1168750 )
NEW met2 ( 470350 1168750 ) ( * 1193700 )
NEW met2 ( 472650 1193700 ) ( * 1200185 0 )
NEW met2 ( 470350 1193700 ) ( 472650 * )
NEW met2 ( 1760650 607070 ) ( * 969170 )
NEW met3 ( 1609540 604180 0 ) ( 1621730 * )
NEW met2 ( 1621730 604180 ) ( * 607070 )
NEW met1 ( 1621730 607070 ) ( 1760650 * )
NEW met1 ( 469430 969170 ) ( 1760650 * )
NEW met1 ( 469430 969170 ) M1M2_PR
NEW met1 ( 469430 1168750 ) M1M2_PR
NEW met1 ( 470350 1168750 ) M1M2_PR
NEW met1 ( 1760650 607070 ) M1M2_PR
NEW met1 ( 1760650 969170 ) M1M2_PR
NEW met2 ( 1621730 604180 ) M2M3_PR
NEW met1 ( 1621730 607070 ) M1M2_PR ;
- wbs_uprj_adr_i\[26\] ( wrapped_function_generator_0 wbs_adr_i[26] ) ( wb_bridge_2way wbm_a_adr_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 372830 1045670 ) ( * 1200185 0 )
NEW met3 ( 1609540 608260 0 ) ( 1615750 * )
NEW met1 ( 372830 1045670 ) ( 1615750 * )
NEW met2 ( 1615750 608260 ) ( * 1045670 )
NEW met1 ( 372830 1045670 ) M1M2_PR
NEW met2 ( 1615750 608260 ) M2M3_PR
NEW met1 ( 1615750 1045670 ) M1M2_PR ;
- wbs_uprj_adr_i\[27\] ( wrapped_function_generator_0 wbs_adr_i[27] ) ( wb_bridge_2way wbm_a_adr_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 495190 1459815 0 ) ( * 1473730 )
NEW met2 ( 566030 1473730 ) ( * 1484100 )
NEW met1 ( 495190 1473730 ) ( 566030 * )
NEW met3 ( 1609540 612340 0 ) ( 1621730 * )
NEW met2 ( 1621730 612340 ) ( * 613870 )
NEW met1 ( 1621730 613870 ) ( 1711430 * )
NEW met3 ( 566030 1484100 ) ( 1711430 * )
NEW met2 ( 1711430 613870 ) ( * 1484100 )
NEW met1 ( 495190 1473730 ) M1M2_PR
NEW met1 ( 566030 1473730 ) M1M2_PR
NEW met2 ( 566030 1484100 ) M2M3_PR
NEW met2 ( 1621730 612340 ) M2M3_PR
NEW met1 ( 1621730 613870 ) M1M2_PR
NEW met1 ( 1711430 613870 ) M1M2_PR
NEW met2 ( 1711430 1484100 ) M2M3_PR ;
- wbs_uprj_adr_i\[28\] ( wrapped_function_generator_0 wbs_adr_i[28] ) ( wb_bridge_2way wbm_a_adr_o[28] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1312740 0 ) ( 607890 * )
NEW met2 ( 607890 1311210 ) ( * 1312740 )
NEW met2 ( 1635530 662490 ) ( * 1311210 )
NEW met1 ( 1624950 662490 ) ( 1635530 * )
NEW met3 ( 1609540 615740 0 ) ( 1624950 * )
NEW met2 ( 1624950 615740 ) ( * 662490 )
NEW met1 ( 607890 1311210 ) ( 1635530 * )
NEW met1 ( 1635530 662490 ) M1M2_PR
NEW met2 ( 607890 1312740 ) M2M3_PR
NEW met1 ( 607890 1311210 ) M1M2_PR
NEW met1 ( 1635530 1311210 ) M1M2_PR
NEW met1 ( 1624950 662490 ) M1M2_PR
NEW met2 ( 1624950 615740 ) M2M3_PR ;
- wbs_uprj_adr_i\[29\] ( wrapped_function_generator_0 wbs_adr_i[29] ) ( wb_bridge_2way wbm_a_adr_o[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1404540 0 ) ( 608350 * )
NEW met2 ( 608350 1400970 ) ( * 1404540 )
NEW met2 ( 1766630 620670 ) ( * 1400970 )
NEW met3 ( 1609540 619820 0 ) ( 1621730 * )
NEW met2 ( 1621730 619820 ) ( * 620670 )
NEW met1 ( 1621730 620670 ) ( 1766630 * )
NEW met1 ( 608350 1400970 ) ( 1766630 * )
NEW met2 ( 608350 1404540 ) M2M3_PR
NEW met1 ( 608350 1400970 ) M1M2_PR
NEW met1 ( 1766630 620670 ) M1M2_PR
NEW met1 ( 1766630 1400970 ) M1M2_PR
NEW met2 ( 1621730 619820 ) M2M3_PR
NEW met1 ( 1621730 620670 ) M1M2_PR ;
- wbs_uprj_adr_i\[2\] ( wrapped_function_generator_0 wbs_adr_i[2] ) ( wb_bridge_2way wbm_a_adr_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 984170 461890 ) ( * 921910 )
NEW met3 ( 1609540 513740 ) ( * 515780 0 )
NEW met3 ( 1609540 513740 ) ( 1609770 * )
NEW met2 ( 437230 1193700 ) ( * 1200185 0 )
NEW met2 ( 434930 1193700 ) ( 437230 * )
NEW met1 ( 434930 921910 ) ( 984170 * )
NEW met1 ( 984170 461890 ) ( 1609770 * )
NEW met2 ( 1609770 461890 ) ( * 513740 )
NEW met2 ( 434930 921910 ) ( * 1193700 )
NEW met1 ( 984170 461890 ) M1M2_PR
NEW met1 ( 984170 921910 ) M1M2_PR
NEW met2 ( 1609770 513740 ) M2M3_PR
NEW met1 ( 434930 921910 ) M1M2_PR
NEW met1 ( 1609770 461890 ) M1M2_PR ;
- wbs_uprj_adr_i\[30\] ( wrapped_function_generator_0 wbs_adr_i[30] ) ( wb_bridge_2way wbm_a_adr_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 600070 1456390 ) ( * 1459450 )
NEW met1 ( 596850 1459450 ) ( 600070 * )
NEW met2 ( 596850 1459280 ) ( * 1459450 )
NEW met2 ( 595010 1459280 0 ) ( 596850 * )
NEW met2 ( 1725690 627470 ) ( * 1456390 )
NEW met1 ( 600070 1456390 ) ( 1725690 * )
NEW met3 ( 1609540 623900 0 ) ( 1622190 * )
NEW met2 ( 1622190 623900 ) ( * 627470 )
NEW met1 ( 1622190 627470 ) ( 1725690 * )
NEW met1 ( 600070 1456390 ) M1M2_PR
NEW met1 ( 600070 1459450 ) M1M2_PR
NEW met1 ( 596850 1459450 ) M1M2_PR
NEW met1 ( 1725690 1456390 ) M1M2_PR
NEW met1 ( 1725690 627470 ) M1M2_PR
NEW met2 ( 1622190 623900 ) M2M3_PR
NEW met1 ( 1622190 627470 ) M1M2_PR ;
- wbs_uprj_adr_i\[31\] ( wrapped_function_generator_0 wbs_adr_i[31] ) ( wb_bridge_2way wbm_a_adr_o[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1275340 0 ) ( 611570 * )
NEW met2 ( 611570 1224510 ) ( * 1275340 )
NEW met2 ( 1642890 627810 ) ( * 1224510 )
NEW met3 ( 1609540 627300 0 ) ( 1621730 * )
NEW met2 ( 1621730 627300 ) ( * 627810 )
NEW met1 ( 1621730 627810 ) ( 1642890 * )
NEW met1 ( 611570 1224510 ) ( 1642890 * )
NEW met2 ( 611570 1275340 ) M2M3_PR
NEW met1 ( 611570 1224510 ) M1M2_PR
NEW met1 ( 1642890 627810 ) M1M2_PR
NEW met1 ( 1642890 1224510 ) M1M2_PR
NEW met2 ( 1621730 627300 ) M2M3_PR
NEW met1 ( 1621730 627810 ) M1M2_PR ;
- wbs_uprj_adr_i\[3\] ( wrapped_function_generator_0 wbs_adr_i[3] ) ( wb_bridge_2way wbm_a_adr_o[3] ) + USE SIGNAL
+ ROUTED met1 ( 518650 976990 ) ( 1608850 * )
NEW met2 ( 518650 976990 ) ( * 1097100 )
NEW met2 ( 518650 1097100 ) ( 520490 * )
NEW met2 ( 520490 1097100 ) ( * 1193700 )
NEW met2 ( 524110 1199180 ) ( * 1200185 0 )
NEW met2 ( 523710 1199180 ) ( 524110 * )
NEW met2 ( 523710 1193700 ) ( * 1199180 )
NEW met2 ( 520490 1193700 ) ( 523710 * )
NEW met3 ( 1608620 522580 ) ( 1608850 * )
NEW met3 ( 1608620 519860 0 ) ( * 522580 )
NEW met2 ( 1608850 522580 ) ( * 976990 )
NEW met1 ( 518650 976990 ) M1M2_PR
NEW met1 ( 1608850 976990 ) M1M2_PR
NEW met2 ( 1608850 522580 ) M2M3_PR ;
- wbs_uprj_adr_i\[4\] ( wrapped_function_generator_0 wbs_adr_i[4] ) ( wb_bridge_2way wbm_a_adr_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 588570 1459815 0 ) ( * 1491750 )
NEW met2 ( 956110 482970 ) ( * 1491750 )
NEW met1 ( 956110 482970 ) ( 1615290 * )
NEW met1 ( 588570 1491750 ) ( 956110 * )
NEW met3 ( 1609540 523940 0 ) ( 1615290 * )
NEW met2 ( 1615290 482970 ) ( * 523940 )
NEW met1 ( 956110 482970 ) M1M2_PR
NEW met1 ( 588570 1491750 ) M1M2_PR
NEW met1 ( 956110 1491750 ) M1M2_PR
NEW met1 ( 1615290 482970 ) M1M2_PR
NEW met2 ( 1615290 523940 ) M2M3_PR ;
- wbs_uprj_adr_i\[5\] ( wrapped_function_generator_0 wbs_adr_i[5] ) ( wb_bridge_2way wbm_a_adr_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1635990 529210 ) ( * 1107890 )
NEW met2 ( 518190 1107890 ) ( * 1193700 )
NEW met2 ( 520950 1197140 ) ( * 1200185 0 )
NEW met2 ( 520030 1197140 ) ( 520950 * )
NEW met2 ( 520030 1193700 ) ( * 1197140 )
NEW met2 ( 518190 1193700 ) ( 520030 * )
NEW met3 ( 1609540 527340 0 ) ( 1621730 * )
NEW met2 ( 1621730 527340 ) ( * 529210 )
NEW met1 ( 1621730 529210 ) ( 1635990 * )
NEW met1 ( 518190 1107890 ) ( 1635990 * )
NEW met1 ( 1635990 529210 ) M1M2_PR
NEW met1 ( 1635990 1107890 ) M1M2_PR
NEW met1 ( 518190 1107890 ) M1M2_PR
NEW met2 ( 1621730 527340 ) M2M3_PR
NEW met1 ( 1621730 529210 ) M1M2_PR ;
- wbs_uprj_adr_i\[6\] ( wrapped_function_generator_0 wbs_adr_i[6] ) ( wb_bridge_2way wbm_a_adr_o[6] ) + USE SIGNAL
+ ROUTED met4 ( 1642660 531420 ) ( * 1100580 )
NEW met3 ( 1609540 531420 0 ) ( 1642660 * )
NEW met3 ( 421590 1100580 ) ( 1642660 * )
NEW met1 ( 421130 1149710 ) ( 422510 * )
NEW met2 ( 422510 1148180 ) ( * 1149710 )
NEW met2 ( 421590 1148180 ) ( 422510 * )
NEW met2 ( 421130 1149710 ) ( * 1200185 0 )
NEW met2 ( 421590 1100580 ) ( * 1148180 )
NEW met3 ( 1642660 531420 ) M3M4_PR
NEW met3 ( 1642660 1100580 ) M3M4_PR
NEW met2 ( 421590 1100580 ) M2M3_PR
NEW met1 ( 421130 1149710 ) M1M2_PR
NEW met1 ( 422510 1149710 ) M1M2_PR ;
- wbs_uprj_adr_i\[7\] ( wrapped_function_generator_0 wbs_adr_i[7] ) ( wb_bridge_2way wbm_a_adr_o[7] ) + USE SIGNAL
+ ROUTED met4 ( 1633460 535500 ) ( * 1497700 )
NEW met3 ( 344770 1430380 ) ( 350980 * )
NEW met3 ( 350980 1428340 0 ) ( * 1430380 )
NEW met2 ( 344770 1430380 ) ( * 1497700 )
NEW met3 ( 1609540 535500 0 ) ( 1633460 * )
NEW met3 ( 344770 1497700 ) ( 1633460 * )
NEW met3 ( 1633460 535500 ) M3M4_PR
NEW met3 ( 1633460 1497700 ) M3M4_PR
NEW met2 ( 344770 1430380 ) M2M3_PR
NEW met2 ( 344770 1497700 ) M2M3_PR ;
- wbs_uprj_adr_i\[8\] ( wrapped_function_generator_0 wbs_adr_i[8] ) ( wb_bridge_2way wbm_a_adr_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 578850 1199010 ) ( * 1200185 0 )
NEW met1 ( 572930 1199010 ) ( 578850 * )
NEW met2 ( 572930 1052810 ) ( * 1199010 )
NEW met2 ( 1628630 538900 ) ( * 565800 )
NEW met2 ( 1628630 565800 ) ( 1629550 * )
NEW met2 ( 1629550 565800 ) ( * 1052810 )
NEW met1 ( 572930 1052810 ) ( 1629550 * )
NEW met3 ( 1609540 538900 0 ) ( 1628170 * )
NEW met2 ( 1628170 538900 ) ( 1628630 * )
NEW met1 ( 572930 1052810 ) M1M2_PR
NEW met1 ( 1629550 1052810 ) M1M2_PR
NEW met1 ( 578850 1199010 ) M1M2_PR
NEW met1 ( 572930 1199010 ) M1M2_PR
NEW met2 ( 1628170 538900 ) M2M3_PR ;
- wbs_uprj_adr_i\[9\] ( wrapped_function_generator_0 wbs_adr_i[9] ) ( wb_bridge_2way wbm_a_adr_o[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1244740 0 ) ( 607890 * )
NEW met2 ( 607890 1242190 ) ( * 1244740 )
NEW met2 ( 1726150 544850 ) ( * 1242190 )
NEW met1 ( 607890 1242190 ) ( 1726150 * )
NEW met3 ( 1609540 542980 0 ) ( 1621730 * )
NEW met2 ( 1621730 542980 ) ( * 544850 )
NEW met1 ( 1621730 544850 ) ( 1726150 * )
NEW met2 ( 607890 1244740 ) M2M3_PR
NEW met1 ( 607890 1242190 ) M1M2_PR
NEW met1 ( 1726150 1242190 ) M1M2_PR
NEW met1 ( 1726150 544850 ) M1M2_PR
NEW met2 ( 1621730 542980 ) M2M3_PR
NEW met1 ( 1621730 544850 ) M1M2_PR ;
- wbs_uprj_cyc_i ( wrapped_function_generator_0 wbs_cyc_i ) ( wb_bridge_2way wbm_a_cyc_o ) + USE SIGNAL
+ ROUTED met2 ( 479090 1459815 0 ) ( * 1471180 )
NEW met2 ( 894010 475830 ) ( * 1471180 )
NEW met3 ( 479090 1471180 ) ( 894010 * )
NEW met2 ( 1607930 475830 ) ( * 483140 )
NEW met3 ( 1607700 483140 ) ( 1607930 * )
NEW met3 ( 1607700 483140 ) ( * 485180 0 )
NEW met1 ( 894010 475830 ) ( 1607930 * )
NEW met2 ( 479090 1471180 ) M2M3_PR
NEW met1 ( 894010 475830 ) M1M2_PR
NEW met2 ( 894010 1471180 ) M2M3_PR
NEW met1 ( 1607930 475830 ) M1M2_PR
NEW met2 ( 1607930 483140 ) M2M3_PR ;
- wbs_uprj_dat_i\[0\] ( wrapped_function_generator_0 wbs_dat_i[0] ) ( wb_bridge_2way wbm_a_dat_o[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1388900 ) ( * 1390940 0 )
NEW met4 ( 1635300 631380 ) ( * 1388900 )
NEW met3 ( 1609540 631380 0 ) ( 1635300 * )
NEW met3 ( 599380 1388900 ) ( 1635300 * )
NEW met3 ( 1635300 631380 ) M3M4_PR
NEW met3 ( 1635300 1388900 ) M3M4_PR ;
- wbs_uprj_dat_i\[10\] ( wrapped_function_generator_0 wbs_dat_i[10] ) ( wb_bridge_2way wbm_a_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 352130 1459815 ) ( 353510 * 0 )
NEW met3 ( 1609540 670140 0 ) ( 1615060 * )
NEW met2 ( 352130 1459815 ) ( * 1511300 )
NEW met3 ( 352130 1511300 ) ( 1615060 * )
NEW met4 ( 1615060 670140 ) ( * 1511300 )
NEW met3 ( 1615060 670140 ) M3M4_PR
NEW met2 ( 352130 1511300 ) M2M3_PR
NEW met3 ( 1615060 1511300 ) M3M4_PR ;
- wbs_uprj_dat_i\[11\] ( wrapped_function_generator_0 wbs_dat_i[11] ) ( wb_bridge_2way wbm_a_dat_o[11] ) + USE SIGNAL
+ ROUTED met4 ( 1651860 670820 ) ( * 1504500 )
NEW met3 ( 1609540 670820 ) ( * 673540 0 )
NEW met3 ( 1609540 670820 ) ( 1651860 * )
NEW met2 ( 366390 1459815 0 ) ( * 1504500 )
NEW met3 ( 366390 1504500 ) ( 1651860 * )
NEW met3 ( 1651860 670820 ) M3M4_PR
NEW met3 ( 1651860 1504500 ) M3M4_PR
NEW met2 ( 366390 1504500 ) M2M3_PR ;
- wbs_uprj_dat_i\[12\] ( wrapped_function_generator_0 wbs_dat_i[12] ) ( wb_bridge_2way wbm_a_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 1628630 734740 ) ( 1629090 * )
NEW met2 ( 1629090 680340 ) ( * 734740 )
NEW met2 ( 1628630 734740 ) ( * 1511130 )
NEW met2 ( 359030 1459815 ) ( 359950 * 0 )
NEW met3 ( 1609540 677620 0 ) ( * 680340 )
NEW met3 ( 1609540 680340 ) ( 1628170 * )
NEW met2 ( 1628170 680340 ) ( 1629090 * )
NEW met2 ( 359030 1459815 ) ( * 1511130 )
NEW met1 ( 359030 1511130 ) ( 1628630 * )
NEW met1 ( 1628630 1511130 ) M1M2_PR
NEW met2 ( 1628170 680340 ) M2M3_PR
NEW met1 ( 359030 1511130 ) M1M2_PR ;
- wbs_uprj_dat_i\[13\] ( wrapped_function_generator_0 wbs_dat_i[13] ) ( wb_bridge_2way wbm_a_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 1649790 682890 ) ( * 1066750 )
NEW met3 ( 1609540 681700 0 ) ( 1621730 * )
NEW met2 ( 1621730 681700 ) ( * 682890 )
NEW met1 ( 1621730 682890 ) ( 1649790 * )
NEW met1 ( 359030 1066750 ) ( 1649790 * )
NEW met2 ( 359030 1066750 ) ( * 1193700 )
NEW met2 ( 359950 1193700 ) ( * 1200185 0 )
NEW met2 ( 359030 1193700 ) ( 359950 * )
NEW met1 ( 1649790 682890 ) M1M2_PR
NEW met1 ( 1649790 1066750 ) M1M2_PR
NEW met1 ( 359030 1066750 ) M1M2_PR
NEW met2 ( 1621730 681700 ) M2M3_PR
NEW met1 ( 1621730 682890 ) M1M2_PR ;
- wbs_uprj_dat_i\[14\] ( wrapped_function_generator_0 wbs_dat_i[14] ) ( wb_bridge_2way wbm_a_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 393990 1073550 ) ( * 1097100 )
NEW met2 ( 393990 1097100 ) ( 398130 * )
NEW met2 ( 398530 1199180 ) ( * 1200185 0 )
NEW met2 ( 398130 1199180 ) ( 398530 * )
NEW met2 ( 398130 1097100 ) ( * 1199180 )
NEW met2 ( 1570670 887570 ) ( * 1073550 )
NEW met2 ( 1663590 689690 ) ( * 887570 )
NEW met1 ( 393990 1073550 ) ( 1570670 * )
NEW met3 ( 1609540 685100 0 ) ( 1621730 * )
NEW met2 ( 1621730 685100 ) ( * 689690 )
NEW met1 ( 1621730 689690 ) ( 1663590 * )
NEW met1 ( 1570670 887570 ) ( 1663590 * )
NEW met1 ( 393990 1073550 ) M1M2_PR
NEW met1 ( 1570670 887570 ) M1M2_PR
NEW met1 ( 1570670 1073550 ) M1M2_PR
NEW met1 ( 1663590 689690 ) M1M2_PR
NEW met1 ( 1663590 887570 ) M1M2_PR
NEW met2 ( 1621730 685100 ) M2M3_PR
NEW met1 ( 1621730 689690 ) M1M2_PR ;
- wbs_uprj_dat_i\[15\] ( wrapped_function_generator_0 wbs_dat_i[15] ) ( wb_bridge_2way wbm_a_dat_o[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1309340 0 ) ( 608810 * )
NEW met2 ( 608810 1301010 ) ( * 1309340 )
NEW met3 ( 1609540 689180 0 ) ( 1615290 * )
NEW met1 ( 608810 1301010 ) ( 1615290 * )
NEW met2 ( 1615290 689180 ) ( * 1301010 )
NEW met2 ( 608810 1309340 ) M2M3_PR
NEW met1 ( 608810 1301010 ) M1M2_PR
NEW met2 ( 1615290 689180 ) M2M3_PR
NEW met1 ( 1615290 1301010 ) M1M2_PR ;
- wbs_uprj_dat_i\[16\] ( wrapped_function_generator_0 wbs_dat_i[16] ) ( wb_bridge_2way wbm_a_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 338790 1256130 ) ( * 1259020 )
NEW met3 ( 338790 1259020 ) ( 350980 * )
NEW met3 ( 350980 1259020 ) ( * 1261740 0 )
NEW met1 ( 267490 1256130 ) ( 338790 * )
NEW met3 ( 1609540 692580 0 ) ( 1622190 * )
NEW met2 ( 1622190 692580 ) ( * 696830 )
NEW met1 ( 1622190 696830 ) ( 1697630 * )
NEW met2 ( 267490 1142230 ) ( * 1256130 )
NEW met2 ( 1697630 696830 ) ( * 1142230 )
NEW met1 ( 267490 1142230 ) ( 1697630 * )
NEW met1 ( 267490 1256130 ) M1M2_PR
NEW met1 ( 338790 1256130 ) M1M2_PR
NEW met2 ( 338790 1259020 ) M2M3_PR
NEW met2 ( 1622190 692580 ) M2M3_PR
NEW met1 ( 1622190 696830 ) M1M2_PR
NEW met1 ( 1697630 696830 ) M1M2_PR
NEW met1 ( 267490 1142230 ) M1M2_PR
NEW met1 ( 1697630 1142230 ) M1M2_PR ;
- wbs_uprj_dat_i\[17\] ( wrapped_function_generator_0 wbs_dat_i[17] ) ( wb_bridge_2way wbm_a_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 1670490 696490 ) ( * 908310 )
NEW met2 ( 559590 1459815 0 ) ( * 1463700 )
NEW met3 ( 559590 1463700 ) ( 1011310 * )
NEW met3 ( 1609540 696660 0 ) ( 1621730 * )
NEW met2 ( 1621730 696490 ) ( * 696660 )
NEW met1 ( 1621730 696490 ) ( 1670490 * )
NEW met2 ( 1011310 908310 ) ( * 1463700 )
NEW met1 ( 1011310 908310 ) ( 1670490 * )
NEW met1 ( 1670490 696490 ) M1M2_PR
NEW met1 ( 1670490 908310 ) M1M2_PR
NEW met2 ( 559590 1463700 ) M2M3_PR
NEW met2 ( 1011310 1463700 ) M2M3_PR
NEW met2 ( 1621730 696660 ) M2M3_PR
NEW met1 ( 1621730 696490 ) M1M2_PR
NEW met1 ( 1011310 908310 ) M1M2_PR ;
- wbs_uprj_dat_i\[18\] ( wrapped_function_generator_0 wbs_dat_i[18] ) ( wb_bridge_2way wbm_a_dat_o[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1445340 0 ) ( 608810 * )
NEW met2 ( 608810 1442110 ) ( * 1445340 )
NEW met2 ( 1642430 703630 ) ( * 1442110 )
NEW met3 ( 1609540 700740 0 ) ( 1621730 * )
NEW met2 ( 1621730 700740 ) ( * 703630 )
NEW met1 ( 1621730 703630 ) ( 1642430 * )
NEW met1 ( 608810 1442110 ) ( 1642430 * )
NEW met2 ( 608810 1445340 ) M2M3_PR
NEW met1 ( 608810 1442110 ) M1M2_PR
NEW met1 ( 1642430 703630 ) M1M2_PR
NEW met1 ( 1642430 1442110 ) M1M2_PR
NEW met2 ( 1621730 700740 ) M2M3_PR
NEW met1 ( 1621730 703630 ) M1M2_PR ;
- wbs_uprj_dat_i\[19\] ( wrapped_function_generator_0 wbs_dat_i[19] ) ( wb_bridge_2way wbm_a_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 407330 1459815 ) ( 408250 * 0 )
NEW met2 ( 407330 1459815 ) ( * 1559410 )
NEW met3 ( 1609540 704140 0 ) ( 1621730 * )
NEW met2 ( 1621730 704140 ) ( * 710430 )
NEW met1 ( 1621730 710430 ) ( 1780430 * )
NEW met1 ( 407330 1559410 ) ( 1780430 * )
NEW met2 ( 1780430 710430 ) ( * 1559410 )
NEW met1 ( 407330 1559410 ) M1M2_PR
NEW met2 ( 1621730 704140 ) M2M3_PR
NEW met1 ( 1621730 710430 ) M1M2_PR
NEW met1 ( 1780430 710430 ) M1M2_PR
NEW met1 ( 1780430 1559410 ) M1M2_PR ;
- wbs_uprj_dat_i\[1\] ( wrapped_function_generator_0 wbs_dat_i[1] ) ( wb_bridge_2way wbm_a_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 385650 1199010 ) ( * 1200185 0 )
NEW met1 ( 379730 1199010 ) ( 385650 * )
NEW met2 ( 379730 1052130 ) ( * 1199010 )
NEW met2 ( 1650710 641070 ) ( * 1052130 )
NEW met1 ( 379730 1052130 ) ( 1650710 * )
NEW met3 ( 1609540 635460 0 ) ( 1622190 * )
NEW met2 ( 1622190 635460 ) ( * 641070 )
NEW met1 ( 1622190 641070 ) ( 1650710 * )
NEW met1 ( 379730 1052130 ) M1M2_PR
NEW met1 ( 1650710 1052130 ) M1M2_PR
NEW met1 ( 385650 1199010 ) M1M2_PR
NEW met1 ( 379730 1199010 ) M1M2_PR
NEW met1 ( 1650710 641070 ) M1M2_PR
NEW met2 ( 1622190 635460 ) M2M3_PR
NEW met1 ( 1622190 641070 ) M1M2_PR ;
- wbs_uprj_dat_i\[20\] ( wrapped_function_generator_0 wbs_dat_i[20] ) ( wb_bridge_2way wbm_a_dat_o[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1329740 0 ) ( 608350 * )
NEW met2 ( 608350 1321070 ) ( * 1329740 )
NEW met3 ( 1607700 709580 ) ( 1607930 * )
NEW met3 ( 1607700 708220 0 ) ( * 709580 )
NEW met1 ( 608350 1321070 ) ( 1607930 * )
NEW met2 ( 1607930 709580 ) ( * 1321070 )
NEW met2 ( 608350 1329740 ) M2M3_PR
NEW met1 ( 608350 1321070 ) M1M2_PR
NEW met2 ( 1607930 709580 ) M2M3_PR
NEW met1 ( 1607930 1321070 ) M1M2_PR ;
- wbs_uprj_dat_i\[21\] ( wrapped_function_generator_0 wbs_dat_i[21] ) ( wb_bridge_2way wbm_a_dat_o[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1441940 0 ) ( 609270 * )
NEW met2 ( 609270 1435310 ) ( * 1441940 )
NEW met2 ( 1628630 712300 ) ( * 734230 )
NEW met1 ( 1628630 734230 ) ( 1629090 * )
NEW met1 ( 1629090 734230 ) ( * 735250 )
NEW met2 ( 1629090 735250 ) ( * 1435310 )
NEW met1 ( 609270 1435310 ) ( 1629090 * )
NEW met3 ( 1609540 712300 0 ) ( 1628170 * )
NEW met2 ( 1628170 712300 ) ( 1628630 * )
NEW met2 ( 609270 1441940 ) M2M3_PR
NEW met1 ( 609270 1435310 ) M1M2_PR
NEW met1 ( 1629090 1435310 ) M1M2_PR
NEW met1 ( 1628630 734230 ) M1M2_PR
NEW met1 ( 1629090 735250 ) M1M2_PR
NEW met2 ( 1628170 712300 ) M2M3_PR ;
- wbs_uprj_dat_i\[22\] ( wrapped_function_generator_0 wbs_dat_i[22] ) ( wb_bridge_2way wbm_a_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 282670 907630 ) ( * 1401310 )
NEW met2 ( 338790 1401310 ) ( * 1402500 )
NEW met3 ( 338790 1402500 ) ( 350980 * )
NEW met3 ( 350980 1402500 ) ( * 1404540 0 )
NEW met1 ( 282670 1401310 ) ( 338790 * )
NEW met3 ( 1609540 715700 0 ) ( 1621730 * )
NEW met2 ( 1621730 715700 ) ( * 717230 )
NEW met1 ( 1621730 717230 ) ( 1718790 * )
NEW met1 ( 282670 907630 ) ( 1718790 * )
NEW met2 ( 1718790 717230 ) ( * 907630 )
NEW met1 ( 282670 907630 ) M1M2_PR
NEW met1 ( 282670 1401310 ) M1M2_PR
NEW met1 ( 338790 1401310 ) M1M2_PR
NEW met2 ( 338790 1402500 ) M2M3_PR
NEW met2 ( 1621730 715700 ) M2M3_PR
NEW met1 ( 1621730 717230 ) M1M2_PR
NEW met1 ( 1718790 717230 ) M1M2_PR
NEW met1 ( 1718790 907630 ) M1M2_PR ;
- wbs_uprj_dat_i\[23\] ( wrapped_function_generator_0 wbs_dat_i[23] ) ( wb_bridge_2way wbm_a_dat_o[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1380740 0 ) ( 607890 * )
NEW met2 ( 607890 1380230 ) ( * 1380740 )
NEW met1 ( 607890 1380230 ) ( 1676930 * )
NEW met3 ( 1609540 719780 0 ) ( 1621730 * )
NEW met2 ( 1621730 719780 ) ( * 724370 )
NEW met1 ( 1621730 724370 ) ( 1676930 * )
NEW met2 ( 1676930 724370 ) ( * 1380230 )
NEW met2 ( 607890 1380740 ) M2M3_PR
NEW met1 ( 607890 1380230 ) M1M2_PR
NEW met1 ( 1676930 1380230 ) M1M2_PR
NEW met2 ( 1621730 719780 ) M2M3_PR
NEW met1 ( 1621730 724370 ) M1M2_PR
NEW met1 ( 1676930 724370 ) M1M2_PR ;
- wbs_uprj_dat_i\[24\] ( wrapped_function_generator_0 wbs_dat_i[24] ) ( wb_bridge_2way wbm_a_dat_o[24] ) + USE SIGNAL
+ ROUTED met3 ( 343390 1252220 ) ( 350980 * )
NEW met3 ( 350980 1252220 ) ( * 1254940 0 )
NEW met2 ( 343390 1197140 ) ( * 1252220 )
NEW met3 ( 1607700 723860 0 ) ( * 724540 )
NEW met3 ( 343390 1197140 ) ( 1607700 * )
NEW met4 ( 1607700 724540 ) ( * 1197140 )
NEW met2 ( 343390 1252220 ) M2M3_PR
NEW met2 ( 343390 1197140 ) M2M3_PR
NEW met3 ( 1607700 724540 ) M3M4_PR
NEW met3 ( 1607700 1197140 ) M3M4_PR ;
- wbs_uprj_dat_i\[25\] ( wrapped_function_generator_0 wbs_dat_i[25] ) ( wb_bridge_2way wbm_a_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 1732590 730830 ) ( * 962710 )
NEW met2 ( 338790 1276530 ) ( * 1276700 )
NEW met3 ( 338790 1276700 ) ( 350980 * )
NEW met3 ( 350980 1276700 ) ( * 1278740 0 )
NEW met1 ( 267030 1276530 ) ( 338790 * )
NEW met1 ( 267030 962710 ) ( 1732590 * )
NEW met2 ( 267030 962710 ) ( * 1276530 )
NEW met3 ( 1609540 727260 0 ) ( 1622190 * )
NEW met2 ( 1622190 727260 ) ( * 730830 )
NEW met1 ( 1622190 730830 ) ( 1732590 * )
NEW met1 ( 1732590 962710 ) M1M2_PR
NEW met1 ( 1732590 730830 ) M1M2_PR
NEW met1 ( 267030 962710 ) M1M2_PR
NEW met1 ( 267030 1276530 ) M1M2_PR
NEW met1 ( 338790 1276530 ) M1M2_PR
NEW met2 ( 338790 1276700 ) M2M3_PR
NEW met2 ( 1622190 727260 ) M2M3_PR
NEW met1 ( 1622190 730830 ) M1M2_PR ;
- wbs_uprj_dat_i\[26\] ( wrapped_function_generator_0 wbs_dat_i[26] ) ( wb_bridge_2way wbm_a_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1456050 ) ( * 1459110 )
NEW met1 ( 586270 1459110 ) ( 599610 * )
NEW met1 ( 586270 1459110 ) ( * 1459450 )
NEW met2 ( 586270 1459280 ) ( * 1459450 )
NEW met2 ( 585350 1459280 0 ) ( 586270 * )
NEW met2 ( 1767090 731170 ) ( * 1456050 )
NEW met1 ( 599610 1456050 ) ( 1767090 * )
NEW met3 ( 1609540 731340 0 ) ( 1621730 * )
NEW met2 ( 1621730 731170 ) ( * 731340 )
NEW met1 ( 1621730 731170 ) ( 1767090 * )
NEW met1 ( 599610 1456050 ) M1M2_PR
NEW met1 ( 599610 1459110 ) M1M2_PR
NEW met1 ( 586270 1459450 ) M1M2_PR
NEW met1 ( 1767090 1456050 ) M1M2_PR
NEW met1 ( 1767090 731170 ) M1M2_PR
NEW met2 ( 1621730 731340 ) M2M3_PR
NEW met1 ( 1621730 731170 ) M1M2_PR ;
- wbs_uprj_dat_i\[27\] ( wrapped_function_generator_0 wbs_dat_i[27] ) ( wb_bridge_2way wbm_a_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 1752830 737970 ) ( * 1504330 )
NEW met2 ( 442290 1459815 ) ( 443670 * 0 )
NEW met2 ( 442290 1459815 ) ( * 1504330 )
NEW met3 ( 1609540 735420 0 ) ( 1621730 * )
NEW met2 ( 1621730 735420 ) ( * 737970 )
NEW met1 ( 1621730 737970 ) ( 1752830 * )
NEW met1 ( 442290 1504330 ) ( 1752830 * )
NEW met1 ( 1752830 737970 ) M1M2_PR
NEW met1 ( 1752830 1504330 ) M1M2_PR
NEW met1 ( 442290 1504330 ) M1M2_PR
NEW met2 ( 1621730 735420 ) M2M3_PR
NEW met1 ( 1621730 737970 ) M1M2_PR ;
- wbs_uprj_dat_i\[28\] ( wrapped_function_generator_0 wbs_dat_i[28] ) ( wb_bridge_2way wbm_a_dat_o[28] ) + USE SIGNAL
+ ROUTED met1 ( 421130 894370 ) ( 1616210 * )
NEW met2 ( 424350 1193700 ) ( * 1200185 0 )
NEW met2 ( 422050 1193700 ) ( 424350 * )
NEW met3 ( 1609540 738820 0 ) ( 1616210 * )
NEW met2 ( 1616210 738820 ) ( * 894370 )
NEW met2 ( 421130 1148860 ) ( 422050 * )
NEW met2 ( 421130 894370 ) ( * 1148860 )
NEW met2 ( 422050 1148860 ) ( * 1193700 )
NEW met1 ( 421130 894370 ) M1M2_PR
NEW met1 ( 1616210 894370 ) M1M2_PR
NEW met2 ( 1616210 738820 ) M2M3_PR ;
- wbs_uprj_dat_i\[29\] ( wrapped_function_generator_0 wbs_dat_i[29] ) ( wb_bridge_2way wbm_a_dat_o[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1336540 0 ) ( 608350 * )
NEW met2 ( 608350 1331950 ) ( * 1336540 )
NEW met2 ( 1746390 745110 ) ( * 1331950 )
NEW met3 ( 1609540 742900 0 ) ( 1621730 * )
NEW met2 ( 1621730 742900 ) ( * 745110 )
NEW met1 ( 1621730 745110 ) ( 1746390 * )
NEW met1 ( 608350 1331950 ) ( 1746390 * )
NEW met2 ( 608350 1336540 ) M2M3_PR
NEW met1 ( 608350 1331950 ) M1M2_PR
NEW met1 ( 1746390 745110 ) M1M2_PR
NEW met1 ( 1746390 1331950 ) M1M2_PR
NEW met2 ( 1621730 742900 ) M2M3_PR
NEW met1 ( 1621730 745110 ) M1M2_PR ;
- wbs_uprj_dat_i\[2\] ( wrapped_function_generator_0 wbs_dat_i[2] ) ( wb_bridge_2way wbm_a_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 1656690 641410 ) ( * 1149030 )
NEW met1 ( 543030 1149030 ) ( 1656690 * )
NEW met2 ( 543430 1199180 ) ( * 1200185 0 )
NEW met2 ( 543030 1199180 ) ( 543430 * )
NEW met2 ( 543030 1149030 ) ( * 1199180 )
NEW met3 ( 1609540 638860 0 ) ( 1621730 * )
NEW met2 ( 1621730 638860 ) ( * 641410 )
NEW met1 ( 1621730 641410 ) ( 1656690 * )
NEW met1 ( 1656690 1149030 ) M1M2_PR
NEW met1 ( 1656690 641410 ) M1M2_PR
NEW met1 ( 543030 1149030 ) M1M2_PR
NEW met2 ( 1621730 638860 ) M2M3_PR
NEW met1 ( 1621730 641410 ) M1M2_PR ;
- wbs_uprj_dat_i\[30\] ( wrapped_function_generator_0 wbs_dat_i[30] ) ( wb_bridge_2way wbm_a_dat_o[30] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1258340 0 ) ( 607890 * )
NEW met2 ( 607890 1256130 ) ( * 1258340 )
NEW met2 ( 1636450 748850 ) ( * 1256130 )
NEW met1 ( 607890 1256130 ) ( 1636450 * )
NEW met3 ( 1609540 746980 0 ) ( 1621730 * )
NEW met2 ( 1621730 746980 ) ( * 748850 )
NEW met1 ( 1621730 748850 ) ( 1636450 * )
NEW met2 ( 607890 1258340 ) M2M3_PR
NEW met1 ( 607890 1256130 ) M1M2_PR
NEW met1 ( 1636450 1256130 ) M1M2_PR
NEW met1 ( 1636450 748850 ) M1M2_PR
NEW met2 ( 1621730 746980 ) M2M3_PR
NEW met1 ( 1621730 748850 ) M1M2_PR ;
- wbs_uprj_dat_i\[31\] ( wrapped_function_generator_0 wbs_dat_i[31] ) ( wb_bridge_2way wbm_a_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 338790 1373770 ) ( * 1375980 )
NEW met3 ( 338790 1375980 ) ( 350980 * )
NEW met3 ( 350980 1375980 ) ( * 1377340 0 )
NEW met1 ( 275770 1373770 ) ( 338790 * )
NEW met2 ( 275770 1121830 ) ( * 1373770 )
NEW met1 ( 275770 1121830 ) ( 755550 * )
NEW met2 ( 755550 928710 ) ( * 1121830 )
NEW met3 ( 1609540 750380 0 ) ( 1621730 * )
NEW met2 ( 1621730 750380 ) ( * 751910 )
NEW met1 ( 1621730 751910 ) ( 1683830 * )
NEW met1 ( 755550 928710 ) ( 1683830 * )
NEW met2 ( 1683830 751910 ) ( * 928710 )
NEW met1 ( 275770 1373770 ) M1M2_PR
NEW met1 ( 338790 1373770 ) M1M2_PR
NEW met2 ( 338790 1375980 ) M2M3_PR
NEW met1 ( 275770 1121830 ) M1M2_PR
NEW met1 ( 755550 928710 ) M1M2_PR
NEW met1 ( 755550 1121830 ) M1M2_PR
NEW met2 ( 1621730 750380 ) M2M3_PR
NEW met1 ( 1621730 751910 ) M1M2_PR
NEW met1 ( 1683830 751910 ) M1M2_PR
NEW met1 ( 1683830 928710 ) M1M2_PR ;
- wbs_uprj_dat_i\[3\] ( wrapped_function_generator_0 wbs_dat_i[3] ) ( wb_bridge_2way wbm_a_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1200540 ) ( * 1200710 )
NEW met2 ( 598230 1200540 0 ) ( 599610 * )
NEW met2 ( 1656230 648210 ) ( * 1200710 )
NEW met3 ( 1609540 642940 0 ) ( 1622190 * )
NEW met2 ( 1622190 642940 ) ( * 648210 )
NEW met1 ( 1622190 648210 ) ( 1656230 * )
NEW met1 ( 599610 1200710 ) ( 1656230 * )
NEW met1 ( 599610 1200710 ) M1M2_PR
NEW met1 ( 1656230 648210 ) M1M2_PR
NEW met1 ( 1656230 1200710 ) M1M2_PR
NEW met2 ( 1622190 642940 ) M2M3_PR
NEW met1 ( 1622190 648210 ) M1M2_PR ;
- wbs_uprj_dat_i\[4\] ( wrapped_function_generator_0 wbs_dat_i[4] ) ( wb_bridge_2way wbm_a_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 388930 1162970 ) ( * 1200185 0 )
NEW met2 ( 1760190 648550 ) ( * 1162970 )
NEW met3 ( 1609540 647020 0 ) ( 1621730 * )
NEW met2 ( 1621730 647020 ) ( * 648550 )
NEW met1 ( 1621730 648550 ) ( 1760190 * )
NEW met1 ( 388930 1162970 ) ( 1760190 * )
NEW met1 ( 388930 1162970 ) M1M2_PR
NEW met1 ( 1760190 1162970 ) M1M2_PR
NEW met1 ( 1760190 648550 ) M1M2_PR
NEW met2 ( 1621730 647020 ) M2M3_PR
NEW met1 ( 1621730 648550 ) M1M2_PR ;
- wbs_uprj_dat_i\[5\] ( wrapped_function_generator_0 wbs_dat_i[5] ) ( wb_bridge_2way wbm_a_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1643810 655010 ) ( * 983450 )
NEW met1 ( 462530 983450 ) ( 1643810 * )
NEW met2 ( 466150 1199010 ) ( * 1200185 0 )
NEW met1 ( 462530 1199010 ) ( 466150 * )
NEW met2 ( 462530 983450 ) ( * 1199010 )
NEW met3 ( 1609540 650420 0 ) ( 1622190 * )
NEW met2 ( 1622190 650420 ) ( * 655010 )
NEW met1 ( 1622190 655010 ) ( 1643810 * )
NEW met1 ( 1643810 983450 ) M1M2_PR
NEW met1 ( 1643810 655010 ) M1M2_PR
NEW met1 ( 462530 983450 ) M1M2_PR
NEW met1 ( 466150 1199010 ) M1M2_PR
NEW met1 ( 462530 1199010 ) M1M2_PR
NEW met2 ( 1622190 650420 ) M2M3_PR
NEW met1 ( 1622190 655010 ) M1M2_PR ;
- wbs_uprj_dat_i\[6\] ( wrapped_function_generator_0 wbs_dat_i[6] ) ( wb_bridge_2way wbm_a_dat_o[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1295740 0 ) ( 607890 * )
NEW met2 ( 607890 1290470 ) ( * 1295740 )
NEW met2 ( 1745930 655350 ) ( * 1290470 )
NEW met3 ( 1609540 654500 0 ) ( 1621730 * )
NEW met2 ( 1621730 654500 ) ( * 655350 )
NEW met1 ( 1621730 655350 ) ( 1745930 * )
NEW met1 ( 607890 1290470 ) ( 1745930 * )
NEW met2 ( 607890 1295740 ) M2M3_PR
NEW met1 ( 607890 1290470 ) M1M2_PR
NEW met1 ( 1745930 655350 ) M1M2_PR
NEW met1 ( 1745930 1290470 ) M1M2_PR
NEW met2 ( 1621730 654500 ) M2M3_PR
NEW met1 ( 1621730 655350 ) M1M2_PR ;
- wbs_uprj_dat_i\[7\] ( wrapped_function_generator_0 wbs_dat_i[7] ) ( wb_bridge_2way wbm_a_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 569250 1459815 0 ) ( * 1469990 )
NEW met1 ( 569250 1469990 ) ( 1787790 * )
NEW met3 ( 1609540 658580 0 ) ( 1622190 * )
NEW met2 ( 1622190 658580 ) ( * 662150 )
NEW met1 ( 1622190 662150 ) ( 1787790 * )
NEW met2 ( 1787790 662150 ) ( * 1469990 )
NEW met1 ( 569250 1469990 ) M1M2_PR
NEW met1 ( 1787790 1469990 ) M1M2_PR
NEW met2 ( 1622190 658580 ) M2M3_PR
NEW met1 ( 1622190 662150 ) M1M2_PR
NEW met1 ( 1787790 662150 ) M1M2_PR ;
- wbs_uprj_dat_i\[8\] ( wrapped_function_generator_0 wbs_dat_i[8] ) ( wb_bridge_2way wbm_a_dat_o[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1414740 0 ) ( 607890 * )
NEW met2 ( 607890 1414570 ) ( * 1414740 )
NEW met3 ( 1609540 661980 0 ) ( 1621730 * )
NEW met2 ( 1621730 661810 ) ( * 661980 )
NEW met1 ( 1621730 661810 ) ( 1711890 * )
NEW met1 ( 607890 1414570 ) ( 1711890 * )
NEW met2 ( 1711890 661810 ) ( * 1414570 )
NEW met2 ( 607890 1414740 ) M2M3_PR
NEW met1 ( 607890 1414570 ) M1M2_PR
NEW met2 ( 1621730 661980 ) M2M3_PR
NEW met1 ( 1621730 661810 ) M1M2_PR
NEW met1 ( 1711890 661810 ) M1M2_PR
NEW met1 ( 1711890 1414570 ) M1M2_PR ;
- wbs_uprj_dat_i\[9\] ( wrapped_function_generator_0 wbs_dat_i[9] ) ( wb_bridge_2way wbm_a_dat_o[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1200540 0 ) ( 607430 * )
NEW met2 ( 607430 1193910 ) ( * 1200540 )
NEW met2 ( 1732130 669290 ) ( * 1193910 )
NEW met3 ( 1609540 666060 0 ) ( 1621730 * )
NEW met2 ( 1621730 666060 ) ( * 669290 )
NEW met1 ( 1621730 669290 ) ( 1732130 * )
NEW met1 ( 607430 1193910 ) ( 1732130 * )
NEW met1 ( 1732130 669290 ) M1M2_PR
NEW met2 ( 607430 1200540 ) M2M3_PR
NEW met1 ( 607430 1193910 ) M1M2_PR
NEW met1 ( 1732130 1193910 ) M1M2_PR
NEW met2 ( 1621730 666060 ) M2M3_PR
NEW met1 ( 1621730 669290 ) M1M2_PR ;
- wbs_uprj_dat_o\[0\] ( wrapped_function_generator_0 wbs_dat_o[0] ) ( wb_bridge_2way wbm_a_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1663130 758370 ) ( * 1190170 )
NEW met1 ( 369610 1190170 ) ( 1663130 * )
NEW met2 ( 369610 1190170 ) ( * 1200185 0 )
NEW met3 ( 1609540 754460 0 ) ( 1622190 * )
NEW met2 ( 1622190 754460 ) ( * 758370 )
NEW met1 ( 1622190 758370 ) ( 1663130 * )
NEW met1 ( 1663130 1190170 ) M1M2_PR
NEW met1 ( 1663130 758370 ) M1M2_PR
NEW met1 ( 369610 1190170 ) M1M2_PR
NEW met2 ( 1622190 754460 ) M2M3_PR
NEW met1 ( 1622190 758370 ) M1M2_PR ;
- wbs_uprj_dat_o\[10\] ( wrapped_function_generator_0 wbs_dat_o[10] ) ( wb_bridge_2way wbm_a_dat_i[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1333140 0 ) ( 607890 * )
NEW met2 ( 607890 1332290 ) ( * 1333140 )
NEW met2 ( 1562850 879750 ) ( * 1332290 )
NEW met2 ( 1657150 793050 ) ( * 879750 )
NEW met3 ( 1609540 792540 0 ) ( 1621730 * )
NEW met2 ( 1621730 792540 ) ( * 793050 )
NEW met1 ( 1621730 793050 ) ( 1657150 * )
NEW met1 ( 1562850 879750 ) ( 1657150 * )
NEW met1 ( 607890 1332290 ) ( 1562850 * )
NEW met1 ( 1562850 879750 ) M1M2_PR
NEW met1 ( 1657150 793050 ) M1M2_PR
NEW met1 ( 1657150 879750 ) M1M2_PR
NEW met2 ( 607890 1333140 ) M2M3_PR
NEW met1 ( 607890 1332290 ) M1M2_PR
NEW met1 ( 1562850 1332290 ) M1M2_PR
NEW met2 ( 1621730 792540 ) M2M3_PR
NEW met1 ( 1621730 793050 ) M1M2_PR ;
- wbs_uprj_dat_o\[11\] ( wrapped_function_generator_0 wbs_dat_o[11] ) ( wb_bridge_2way wbm_a_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 1670950 800190 ) ( * 1003850 )
NEW met3 ( 1609540 796620 0 ) ( 1621730 * )
NEW met2 ( 1621730 796620 ) ( * 800190 )
NEW met1 ( 1621730 800190 ) ( 1670950 * )
NEW met2 ( 352130 1003850 ) ( * 1193700 )
NEW met2 ( 353510 1193700 ) ( * 1200185 0 )
NEW met2 ( 352130 1193700 ) ( 353510 * )
NEW met1 ( 352130 1003850 ) ( 1670950 * )
NEW met1 ( 1670950 800190 ) M1M2_PR
NEW met1 ( 1670950 1003850 ) M1M2_PR
NEW met2 ( 1621730 796620 ) M2M3_PR
NEW met1 ( 1621730 800190 ) M1M2_PR
NEW met1 ( 352130 1003850 ) M1M2_PR ;
- wbs_uprj_dat_o\[12\] ( wrapped_function_generator_0 wbs_dat_o[12] ) ( wb_bridge_2way wbm_a_dat_i[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1424940 0 ) ( 607890 * )
NEW met2 ( 607890 1422050 ) ( * 1424940 )
NEW met3 ( 1609540 800700 0 ) ( 1614830 * )
NEW met1 ( 607890 1422050 ) ( 1614830 * )
NEW met2 ( 1614830 800700 ) ( * 1422050 )
NEW met2 ( 607890 1424940 ) M2M3_PR
NEW met1 ( 607890 1422050 ) M1M2_PR
NEW met2 ( 1614830 800700 ) M2M3_PR
NEW met1 ( 1614830 1422050 ) M1M2_PR ;
- wbs_uprj_dat_o\[13\] ( wrapped_function_generator_0 wbs_dat_o[13] ) ( wb_bridge_2way wbm_a_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 556370 1459815 0 ) ( * 1476620 )
NEW met3 ( 1609540 804100 0 ) ( 1621730 * )
NEW met2 ( 1621730 804100 ) ( * 806990 )
NEW met1 ( 1621730 806990 ) ( 1704530 * )
NEW met3 ( 556370 1476620 ) ( 1704530 * )
NEW met2 ( 1704530 806990 ) ( * 1476620 )
NEW met2 ( 556370 1476620 ) M2M3_PR
NEW met2 ( 1621730 804100 ) M2M3_PR
NEW met1 ( 1621730 806990 ) M1M2_PR
NEW met1 ( 1704530 806990 ) M1M2_PR
NEW met2 ( 1704530 1476620 ) M2M3_PR ;
- wbs_uprj_dat_o\[14\] ( wrapped_function_generator_0 wbs_dat_o[14] ) ( wb_bridge_2way wbm_a_dat_i[14] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1220940 0 ) ( 607430 * )
NEW met2 ( 607430 1214990 ) ( * 1220940 )
NEW met1 ( 607430 1214990 ) ( 1032010 * )
NEW met2 ( 1032010 921910 ) ( * 1214990 )
NEW met3 ( 1609540 808180 0 ) ( 1622190 * )
NEW met2 ( 1622190 808180 ) ( * 813790 )
NEW met1 ( 1622190 813790 ) ( 1677390 * )
NEW met1 ( 1032010 921910 ) ( 1677390 * )
NEW met2 ( 1677390 813790 ) ( * 921910 )
NEW met2 ( 607430 1220940 ) M2M3_PR
NEW met1 ( 607430 1214990 ) M1M2_PR
NEW met1 ( 1032010 921910 ) M1M2_PR
NEW met1 ( 1032010 1214990 ) M1M2_PR
NEW met2 ( 1622190 808180 ) M2M3_PR
NEW met1 ( 1622190 813790 ) M1M2_PR
NEW met1 ( 1677390 813790 ) M1M2_PR
NEW met1 ( 1677390 921910 ) M1M2_PR ;
- wbs_uprj_dat_o\[15\] ( wrapped_function_generator_0 wbs_dat_o[15] ) ( wb_bridge_2way wbm_a_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 1659450 893690 ) ( * 1497530 )
NEW met2 ( 545330 1459815 ) ( 546710 * 0 )
NEW met1 ( 1659450 893690 ) ( 1712350 * )
NEW met2 ( 545330 1459815 ) ( * 1497530 )
NEW met3 ( 1609540 812260 0 ) ( 1621730 * )
NEW met2 ( 1621730 812260 ) ( * 814130 )
NEW met1 ( 545330 1497530 ) ( 1659450 * )
NEW met1 ( 1621730 814130 ) ( 1712350 * )
NEW met2 ( 1712350 814130 ) ( * 893690 )
NEW met1 ( 1659450 893690 ) M1M2_PR
NEW met1 ( 1659450 1497530 ) M1M2_PR
NEW met1 ( 1712350 893690 ) M1M2_PR
NEW met1 ( 545330 1497530 ) M1M2_PR
NEW met2 ( 1621730 812260 ) M2M3_PR
NEW met1 ( 1621730 814130 ) M1M2_PR
NEW met1 ( 1712350 814130 ) M1M2_PR ;
- wbs_uprj_dat_o\[16\] ( wrapped_function_generator_0 wbs_dat_o[16] ) ( wb_bridge_2way wbm_a_dat_i[16] ) + USE SIGNAL
+ ROUTED met1 ( 261970 1058930 ) ( 1691190 * )
NEW met2 ( 261970 1058930 ) ( * 1297270 )
NEW met2 ( 338330 1297270 ) ( * 1297780 )
NEW met3 ( 338330 1297780 ) ( 351900 * )
NEW met3 ( 351900 1297780 ) ( * 1299140 0 )
NEW met1 ( 261970 1297270 ) ( 338330 * )
NEW met3 ( 1609540 815660 0 ) ( 1622190 * )
NEW met2 ( 1622190 815660 ) ( * 820930 )
NEW met1 ( 1622190 820930 ) ( 1691190 * )
NEW met2 ( 1691190 820930 ) ( * 1058930 )
NEW met1 ( 261970 1058930 ) M1M2_PR
NEW met1 ( 1691190 1058930 ) M1M2_PR
NEW met1 ( 261970 1297270 ) M1M2_PR
NEW met1 ( 338330 1297270 ) M1M2_PR
NEW met2 ( 338330 1297780 ) M2M3_PR
NEW met2 ( 1622190 815660 ) M2M3_PR
NEW met1 ( 1622190 820930 ) M1M2_PR
NEW met1 ( 1691190 820930 ) M1M2_PR ;
- wbs_uprj_dat_o\[17\] ( wrapped_function_generator_0 wbs_dat_o[17] ) ( wb_bridge_2way wbm_a_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 289570 1142570 ) ( * 1304410 )
NEW met2 ( 1570210 902190 ) ( * 1142570 )
NEW met2 ( 1657610 820590 ) ( * 902190 )
NEW met1 ( 1570210 902190 ) ( 1657610 * )
NEW met2 ( 338790 1304410 ) ( * 1307300 )
NEW met3 ( 338790 1307300 ) ( 350980 * )
NEW met3 ( 350980 1307300 ) ( * 1309340 0 )
NEW met1 ( 289570 1304410 ) ( 338790 * )
NEW met3 ( 1609540 819740 0 ) ( 1621730 * )
NEW met2 ( 1621730 819740 ) ( * 820590 )
NEW met1 ( 1621730 820590 ) ( 1657610 * )
NEW met1 ( 289570 1142570 ) ( 1570210 * )
NEW met1 ( 1570210 902190 ) M1M2_PR
NEW met1 ( 1657610 902190 ) M1M2_PR
NEW met1 ( 289570 1142570 ) M1M2_PR
NEW met1 ( 289570 1304410 ) M1M2_PR
NEW met1 ( 1570210 1142570 ) M1M2_PR
NEW met1 ( 1657610 820590 ) M1M2_PR
NEW met1 ( 338790 1304410 ) M1M2_PR
NEW met2 ( 338790 1307300 ) M2M3_PR
NEW met2 ( 1621730 819740 ) M2M3_PR
NEW met1 ( 1621730 820590 ) M1M2_PR ;
- wbs_uprj_dat_o\[18\] ( wrapped_function_generator_0 wbs_dat_o[18] ) ( wb_bridge_2way wbm_a_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1190510 ) ( * 1200185 0 )
NEW met1 ( 511290 1190510 ) ( 1698090 * )
NEW met3 ( 1609540 823820 0 ) ( 1622190 * )
NEW met2 ( 1622190 823820 ) ( * 827730 )
NEW met1 ( 1622190 827730 ) ( 1698090 * )
NEW met2 ( 1698090 827730 ) ( * 1190510 )
NEW met1 ( 511290 1190510 ) M1M2_PR
NEW met1 ( 1698090 1190510 ) M1M2_PR
NEW met2 ( 1622190 823820 ) M2M3_PR
NEW met1 ( 1622190 827730 ) M1M2_PR
NEW met1 ( 1698090 827730 ) M1M2_PR ;
- wbs_uprj_dat_o\[19\] ( wrapped_function_generator_0 wbs_dat_o[19] ) ( wb_bridge_2way wbm_a_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 389850 1080010 ) ( * 1190510 )
NEW met2 ( 1577110 880430 ) ( * 1080010 )
NEW met2 ( 1650250 827390 ) ( * 880430 )
NEW met1 ( 349830 1190510 ) ( 389850 * )
NEW met1 ( 389850 1080010 ) ( 1577110 * )
NEW met1 ( 1577110 880430 ) ( 1650250 * )
NEW met2 ( 349830 1200540 ) ( 350290 * 0 )
NEW met2 ( 349830 1190510 ) ( * 1200540 )
NEW met3 ( 1609540 827220 0 ) ( 1621730 * )
NEW met2 ( 1621730 827220 ) ( * 827390 )
NEW met1 ( 1621730 827390 ) ( 1650250 * )
NEW met1 ( 389850 1080010 ) M1M2_PR
NEW met1 ( 389850 1190510 ) M1M2_PR
NEW met1 ( 1577110 880430 ) M1M2_PR
NEW met1 ( 1577110 1080010 ) M1M2_PR
NEW met1 ( 1650250 880430 ) M1M2_PR
NEW met1 ( 1650250 827390 ) M1M2_PR
NEW met1 ( 349830 1190510 ) M1M2_PR
NEW met2 ( 1621730 827220 ) M2M3_PR
NEW met1 ( 1621730 827390 ) M1M2_PR ;
- wbs_uprj_dat_o\[1\] ( wrapped_function_generator_0 wbs_dat_o[1] ) ( wb_bridge_2way wbm_a_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 538430 920890 ) ( * 1193700 )
NEW met2 ( 540270 1193700 ) ( * 1200185 0 )
NEW met2 ( 538430 1193700 ) ( 540270 * )
NEW met3 ( 1609540 758540 0 ) ( 1621730 * )
NEW met2 ( 1621730 758540 ) ( * 758710 )
NEW met1 ( 1621730 758710 ) ( 1691650 * )
NEW met1 ( 538430 920890 ) ( 1691650 * )
NEW met2 ( 1691650 758710 ) ( * 920890 )
NEW met1 ( 538430 920890 ) M1M2_PR
NEW met2 ( 1621730 758540 ) M2M3_PR
NEW met1 ( 1621730 758710 ) M1M2_PR
NEW met1 ( 1691650 758710 ) M1M2_PR
NEW met1 ( 1691650 920890 ) M1M2_PR ;
- wbs_uprj_dat_o\[20\] ( wrapped_function_generator_0 wbs_dat_o[20] ) ( wb_bridge_2way wbm_a_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 227010 997390 ) ( * 1345550 )
NEW met2 ( 338330 1345550 ) ( * 1347420 )
NEW met3 ( 338330 1347420 ) ( 350980 * )
NEW met3 ( 350980 1346740 0 ) ( * 1347420 )
NEW met1 ( 227010 1345550 ) ( 338330 * )
NEW met1 ( 227010 997390 ) ( 1591370 * )
NEW met3 ( 1591370 879580 ) ( 1677850 * )
NEW met3 ( 1609540 831300 0 ) ( 1621730 * )
NEW met2 ( 1621730 831300 ) ( * 834870 )
NEW met2 ( 1591370 879580 ) ( * 997390 )
NEW met1 ( 1621730 834870 ) ( 1677850 * )
NEW met2 ( 1677850 834870 ) ( * 879580 )
NEW met1 ( 227010 997390 ) M1M2_PR
NEW met1 ( 227010 1345550 ) M1M2_PR
NEW met1 ( 338330 1345550 ) M1M2_PR
NEW met2 ( 338330 1347420 ) M2M3_PR
NEW met2 ( 1591370 879580 ) M2M3_PR
NEW met1 ( 1591370 997390 ) M1M2_PR
NEW met2 ( 1677850 879580 ) M2M3_PR
NEW met2 ( 1621730 831300 ) M2M3_PR
NEW met1 ( 1621730 834870 ) M1M2_PR
NEW met1 ( 1677850 834870 ) M1M2_PR ;
- wbs_uprj_dat_o\[21\] ( wrapped_function_generator_0 wbs_dat_o[21] ) ( wb_bridge_2way wbm_a_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 1649330 841670 ) ( * 887910 )
NEW met1 ( 1591830 887910 ) ( 1649330 * )
NEW met3 ( 337870 1218220 ) ( 350980 * )
NEW met3 ( 350980 1218220 ) ( * 1220940 0 )
NEW met2 ( 337870 928030 ) ( * 1218220 )
NEW met3 ( 1609540 835380 0 ) ( 1621730 * )
NEW met2 ( 1621730 835380 ) ( * 841670 )
NEW met1 ( 1621730 841670 ) ( 1649330 * )
NEW met1 ( 337870 928030 ) ( 1591830 * )
NEW met2 ( 1591830 887910 ) ( * 928030 )
NEW met1 ( 1649330 887910 ) M1M2_PR
NEW met1 ( 1649330 841670 ) M1M2_PR
NEW met1 ( 1591830 887910 ) M1M2_PR
NEW met1 ( 337870 928030 ) M1M2_PR
NEW met2 ( 337870 1218220 ) M2M3_PR
NEW met2 ( 1621730 835380 ) M2M3_PR
NEW met1 ( 1621730 841670 ) M1M2_PR
NEW met1 ( 1591830 928030 ) M1M2_PR ;
- wbs_uprj_dat_o\[22\] ( wrapped_function_generator_0 wbs_dat_o[22] ) ( wb_bridge_2way wbm_a_dat_i[22] ) + USE SIGNAL
+ ROUTED met3 ( 345690 1263100 ) ( 350980 * )
NEW met3 ( 350980 1263100 ) ( * 1265140 0 )
NEW met1 ( 345690 894030 ) ( 1622190 * )
NEW met2 ( 345690 894030 ) ( * 1263100 )
NEW met3 ( 1609540 838780 0 ) ( 1622190 * )
NEW met2 ( 1622190 838780 ) ( * 894030 )
NEW met1 ( 345690 894030 ) M1M2_PR
NEW met2 ( 345690 1263100 ) M2M3_PR
NEW met1 ( 1622190 894030 ) M1M2_PR
NEW met2 ( 1622190 838780 ) M2M3_PR ;
- wbs_uprj_dat_o\[23\] ( wrapped_function_generator_0 wbs_dat_o[23] ) ( wb_bridge_2way wbm_a_dat_i[23] ) + USE SIGNAL
+ ROUTED met1 ( 330970 893690 ) ( 1622650 * )
NEW met1 ( 330970 1304750 ) ( 338330 * )
NEW met2 ( 338330 1304580 ) ( * 1304750 )
NEW met3 ( 338330 1304580 ) ( 351900 * )
NEW met3 ( 351900 1304580 ) ( * 1305940 0 )
NEW met2 ( 330970 893690 ) ( * 1304750 )
NEW met3 ( 1609540 842860 0 ) ( 1622650 * )
NEW met2 ( 1622650 842860 ) ( * 893690 )
NEW met1 ( 330970 893690 ) M1M2_PR
NEW met1 ( 1622650 893690 ) M1M2_PR
NEW met1 ( 330970 1304750 ) M1M2_PR
NEW met1 ( 338330 1304750 ) M1M2_PR
NEW met2 ( 338330 1304580 ) M2M3_PR
NEW met2 ( 1622650 842860 ) M2M3_PR ;
- wbs_uprj_dat_o\[24\] ( wrapped_function_generator_0 wbs_dat_o[24] ) ( wb_bridge_2way wbm_a_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 401810 1193700 ) ( * 1200185 0 )
NEW met2 ( 400890 1193700 ) ( 401810 * )
NEW met3 ( 1606780 846940 0 ) ( * 847620 )
NEW met4 ( 1601260 952200 ) ( 1606780 * )
NEW met4 ( 1606780 847620 ) ( * 952200 )
NEW met4 ( 1601260 952200 ) ( * 1148860 )
NEW met2 ( 400890 1148860 ) ( * 1193700 )
NEW met3 ( 400890 1148860 ) ( 1601260 * )
NEW met3 ( 1601260 1148860 ) M3M4_PR
NEW met3 ( 1606780 847620 ) M3M4_PR
NEW met2 ( 400890 1148860 ) M2M3_PR ;
- wbs_uprj_dat_o\[25\] ( wrapped_function_generator_0 wbs_dat_o[25] ) ( wb_bridge_2way wbm_a_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 366330 1199180 ) ( * 1200185 0 )
NEW met2 ( 365930 1199180 ) ( 366330 * )
NEW met2 ( 365930 935510 ) ( * 1199180 )
NEW met3 ( 1609310 853060 ) ( 1609540 * )
NEW met3 ( 1609540 850340 0 ) ( * 853060 )
NEW met1 ( 365930 935510 ) ( 1609310 * )
NEW met2 ( 1609310 853060 ) ( * 935510 )
NEW met1 ( 365930 935510 ) M1M2_PR
NEW met2 ( 1609310 853060 ) M2M3_PR
NEW met1 ( 1609310 935510 ) M1M2_PR ;
- wbs_uprj_dat_o\[26\] ( wrapped_function_generator_0 wbs_dat_o[26] ) ( wb_bridge_2way wbm_a_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 483230 1471860 ) ( 485990 * )
NEW met2 ( 485990 1459620 ) ( * 1471860 )
NEW met2 ( 485990 1459620 ) ( 488690 * 0 )
NEW met2 ( 483230 1471860 ) ( * 1518950 )
NEW met2 ( 1576650 880090 ) ( * 1518950 )
NEW met2 ( 1671410 855270 ) ( * 880090 )
NEW met1 ( 1576650 880090 ) ( 1671410 * )
NEW met1 ( 483230 1518950 ) ( 1576650 * )
NEW met3 ( 1609540 854420 0 ) ( 1621730 * )
NEW met2 ( 1621730 854420 ) ( * 855270 )
NEW met1 ( 1621730 855270 ) ( 1671410 * )
NEW met1 ( 1576650 880090 ) M1M2_PR
NEW met1 ( 1671410 880090 ) M1M2_PR
NEW met1 ( 483230 1518950 ) M1M2_PR
NEW met1 ( 1576650 1518950 ) M1M2_PR
NEW met1 ( 1671410 855270 ) M1M2_PR
NEW met2 ( 1621730 854420 ) M2M3_PR
NEW met1 ( 1621730 855270 ) M1M2_PR ;
- wbs_uprj_dat_o\[27\] ( wrapped_function_generator_0 wbs_dat_o[27] ) ( wb_bridge_2way wbm_a_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1630470 856460 ) ( * 893180 )
NEW met2 ( 431710 1459815 ) ( 434010 * 0 )
NEW met3 ( 1609540 856460 ) ( * 858500 0 )
NEW met3 ( 1609540 856460 ) ( 1630470 * )
NEW met3 ( 1590450 893180 ) ( 1630470 * )
NEW met2 ( 431710 1459815 ) ( * 1518610 )
NEW met1 ( 431710 1518610 ) ( 1590450 * )
NEW met2 ( 1590450 893180 ) ( * 1518610 )
NEW met2 ( 1630470 856460 ) M2M3_PR
NEW met2 ( 1630470 893180 ) M2M3_PR
NEW met2 ( 1590450 893180 ) M2M3_PR
NEW met1 ( 431710 1518610 ) M1M2_PR
NEW met1 ( 1590450 1518610 ) M1M2_PR ;
- wbs_uprj_dat_o\[28\] ( wrapped_function_generator_0 wbs_dat_o[28] ) ( wb_bridge_2way wbm_a_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 482250 1199010 ) ( * 1200185 0 )
NEW met1 ( 476330 1199010 ) ( 482250 * )
NEW met2 ( 476330 921230 ) ( * 1199010 )
NEW met3 ( 1606550 863260 ) ( 1606780 * )
NEW met3 ( 1606780 861900 0 ) ( * 863260 )
NEW met1 ( 476330 921230 ) ( 1606550 * )
NEW met2 ( 1606550 863260 ) ( * 921230 )
NEW met1 ( 476330 921230 ) M1M2_PR
NEW met1 ( 482250 1199010 ) M1M2_PR
NEW met1 ( 476330 1199010 ) M1M2_PR
NEW met2 ( 1606550 863260 ) M2M3_PR
NEW met1 ( 1606550 921230 ) M1M2_PR ;
- wbs_uprj_dat_o\[29\] ( wrapped_function_generator_0 wbs_dat_o[29] ) ( wb_bridge_2way wbm_a_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 1670030 869210 ) ( * 1476790 )
NEW met2 ( 533830 1459815 0 ) ( * 1476790 )
NEW met3 ( 1609540 865980 0 ) ( 1621730 * )
NEW met2 ( 1621730 865980 ) ( * 869210 )
NEW met1 ( 1621730 869210 ) ( 1670030 * )
NEW met1 ( 533830 1476790 ) ( 1670030 * )
NEW met1 ( 1670030 869210 ) M1M2_PR
NEW met1 ( 1670030 1476790 ) M1M2_PR
NEW met1 ( 533830 1476790 ) M1M2_PR
NEW met2 ( 1621730 865980 ) M2M3_PR
NEW met1 ( 1621730 869210 ) M1M2_PR ;
- wbs_uprj_dat_o\[2\] ( wrapped_function_generator_0 wbs_dat_o[2] ) ( wb_bridge_2way wbm_a_dat_i[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1265140 0 ) ( 608350 * )
NEW met2 ( 608350 1262930 ) ( * 1265140 )
NEW met3 ( 1609540 761940 0 ) ( 1621730 * )
NEW met2 ( 1621730 761940 ) ( * 765850 )
NEW met1 ( 608350 1262930 ) ( 1584010 * )
NEW met1 ( 1621730 765850 ) ( 1705910 * )
NEW met1 ( 1584010 901850 ) ( 1705910 * )
NEW met2 ( 1584010 901850 ) ( * 1262930 )
NEW met2 ( 1705910 765850 ) ( * 901850 )
NEW met2 ( 608350 1265140 ) M2M3_PR
NEW met1 ( 608350 1262930 ) M1M2_PR
NEW met2 ( 1621730 761940 ) M2M3_PR
NEW met1 ( 1621730 765850 ) M1M2_PR
NEW met1 ( 1584010 901850 ) M1M2_PR
NEW met1 ( 1584010 1262930 ) M1M2_PR
NEW met1 ( 1705910 765850 ) M1M2_PR
NEW met1 ( 1705910 901850 ) M1M2_PR ;
- wbs_uprj_dat_o\[30\] ( wrapped_function_generator_0 wbs_dat_o[30] ) ( wb_bridge_2way wbm_a_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 316710 941630 ) ( * 1380570 )
NEW met2 ( 338330 1380570 ) ( * 1381420 )
NEW met3 ( 338330 1381420 ) ( 350980 * )
NEW met3 ( 350980 1380740 0 ) ( * 1381420 )
NEW met1 ( 316710 1380570 ) ( 338330 * )
NEW met3 ( 1609540 870060 0 ) ( 1621730 * )
NEW met1 ( 316710 941630 ) ( 1621730 * )
NEW met2 ( 1621730 870060 ) ( * 941630 )
NEW met1 ( 316710 1380570 ) M1M2_PR
NEW met1 ( 316710 941630 ) M1M2_PR
NEW met1 ( 338330 1380570 ) M1M2_PR
NEW met2 ( 338330 1381420 ) M2M3_PR
NEW met2 ( 1621730 870060 ) M2M3_PR
NEW met1 ( 1621730 941630 ) M1M2_PR ;
- wbs_uprj_dat_o\[31\] ( wrapped_function_generator_0 wbs_dat_o[31] ) ( wb_bridge_2way wbm_a_dat_i[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1397740 0 ) ( 608350 * )
NEW met2 ( 608350 1393830 ) ( * 1397740 )
NEW met1 ( 1597350 878050 ) ( 1607470 * )
NEW met2 ( 1607470 876180 ) ( * 878050 )
NEW met3 ( 1607470 876180 ) ( 1607700 * )
NEW met3 ( 1607700 873460 0 ) ( * 876180 )
NEW met1 ( 608350 1393830 ) ( 1597350 * )
NEW met2 ( 1597350 878050 ) ( * 1393830 )
NEW met2 ( 608350 1397740 ) M2M3_PR
NEW met1 ( 608350 1393830 ) M1M2_PR
NEW met1 ( 1597350 878050 ) M1M2_PR
NEW met1 ( 1607470 878050 ) M1M2_PR
NEW met2 ( 1607470 876180 ) M2M3_PR
NEW met1 ( 1597350 1393830 ) M1M2_PR ;
- wbs_uprj_dat_o\[3\] ( wrapped_function_generator_0 wbs_dat_o[3] ) ( wb_bridge_2way wbm_a_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 404970 1199010 ) ( * 1200185 0 )
NEW met1 ( 400430 1199010 ) ( 404970 * )
NEW met2 ( 1643350 772310 ) ( * 1127950 )
NEW met3 ( 1609540 766020 0 ) ( 1622190 * )
NEW met2 ( 1622190 766020 ) ( * 772310 )
NEW met1 ( 1622190 772310 ) ( 1643350 * )
NEW met2 ( 400430 1127950 ) ( * 1199010 )
NEW met1 ( 400430 1127950 ) ( 1643350 * )
NEW met1 ( 1643350 772310 ) M1M2_PR
NEW met1 ( 404970 1199010 ) M1M2_PR
NEW met1 ( 400430 1199010 ) M1M2_PR
NEW met1 ( 1643350 1127950 ) M1M2_PR
NEW met2 ( 1622190 766020 ) M2M3_PR
NEW met1 ( 1622190 772310 ) M1M2_PR
NEW met1 ( 400430 1127950 ) M1M2_PR ;
- wbs_uprj_dat_o\[4\] ( wrapped_function_generator_0 wbs_dat_o[4] ) ( wb_bridge_2way wbm_a_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 1630010 771630 ) ( * 935850 )
NEW met3 ( 1609540 770100 0 ) ( 1621730 * )
NEW met2 ( 1621730 770100 ) ( * 771630 )
NEW met1 ( 1621730 771630 ) ( 1630010 * )
NEW met2 ( 443670 1193700 ) ( * 1200185 0 )
NEW met2 ( 441830 1193700 ) ( 443670 * )
NEW met1 ( 441830 935850 ) ( 1630010 * )
NEW met2 ( 441830 935850 ) ( * 1193700 )
NEW met1 ( 1630010 771630 ) M1M2_PR
NEW met1 ( 1630010 935850 ) M1M2_PR
NEW met2 ( 1621730 770100 ) M2M3_PR
NEW met1 ( 1621730 771630 ) M1M2_PR
NEW met1 ( 441830 935850 ) M1M2_PR ;
- wbs_uprj_dat_o\[5\] ( wrapped_function_generator_0 wbs_dat_o[5] ) ( wb_bridge_2way wbm_a_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 317170 1197650 ) ( * 1228590 )
NEW met2 ( 1726610 779450 ) ( * 934830 )
NEW met3 ( 1609540 773500 0 ) ( 1622190 * )
NEW met2 ( 1622190 773500 ) ( * 779450 )
NEW met1 ( 1622190 779450 ) ( 1726610 * )
NEW met2 ( 338330 1228590 ) ( * 1229100 )
NEW met3 ( 338330 1229100 ) ( 350980 * )
NEW met3 ( 350980 1229100 ) ( * 1231140 0 )
NEW met1 ( 317170 1197650 ) ( 362250 * )
NEW met1 ( 317170 1228590 ) ( 338330 * )
NEW met2 ( 362250 934830 ) ( * 1197650 )
NEW met1 ( 362250 934830 ) ( 1726610 * )
NEW met1 ( 1726610 779450 ) M1M2_PR
NEW met1 ( 317170 1197650 ) M1M2_PR
NEW met1 ( 317170 1228590 ) M1M2_PR
NEW met1 ( 1726610 934830 ) M1M2_PR
NEW met2 ( 1622190 773500 ) M2M3_PR
NEW met1 ( 1622190 779450 ) M1M2_PR
NEW met1 ( 362250 934830 ) M1M2_PR
NEW met1 ( 362250 1197650 ) M1M2_PR
NEW met1 ( 338330 1228590 ) M1M2_PR
NEW met2 ( 338330 1229100 ) M2M3_PR ;
- wbs_uprj_dat_o\[6\] ( wrapped_function_generator_0 wbs_dat_o[6] ) ( wb_bridge_2way wbm_a_dat_i[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1387540 0 ) ( 607890 * )
NEW met2 ( 607890 1387030 ) ( * 1387540 )
NEW met3 ( 1609540 777580 0 ) ( 1621730 * )
NEW met2 ( 1621730 777580 ) ( * 779110 )
NEW met1 ( 1621730 779110 ) ( 1698550 * )
NEW met1 ( 607890 1387030 ) ( 1583550 * )
NEW met2 ( 1583550 914430 ) ( * 1387030 )
NEW met1 ( 1583550 914430 ) ( 1698550 * )
NEW met2 ( 1698550 779110 ) ( * 914430 )
NEW met2 ( 607890 1387540 ) M2M3_PR
NEW met1 ( 607890 1387030 ) M1M2_PR
NEW met2 ( 1621730 777580 ) M2M3_PR
NEW met1 ( 1621730 779110 ) M1M2_PR
NEW met1 ( 1698550 779110 ) M1M2_PR
NEW met1 ( 1583550 914430 ) M1M2_PR
NEW met1 ( 1583550 1387030 ) M1M2_PR
NEW met1 ( 1698550 914430 ) M1M2_PR ;
- wbs_uprj_dat_o\[7\] ( wrapped_function_generator_0 wbs_dat_o[7] ) ( wb_bridge_2way wbm_a_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 497030 941970 ) ( * 1193700 )
NEW met2 ( 498410 1193700 ) ( * 1200185 0 )
NEW met2 ( 497030 1193700 ) ( 498410 * )
NEW met2 ( 1636910 784210 ) ( * 941970 )
NEW met3 ( 1609540 781660 0 ) ( 1621730 * )
NEW met2 ( 1621730 781660 ) ( * 784210 )
NEW met1 ( 1621730 784210 ) ( 1636910 * )
NEW met1 ( 497030 941970 ) ( 1636910 * )
NEW met1 ( 1636910 784210 ) M1M2_PR
NEW met1 ( 497030 941970 ) M1M2_PR
NEW met1 ( 1636910 941970 ) M1M2_PR
NEW met2 ( 1621730 781660 ) M2M3_PR
NEW met1 ( 1621730 784210 ) M1M2_PR ;
- wbs_uprj_dat_o\[8\] ( wrapped_function_generator_0 wbs_dat_o[8] ) ( wb_bridge_2way wbm_a_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 1156210 1218390 ) ( * 1456730 )
NEW met1 ( 565800 1456730 ) ( * 1459450 )
NEW met1 ( 520030 1459450 ) ( 565800 * )
NEW met2 ( 520030 1459280 ) ( * 1459450 )
NEW met2 ( 520030 1459280 ) ( 520890 * 0 )
NEW met1 ( 565800 1456730 ) ( 1156210 * )
NEW met3 ( 1609540 785060 0 ) ( 1621730 * )
NEW met2 ( 1621730 785060 ) ( * 786590 )
NEW met1 ( 1621730 786590 ) ( 1684290 * )
NEW met1 ( 1590910 887230 ) ( 1684290 * )
NEW met1 ( 1156210 1218390 ) ( 1590910 * )
NEW met2 ( 1590910 887230 ) ( * 1218390 )
NEW met2 ( 1684290 786590 ) ( * 887230 )
NEW met1 ( 1156210 1456730 ) M1M2_PR
NEW met1 ( 1156210 1218390 ) M1M2_PR
NEW met1 ( 520030 1459450 ) M1M2_PR
NEW met2 ( 1621730 785060 ) M2M3_PR
NEW met1 ( 1621730 786590 ) M1M2_PR
NEW met1 ( 1590910 887230 ) M1M2_PR
NEW met1 ( 1684290 786590 ) M1M2_PR
NEW met1 ( 1684290 887230 ) M1M2_PR
NEW met1 ( 1590910 1218390 ) M1M2_PR ;
- wbs_uprj_dat_o\[9\] ( wrapped_function_generator_0 wbs_dat_o[9] ) ( wb_bridge_2way wbm_a_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 586270 1466250 ) ( * 1471010 )
NEW met2 ( 1555950 901170 ) ( * 1466250 )
NEW met2 ( 517730 1459815 0 ) ( * 1471010 )
NEW met1 ( 517730 1471010 ) ( 586270 * )
NEW met1 ( 586270 1466250 ) ( 1555950 * )
NEW met3 ( 1609540 789140 0 ) ( 1622190 * )
NEW met2 ( 1622190 789140 ) ( * 793390 )
NEW met1 ( 1622190 793390 ) ( 1719250 * )
NEW met1 ( 1555950 901170 ) ( 1719250 * )
NEW met2 ( 1719250 793390 ) ( * 901170 )
NEW met1 ( 586270 1471010 ) M1M2_PR
NEW met1 ( 586270 1466250 ) M1M2_PR
NEW met1 ( 1555950 901170 ) M1M2_PR
NEW met1 ( 1555950 1466250 ) M1M2_PR
NEW met1 ( 517730 1471010 ) M1M2_PR
NEW met2 ( 1622190 789140 ) M2M3_PR
NEW met1 ( 1622190 793390 ) M1M2_PR
NEW met1 ( 1719250 793390 ) M1M2_PR
NEW met1 ( 1719250 901170 ) M1M2_PR ;
- wbs_uprj_sel_i\[0\] ( wrapped_function_generator_0 wbs_sel_i[0] ) ( wb_bridge_2way wbm_a_sel_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 199870 385900 ) ( * 1442110 )
NEW met2 ( 338330 1442110 ) ( * 1446020 )
NEW met3 ( 338330 1446020 ) ( 350980 * )
NEW met3 ( 350980 1446020 ) ( * 1448740 0 )
NEW met1 ( 199870 1442110 ) ( 338330 * )
NEW met3 ( 199870 385900 ) ( 1607700 * )
NEW met3 ( 1607700 489940 ) ( * 492660 0 )
NEW met4 ( 1607700 385900 ) ( * 489940 )
NEW met2 ( 199870 385900 ) M2M3_PR
NEW met1 ( 199870 1442110 ) M1M2_PR
NEW met1 ( 338330 1442110 ) M1M2_PR
NEW met2 ( 338330 1446020 ) M2M3_PR
NEW met3 ( 1607700 385900 ) M3M4_PR
NEW met3 ( 1607700 489940 ) M3M4_PR ;
- wbs_uprj_sel_i\[1\] ( wrapped_function_generator_0 wbs_sel_i[1] ) ( wb_bridge_2way wbm_a_sel_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 379270 1459815 0 ) ( * 1470500 )
NEW met3 ( 379270 1470500 ) ( 942310 * )
NEW met3 ( 1609540 496740 0 ) ( 1621500 * )
NEW met2 ( 942310 467500 ) ( * 1470500 )
NEW met3 ( 942310 467500 ) ( 1621500 * )
NEW met4 ( 1621500 467500 ) ( * 496740 )
NEW met2 ( 379270 1470500 ) M2M3_PR
NEW met2 ( 942310 1470500 ) M2M3_PR
NEW met3 ( 1621500 496740 ) M3M4_PR
NEW met2 ( 942310 467500 ) M2M3_PR
NEW met3 ( 1621500 467500 ) M3M4_PR ;
- wbs_uprj_sel_i\[2\] ( wrapped_function_generator_0 wbs_sel_i[2] ) ( wb_bridge_2way wbm_a_sel_o[2] ) + USE SIGNAL
+ ROUTED met1 ( 527390 1191190 ) ( 907350 * )
NEW met2 ( 1607010 475490 ) ( * 498100 )
NEW met3 ( 1607010 498100 ) ( 1607700 * )
NEW met3 ( 1607700 498100 ) ( * 500820 0 )
NEW met1 ( 907350 475490 ) ( 1607010 * )
NEW met2 ( 527390 1191190 ) ( * 1200185 0 )
NEW met2 ( 907350 475490 ) ( * 1191190 )
NEW met1 ( 527390 1191190 ) M1M2_PR
NEW met1 ( 907350 475490 ) M1M2_PR
NEW met1 ( 907350 1191190 ) M1M2_PR
NEW met1 ( 1607010 475490 ) M1M2_PR
NEW met2 ( 1607010 498100 ) M2M3_PR ;
- wbs_uprj_sel_i\[3\] ( wrapped_function_generator_0 wbs_sel_i[3] ) ( wb_bridge_2way wbm_a_sel_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 309350 1170450 ) ( * 1256470 )
NEW met2 ( 338330 1256470 ) ( * 1256980 )
NEW met3 ( 338330 1256980 ) ( 351900 * )
NEW met3 ( 351900 1256980 ) ( * 1258340 0 )
NEW met1 ( 309350 1256470 ) ( 338330 * )
NEW met3 ( 1609540 504220 0 ) ( 1622190 * )
NEW met2 ( 914250 447950 ) ( * 1170450 )
NEW met1 ( 914250 447950 ) ( 1622190 * )
NEW met2 ( 1622190 447950 ) ( * 504220 )
NEW met1 ( 309350 1170450 ) ( 914250 * )
NEW met1 ( 309350 1170450 ) M1M2_PR
NEW met1 ( 309350 1256470 ) M1M2_PR
NEW met1 ( 338330 1256470 ) M1M2_PR
NEW met2 ( 338330 1256980 ) M2M3_PR
NEW met1 ( 914250 1170450 ) M1M2_PR
NEW met2 ( 1622190 504220 ) M2M3_PR
NEW met1 ( 914250 447950 ) M1M2_PR
NEW met1 ( 1622190 447950 ) M1M2_PR ;
- wbs_uprj_stb_i ( wrapped_function_generator_0 wbs_stb_i ) ( wb_bridge_2way wbm_a_stb_o ) + USE SIGNAL
+ ROUTED met4 ( 866180 477700 ) ( * 1163140 )
NEW met3 ( 1606780 477700 ) ( * 481780 0 )
NEW met3 ( 866180 477700 ) ( 1606780 * )
NEW met3 ( 344770 1418820 ) ( 350980 * )
NEW met3 ( 350980 1418140 0 ) ( * 1418820 )
NEW met2 ( 344770 1163140 ) ( * 1418820 )
NEW met3 ( 344770 1163140 ) ( 866180 * )
NEW met3 ( 866180 477700 ) M3M4_PR
NEW met3 ( 866180 1163140 ) M3M4_PR
NEW met2 ( 344770 1163140 ) M2M3_PR
NEW met2 ( 344770 1418820 ) M2M3_PR ;
- wbs_uprj_we_i ( wrapped_function_generator_0 wbs_we_i ) ( wb_bridge_2way wbm_a_we_o ) + USE SIGNAL
+ ROUTED met3 ( 599380 1326340 0 ) ( 607890 * )
NEW met2 ( 607890 1324810 ) ( * 1326340 )
NEW met2 ( 1607470 482630 ) ( * 486540 )
NEW met3 ( 1607470 486540 ) ( 1607700 * )
NEW met3 ( 1607700 486540 ) ( * 489260 0 )
NEW met1 ( 1500750 482630 ) ( 1607470 * )
NEW met1 ( 607890 1324810 ) ( 1500750 * )
NEW met2 ( 1500750 482630 ) ( * 1324810 )
NEW met2 ( 607890 1326340 ) M2M3_PR
NEW met1 ( 607890 1324810 ) M1M2_PR
NEW met1 ( 1500750 482630 ) M1M2_PR
NEW met1 ( 1607470 482630 ) M1M2_PR
NEW met2 ( 1607470 486540 ) M2M3_PR
NEW met1 ( 1500750 1324810 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( wb_bridge_2way wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 1700 0 ) ( * 17510 )
NEW met3 ( 1537090 485180 ) ( 1550200 * 0 )
NEW met2 ( 93150 17510 ) ( * 279310 )
NEW met2 ( 1537090 279310 ) ( * 485180 )
NEW met1 ( 32430 17510 ) ( 93150 * )
NEW met1 ( 93150 279310 ) ( 1537090 * )
NEW met1 ( 32430 17510 ) M1M2_PR
NEW met1 ( 93150 17510 ) M1M2_PR
NEW met1 ( 93150 279310 ) M1M2_PR
NEW met1 ( 1537090 279310 ) M1M2_PR
NEW met2 ( 1537090 485180 ) M2M3_PR ;
END NETS
END DESIGN