blob: 169b6e21e67979c7a9ab645f0d0cde76f5d8b214 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "wrapped_rgb_mixer"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 active
*2 io_in[0]
*3 io_in[10]
*4 io_in[11]
*5 io_in[12]
*6 io_in[13]
*7 io_in[14]
*8 io_in[15]
*9 io_in[16]
*10 io_in[17]
*11 io_in[18]
*12 io_in[19]
*13 io_in[1]
*14 io_in[20]
*15 io_in[21]
*16 io_in[22]
*17 io_in[23]
*18 io_in[24]
*19 io_in[25]
*20 io_in[26]
*21 io_in[27]
*22 io_in[28]
*23 io_in[29]
*24 io_in[2]
*25 io_in[30]
*26 io_in[31]
*27 io_in[32]
*28 io_in[33]
*29 io_in[34]
*30 io_in[35]
*31 io_in[36]
*32 io_in[37]
*33 io_in[3]
*34 io_in[4]
*35 io_in[5]
*36 io_in[6]
*37 io_in[7]
*38 io_in[8]
*39 io_in[9]
*40 io_oeb[0]
*41 io_oeb[10]
*42 io_oeb[11]
*43 io_oeb[12]
*44 io_oeb[13]
*45 io_oeb[14]
*46 io_oeb[15]
*47 io_oeb[16]
*48 io_oeb[17]
*49 io_oeb[18]
*50 io_oeb[19]
*51 io_oeb[1]
*52 io_oeb[20]
*53 io_oeb[21]
*54 io_oeb[22]
*55 io_oeb[23]
*56 io_oeb[24]
*57 io_oeb[25]
*58 io_oeb[26]
*59 io_oeb[27]
*60 io_oeb[28]
*61 io_oeb[29]
*62 io_oeb[2]
*63 io_oeb[30]
*64 io_oeb[31]
*65 io_oeb[32]
*66 io_oeb[33]
*67 io_oeb[34]
*68 io_oeb[35]
*69 io_oeb[36]
*70 io_oeb[37]
*71 io_oeb[3]
*72 io_oeb[4]
*73 io_oeb[5]
*74 io_oeb[6]
*75 io_oeb[7]
*76 io_oeb[8]
*77 io_oeb[9]
*78 io_out[0]
*79 io_out[10]
*80 io_out[11]
*81 io_out[12]
*82 io_out[13]
*83 io_out[14]
*84 io_out[15]
*85 io_out[16]
*86 io_out[17]
*87 io_out[18]
*88 io_out[19]
*89 io_out[1]
*90 io_out[20]
*91 io_out[21]
*92 io_out[22]
*93 io_out[23]
*94 io_out[24]
*95 io_out[25]
*96 io_out[26]
*97 io_out[27]
*98 io_out[28]
*99 io_out[29]
*100 io_out[2]
*101 io_out[30]
*102 io_out[31]
*103 io_out[32]
*104 io_out[33]
*105 io_out[34]
*106 io_out[35]
*107 io_out[36]
*108 io_out[37]
*109 io_out[3]
*110 io_out[4]
*111 io_out[5]
*112 io_out[6]
*113 io_out[7]
*114 io_out[8]
*115 io_out[9]
*116 la1_data_in[0]
*117 la1_data_in[10]
*118 la1_data_in[11]
*119 la1_data_in[12]
*120 la1_data_in[13]
*121 la1_data_in[14]
*122 la1_data_in[15]
*123 la1_data_in[16]
*124 la1_data_in[17]
*125 la1_data_in[18]
*126 la1_data_in[19]
*127 la1_data_in[1]
*128 la1_data_in[20]
*129 la1_data_in[21]
*130 la1_data_in[22]
*131 la1_data_in[23]
*132 la1_data_in[24]
*133 la1_data_in[25]
*134 la1_data_in[26]
*135 la1_data_in[27]
*136 la1_data_in[28]
*137 la1_data_in[29]
*138 la1_data_in[2]
*139 la1_data_in[30]
*140 la1_data_in[31]
*141 la1_data_in[3]
*142 la1_data_in[4]
*143 la1_data_in[5]
*144 la1_data_in[6]
*145 la1_data_in[7]
*146 la1_data_in[8]
*147 la1_data_in[9]
*148 la1_data_out[0]
*149 la1_data_out[10]
*150 la1_data_out[11]
*151 la1_data_out[12]
*152 la1_data_out[13]
*153 la1_data_out[14]
*154 la1_data_out[15]
*155 la1_data_out[16]
*156 la1_data_out[17]
*157 la1_data_out[18]
*158 la1_data_out[19]
*159 la1_data_out[1]
*160 la1_data_out[20]
*161 la1_data_out[21]
*162 la1_data_out[22]
*163 la1_data_out[23]
*164 la1_data_out[24]
*165 la1_data_out[25]
*166 la1_data_out[26]
*167 la1_data_out[27]
*168 la1_data_out[28]
*169 la1_data_out[29]
*170 la1_data_out[2]
*171 la1_data_out[30]
*172 la1_data_out[31]
*173 la1_data_out[3]
*174 la1_data_out[4]
*175 la1_data_out[5]
*176 la1_data_out[6]
*177 la1_data_out[7]
*178 la1_data_out[8]
*179 la1_data_out[9]
*180 la1_oenb[0]
*181 la1_oenb[10]
*182 la1_oenb[11]
*183 la1_oenb[12]
*184 la1_oenb[13]
*185 la1_oenb[14]
*186 la1_oenb[15]
*187 la1_oenb[16]
*188 la1_oenb[17]
*189 la1_oenb[18]
*190 la1_oenb[19]
*191 la1_oenb[1]
*192 la1_oenb[20]
*193 la1_oenb[21]
*194 la1_oenb[22]
*195 la1_oenb[23]
*196 la1_oenb[24]
*197 la1_oenb[25]
*198 la1_oenb[26]
*199 la1_oenb[27]
*200 la1_oenb[28]
*201 la1_oenb[29]
*202 la1_oenb[2]
*203 la1_oenb[30]
*204 la1_oenb[31]
*205 la1_oenb[3]
*206 la1_oenb[4]
*207 la1_oenb[5]
*208 la1_oenb[6]
*209 la1_oenb[7]
*210 la1_oenb[8]
*211 la1_oenb[9]
*214 wb_clk_i
*215 _0000_
*216 _0001_
*217 _0002_
*218 _0003_
*219 _0004_
*220 _0005_
*221 _0006_
*222 _0007_
*223 _0008_
*224 _0009_
*225 _0010_
*226 _0011_
*227 _0012_
*228 _0013_
*229 _0014_
*230 _0015_
*231 _0016_
*232 _0017_
*233 _0018_
*234 _0019_
*235 _0020_
*236 _0021_
*237 _0022_
*238 _0023_
*239 _0024_
*240 _0025_
*241 _0026_
*242 _0027_
*243 _0028_
*244 _0029_
*245 _0030_
*246 _0031_
*247 _0032_
*248 _0033_
*249 _0034_
*250 _0035_
*251 _0036_
*252 _0037_
*253 _0038_
*254 _0039_
*255 _0040_
*256 _0041_
*257 _0042_
*258 _0043_
*259 _0044_
*260 _0045_
*261 _0046_
*262 _0047_
*263 _0048_
*264 _0049_
*265 _0050_
*266 _0051_
*267 _0052_
*268 _0053_
*269 _0054_
*270 _0055_
*271 _0056_
*272 _0057_
*273 _0058_
*274 _0059_
*275 _0060_
*276 _0061_
*277 _0062_
*278 _0063_
*279 _0064_
*280 _0065_
*281 _0066_
*282 _0067_
*283 _0068_
*284 _0069_
*285 _0070_
*286 _0071_
*287 _0072_
*288 _0073_
*289 _0074_
*290 _0075_
*291 _0076_
*292 _0077_
*293 _0078_
*294 _0079_
*295 _0080_
*296 _0081_
*297 _0082_
*298 _0083_
*299 _0084_
*300 _0085_
*301 _0086_
*302 _0087_
*303 _0088_
*304 _0089_
*305 _0090_
*306 _0091_
*307 _0092_
*308 _0093_
*309 _0094_
*310 _0095_
*311 _0096_
*312 _0097_
*313 _0098_
*314 _0099_
*315 _0100_
*316 _0101_
*317 _0102_
*318 _0103_
*319 _0104_
*320 _0105_
*321 _0106_
*322 _0107_
*323 _0108_
*324 _0109_
*325 _0110_
*326 _0111_
*327 _0112_
*328 _0113_
*329 _0114_
*330 _0115_
*331 _0116_
*332 _0117_
*333 _0118_
*334 _0119_
*335 _0120_
*336 _0121_
*337 _0122_
*338 _0123_
*339 _0124_
*340 _0125_
*341 _0126_
*342 _0127_
*343 _0128_
*344 _0129_
*345 _0130_
*346 _0131_
*347 _0132_
*348 _0133_
*349 _0134_
*350 _0135_
*351 _0136_
*352 _0137_
*353 _0138_
*354 _0139_
*355 _0140_
*356 _0141_
*357 _0142_
*358 _0143_
*359 _0144_
*360 _0145_
*361 _0146_
*362 _0147_
*363 _0148_
*364 _0149_
*365 _0150_
*366 _0151_
*367 _0152_
*368 _0153_
*369 _0154_
*370 _0155_
*371 _0156_
*372 _0157_
*373 _0158_
*374 _0159_
*375 _0160_
*376 _0161_
*377 _0162_
*378 _0163_
*379 _0164_
*380 _0165_
*381 _0166_
*382 _0167_
*383 _0168_
*384 _0169_
*385 _0170_
*386 _0171_
*387 _0172_
*388 _0173_
*389 _0174_
*390 _0175_
*391 _0176_
*392 _0177_
*393 _0178_
*394 _0179_
*395 _0180_
*396 _0181_
*397 _0182_
*398 _0183_
*399 _0184_
*400 _0185_
*401 _0186_
*402 _0187_
*403 _0188_
*404 _0189_
*405 _0190_
*406 _0191_
*407 _0192_
*408 _0193_
*409 _0194_
*410 _0195_
*411 _0196_
*412 _0197_
*413 _0198_
*414 _0199_
*415 _0200_
*416 _0201_
*417 _0202_
*418 _0203_
*419 _0204_
*420 _0205_
*421 _0206_
*422 _0207_
*423 _0208_
*424 _0209_
*425 _0210_
*426 _0211_
*427 _0212_
*428 _0213_
*429 _0214_
*430 _0215_
*431 _0216_
*432 _0217_
*433 _0218_
*434 _0219_
*435 _0220_
*436 _0221_
*437 _0222_
*438 _0223_
*439 _0224_
*440 _0225_
*441 _0226_
*442 _0227_
*443 _0228_
*444 _0229_
*445 _0230_
*446 _0231_
*447 _0232_
*448 _0233_
*449 _0234_
*450 _0235_
*451 _0236_
*452 _0237_
*453 _0238_
*454 _0239_
*455 _0240_
*456 _0241_
*457 _0242_
*458 _0243_
*459 _0244_
*460 _0245_
*461 _0246_
*462 _0247_
*463 _0248_
*464 _0249_
*465 _0250_
*466 _0251_
*467 _0252_
*468 _0253_
*469 _0254_
*470 _0255_
*471 _0256_
*472 _0257_
*473 _0258_
*474 _0259_
*475 _0260_
*476 _0261_
*477 _0262_
*478 _0263_
*479 _0264_
*480 _0265_
*481 _0266_
*482 _0267_
*483 _0268_
*484 _0269_
*485 _0270_
*486 _0271_
*487 _0272_
*488 _0273_
*489 _0274_
*490 _0275_
*491 _0276_
*492 _0277_
*493 _0278_
*494 _0279_
*495 _0280_
*496 _0281_
*497 _0282_
*498 _0283_
*499 _0284_
*500 _0285_
*501 _0286_
*502 _0287_
*503 _0288_
*504 _0289_
*505 _0290_
*506 _0291_
*507 _0292_
*508 _0293_
*509 _0294_
*510 _0295_
*511 _0296_
*512 _0297_
*513 _0298_
*514 _0299_
*515 _0300_
*516 _0301_
*517 _0302_
*518 _0303_
*519 _0304_
*520 _0305_
*521 _0306_
*522 _0307_
*523 _0308_
*524 _0309_
*525 _0310_
*526 _0311_
*527 _0312_
*528 _0313_
*529 _0314_
*530 _0315_
*531 _0316_
*532 _0317_
*533 _0318_
*534 _0319_
*535 _0320_
*536 _0321_
*537 _0322_
*538 _0323_
*539 _0324_
*540 _0325_
*541 _0326_
*542 _0327_
*543 _0328_
*544 _0329_
*545 _0330_
*546 _0331_
*547 _0332_
*548 _0333_
*549 _0334_
*550 _0335_
*551 _0336_
*552 _0337_
*553 _0338_
*554 _0339_
*555 _0340_
*556 _0341_
*557 _0342_
*558 _0343_
*559 _0344_
*560 _0345_
*561 _0346_
*562 _0347_
*563 _0348_
*564 _0349_
*565 _0350_
*566 _0351_
*567 _0352_
*568 _0353_
*569 _0354_
*570 _0355_
*571 _0356_
*572 _0357_
*573 _0358_
*574 _0359_
*575 _0360_
*576 _0361_
*577 _0362_
*578 _0363_
*579 _0364_
*580 _0365_
*581 _0366_
*582 _0367_
*583 _0368_
*584 _0369_
*585 _0370_
*586 _0371_
*587 _0372_
*588 _0373_
*589 _0374_
*590 _0375_
*591 _0376_
*592 _0377_
*593 _0378_
*594 _0379_
*595 _0380_
*596 _0381_
*597 _0382_
*598 _0383_
*599 _0384_
*600 _0385_
*601 _0386_
*602 _0387_
*603 _0388_
*604 _0389_
*605 _0390_
*606 _0391_
*607 _0392_
*608 _0393_
*609 _0394_
*610 _0395_
*611 _0396_
*612 _0397_
*613 _0398_
*614 _0399_
*615 _0400_
*616 _0401_
*617 _0402_
*618 _0403_
*619 _0404_
*620 _0405_
*621 _0406_
*622 _0407_
*623 _0408_
*624 _0409_
*625 _0410_
*626 _0411_
*627 _0412_
*628 _0413_
*629 _0414_
*630 _0415_
*631 _0416_
*632 _0417_
*633 _0418_
*634 _0419_
*635 _0420_
*636 _0421_
*637 _0422_
*638 _0423_
*639 _0424_
*640 _0425_
*641 _0426_
*642 _0427_
*643 _0428_
*644 _0429_
*645 _0430_
*646 _0431_
*647 _0432_
*648 _0433_
*649 _0434_
*650 _0435_
*651 _0436_
*652 _0437_
*653 _0438_
*654 _0439_
*655 _0440_
*656 _0441_
*657 _0442_
*658 _0443_
*659 _0444_
*660 _0445_
*661 _0446_
*662 _0447_
*663 _0448_
*664 _0449_
*665 _0450_
*666 _0451_
*667 _0452_
*668 _0453_
*669 _0454_
*670 _0455_
*671 _0456_
*672 _0457_
*673 _0458_
*674 _0459_
*675 _0460_
*676 _0461_
*677 _0462_
*678 _0463_
*679 _0464_
*680 _0465_
*681 _0466_
*682 _0467_
*683 _0468_
*684 _0469_
*685 _0470_
*686 _0471_
*687 _0472_
*688 _0473_
*689 _0474_
*690 _0475_
*691 _0476_
*692 _0477_
*693 _0478_
*694 _0479_
*695 _0480_
*696 _0481_
*697 _0482_
*698 _0483_
*699 _0484_
*700 _0485_
*701 _0486_
*702 _0487_
*703 _0488_
*704 _0489_
*705 _0490_
*706 _0491_
*707 _0492_
*708 _0493_
*709 _0494_
*710 _0495_
*711 _0496_
*712 _0497_
*713 _0498_
*714 _0499_
*715 _0500_
*716 _0501_
*717 _0502_
*718 _0503_
*719 _0504_
*720 _0505_
*721 _0506_
*722 _0507_
*723 _0508_
*724 _0509_
*725 _0510_
*726 _0511_
*727 _0512_
*728 _0513_
*729 _0514_
*730 _0515_
*731 _0516_
*732 _0517_
*733 _0518_
*734 _0519_
*735 _0520_
*736 _0521_
*737 _0522_
*738 _0523_
*739 _0524_
*740 _0525_
*741 _0526_
*742 _0527_
*743 _0528_
*744 _0529_
*745 _0530_
*746 _0531_
*747 _0532_
*748 _0533_
*749 _0534_
*750 _0535_
*751 _0536_
*752 _0537_
*753 _0538_
*754 _0539_
*755 _0540_
*756 _0541_
*757 _0542_
*758 _0543_
*759 _0544_
*760 _0545_
*761 _0546_
*762 _0547_
*763 _0548_
*764 _0549_
*765 _0550_
*766 _0551_
*767 _0552_
*768 _0553_
*769 _0554_
*770 _0555_
*771 _0556_
*772 _0557_
*773 _0558_
*774 _0559_
*775 _0560_
*776 _0561_
*777 _0562_
*778 _0563_
*779 _0564_
*780 _0565_
*781 _0566_
*782 _0567_
*783 _0568_
*784 _0569_
*785 _0570_
*786 _0571_
*787 _0572_
*788 _0573_
*789 _0574_
*790 _0575_
*791 _0576_
*792 _0577_
*793 _0578_
*794 _0579_
*795 _0580_
*796 _0581_
*797 _0582_
*798 _0583_
*799 _0584_
*800 _0585_
*801 _0586_
*802 _0587_
*803 _0588_
*804 _0589_
*805 _0590_
*806 _0591_
*807 _0592_
*808 _0593_
*809 _0594_
*810 _0595_
*811 _0596_
*812 _0597_
*813 _0598_
*814 _0599_
*815 _0600_
*816 _0601_
*817 _0602_
*818 clknet_0_wb_clk_i
*819 clknet_1_0_0_wb_clk_i
*820 clknet_1_1_0_wb_clk_i
*821 clknet_2_0_0_wb_clk_i
*822 clknet_2_1_0_wb_clk_i
*823 clknet_2_2_0_wb_clk_i
*824 clknet_2_3_0_wb_clk_i
*825 clknet_3_0_0_wb_clk_i
*826 clknet_3_1_0_wb_clk_i
*827 clknet_3_2_0_wb_clk_i
*828 clknet_3_3_0_wb_clk_i
*829 clknet_3_4_0_wb_clk_i
*830 clknet_3_5_0_wb_clk_i
*831 clknet_3_6_0_wb_clk_i
*832 clknet_3_7_0_wb_clk_i
*833 net1
*834 net10
*835 net100
*836 net101
*837 net102
*838 net103
*839 net104
*840 net105
*841 net106
*842 net107
*843 net108
*844 net109
*845 net11
*846 net110
*847 net111
*848 net112
*849 net113
*850 net12
*851 net13
*852 net14
*853 net15
*854 net16
*855 net17
*856 net18
*857 net19
*858 net2
*859 net20
*860 net21
*861 net22
*862 net23
*863 net24
*864 net25
*865 net26
*866 net27
*867 net28
*868 net29
*869 net3
*870 net30
*871 net31
*872 net32
*873 net33
*874 net34
*875 net35
*876 net36
*877 net37
*878 net38
*879 net39
*880 net4
*881 net40
*882 net41
*883 net42
*884 net43
*885 net44
*886 net45
*887 net46
*888 net47
*889 net48
*890 net49
*891 net5
*892 net50
*893 net51
*894 net52
*895 net53
*896 net54
*897 net55
*898 net56
*899 net57
*900 net58
*901 net59
*902 net6
*903 net60
*904 net61
*905 net62
*906 net63
*907 net64
*908 net65
*909 net66
*910 net67
*911 net68
*912 net69
*913 net7
*914 net70
*915 net71
*916 net72
*917 net73
*918 net74
*919 net75
*920 net76
*921 net77
*922 net78
*923 net79
*924 net8
*925 net80
*926 net81
*927 net82
*928 net83
*929 net84
*930 net85
*931 net86
*932 net87
*933 net88
*934 net89
*935 net9
*936 net90
*937 net91
*938 net92
*939 net93
*940 net94
*941 net95
*942 net96
*943 net97
*944 net98
*945 net99
*946 rgb_mixer0\.debounce0_a\.button_hist\[0\]
*947 rgb_mixer0\.debounce0_a\.button_hist\[1\]
*948 rgb_mixer0\.debounce0_a\.button_hist\[2\]
*949 rgb_mixer0\.debounce0_a\.button_hist\[3\]
*950 rgb_mixer0\.debounce0_a\.button_hist\[4\]
*951 rgb_mixer0\.debounce0_a\.button_hist\[5\]
*952 rgb_mixer0\.debounce0_a\.button_hist\[6\]
*953 rgb_mixer0\.debounce0_a\.button_hist\[7\]
*954 rgb_mixer0\.debounce0_a\.debounced
*955 rgb_mixer0\.debounce0_b\.button_hist\[0\]
*956 rgb_mixer0\.debounce0_b\.button_hist\[1\]
*957 rgb_mixer0\.debounce0_b\.button_hist\[2\]
*958 rgb_mixer0\.debounce0_b\.button_hist\[3\]
*959 rgb_mixer0\.debounce0_b\.button_hist\[4\]
*960 rgb_mixer0\.debounce0_b\.button_hist\[5\]
*961 rgb_mixer0\.debounce0_b\.button_hist\[6\]
*962 rgb_mixer0\.debounce0_b\.button_hist\[7\]
*963 rgb_mixer0\.debounce0_b\.debounced
*964 rgb_mixer0\.debounce1_a\.button_hist\[0\]
*965 rgb_mixer0\.debounce1_a\.button_hist\[1\]
*966 rgb_mixer0\.debounce1_a\.button_hist\[2\]
*967 rgb_mixer0\.debounce1_a\.button_hist\[3\]
*968 rgb_mixer0\.debounce1_a\.button_hist\[4\]
*969 rgb_mixer0\.debounce1_a\.button_hist\[5\]
*970 rgb_mixer0\.debounce1_a\.button_hist\[6\]
*971 rgb_mixer0\.debounce1_a\.button_hist\[7\]
*972 rgb_mixer0\.debounce1_a\.debounced
*973 rgb_mixer0\.debounce1_b\.button_hist\[0\]
*974 rgb_mixer0\.debounce1_b\.button_hist\[1\]
*975 rgb_mixer0\.debounce1_b\.button_hist\[2\]
*976 rgb_mixer0\.debounce1_b\.button_hist\[3\]
*977 rgb_mixer0\.debounce1_b\.button_hist\[4\]
*978 rgb_mixer0\.debounce1_b\.button_hist\[5\]
*979 rgb_mixer0\.debounce1_b\.button_hist\[6\]
*980 rgb_mixer0\.debounce1_b\.button_hist\[7\]
*981 rgb_mixer0\.debounce1_b\.debounced
*982 rgb_mixer0\.debounce2_a\.button_hist\[0\]
*983 rgb_mixer0\.debounce2_a\.button_hist\[1\]
*984 rgb_mixer0\.debounce2_a\.button_hist\[2\]
*985 rgb_mixer0\.debounce2_a\.button_hist\[3\]
*986 rgb_mixer0\.debounce2_a\.button_hist\[4\]
*987 rgb_mixer0\.debounce2_a\.button_hist\[5\]
*988 rgb_mixer0\.debounce2_a\.button_hist\[6\]
*989 rgb_mixer0\.debounce2_a\.button_hist\[7\]
*990 rgb_mixer0\.debounce2_a\.debounced
*991 rgb_mixer0\.debounce2_b\.button_hist\[0\]
*992 rgb_mixer0\.debounce2_b\.button_hist\[1\]
*993 rgb_mixer0\.debounce2_b\.button_hist\[2\]
*994 rgb_mixer0\.debounce2_b\.button_hist\[3\]
*995 rgb_mixer0\.debounce2_b\.button_hist\[4\]
*996 rgb_mixer0\.debounce2_b\.button_hist\[5\]
*997 rgb_mixer0\.debounce2_b\.button_hist\[6\]
*998 rgb_mixer0\.debounce2_b\.button_hist\[7\]
*999 rgb_mixer0\.debounce2_b\.debounced
*1000 rgb_mixer0\.enc0\[0\]
*1001 rgb_mixer0\.enc0\[1\]
*1002 rgb_mixer0\.enc0\[2\]
*1003 rgb_mixer0\.enc0\[3\]
*1004 rgb_mixer0\.enc0\[4\]
*1005 rgb_mixer0\.enc0\[5\]
*1006 rgb_mixer0\.enc0\[6\]
*1007 rgb_mixer0\.enc0\[7\]
*1008 rgb_mixer0\.enc1\[0\]
*1009 rgb_mixer0\.enc1\[1\]
*1010 rgb_mixer0\.enc1\[2\]
*1011 rgb_mixer0\.enc1\[3\]
*1012 rgb_mixer0\.enc1\[4\]
*1013 rgb_mixer0\.enc1\[5\]
*1014 rgb_mixer0\.enc1\[6\]
*1015 rgb_mixer0\.enc1\[7\]
*1016 rgb_mixer0\.enc2\[0\]
*1017 rgb_mixer0\.enc2\[1\]
*1018 rgb_mixer0\.enc2\[2\]
*1019 rgb_mixer0\.enc2\[3\]
*1020 rgb_mixer0\.enc2\[4\]
*1021 rgb_mixer0\.enc2\[5\]
*1022 rgb_mixer0\.enc2\[6\]
*1023 rgb_mixer0\.enc2\[7\]
*1024 rgb_mixer0\.encoder0\.old_a
*1025 rgb_mixer0\.encoder0\.old_b
*1026 rgb_mixer0\.encoder1\.old_a
*1027 rgb_mixer0\.encoder1\.old_b
*1028 rgb_mixer0\.encoder2\.old_a
*1029 rgb_mixer0\.encoder2\.old_b
*1030 rgb_mixer0\.pwm0\.count\[0\]
*1031 rgb_mixer0\.pwm0\.count\[1\]
*1032 rgb_mixer0\.pwm0\.count\[2\]
*1033 rgb_mixer0\.pwm0\.count\[3\]
*1034 rgb_mixer0\.pwm0\.count\[4\]
*1035 rgb_mixer0\.pwm0\.count\[5\]
*1036 rgb_mixer0\.pwm0\.count\[6\]
*1037 rgb_mixer0\.pwm0\.count\[7\]
*1038 rgb_mixer0\.pwm0\.out
*1039 rgb_mixer0\.pwm1\.count\[0\]
*1040 rgb_mixer0\.pwm1\.count\[1\]
*1041 rgb_mixer0\.pwm1\.count\[2\]
*1042 rgb_mixer0\.pwm1\.count\[3\]
*1043 rgb_mixer0\.pwm1\.count\[4\]
*1044 rgb_mixer0\.pwm1\.count\[5\]
*1045 rgb_mixer0\.pwm1\.count\[6\]
*1046 rgb_mixer0\.pwm1\.count\[7\]
*1047 rgb_mixer0\.pwm1\.out
*1048 rgb_mixer0\.pwm2\.count\[0\]
*1049 rgb_mixer0\.pwm2\.count\[1\]
*1050 rgb_mixer0\.pwm2\.count\[2\]
*1051 rgb_mixer0\.pwm2\.count\[3\]
*1052 rgb_mixer0\.pwm2\.count\[4\]
*1053 rgb_mixer0\.pwm2\.count\[5\]
*1054 rgb_mixer0\.pwm2\.count\[6\]
*1055 rgb_mixer0\.pwm2\.count\[7\]
*1056 rgb_mixer0\.pwm2\.out
*1057 ANTENNA_0
*1058 ANTENNA_1
*1059 ANTENNA_2
*1060 ANTENNA_3
*1061 ANTENNA_4
*1062 FILLER_0_108
*1063 FILLER_0_113
*1064 FILLER_0_125
*1065 FILLER_0_134
*1066 FILLER_0_144
*1067 FILLER_0_156
*1068 FILLER_0_162
*1069 FILLER_0_169
*1070 FILLER_0_174
*1071 FILLER_0_182
*1072 FILLER_0_189
*1073 FILLER_0_195
*1074 FILLER_0_197
*1075 FILLER_0_220
*1076 FILLER_0_228
*1077 FILLER_0_24
*1078 FILLER_0_240
*1079 FILLER_0_253
*1080 FILLER_0_265
*1081 FILLER_0_277
*1082 FILLER_0_281
*1083 FILLER_0_29
*1084 FILLER_0_293
*1085 FILLER_0_304
*1086 FILLER_0_309
*1087 FILLER_0_332
*1088 FILLER_0_337
*1089 FILLER_0_360
*1090 FILLER_0_51
*1091 FILLER_0_55
*1092 FILLER_0_78
*1093 FILLER_0_85
*1094 FILLER_10_109
*1095 FILLER_10_12
*1096 FILLER_10_121
*1097 FILLER_10_133
*1098 FILLER_10_139
*1099 FILLER_10_141
*1100 FILLER_10_153
*1101 FILLER_10_165
*1102 FILLER_10_177
*1103 FILLER_10_189
*1104 FILLER_10_19
*1105 FILLER_10_195
*1106 FILLER_10_197
*1107 FILLER_10_209
*1108 FILLER_10_221
*1109 FILLER_10_233
*1110 FILLER_10_245
*1111 FILLER_10_251
*1112 FILLER_10_253
*1113 FILLER_10_265
*1114 FILLER_10_27
*1115 FILLER_10_277
*1116 FILLER_10_289
*1117 FILLER_10_29
*1118 FILLER_10_3
*1119 FILLER_10_301
*1120 FILLER_10_307
*1121 FILLER_10_309
*1122 FILLER_10_321
*1123 FILLER_10_333
*1124 FILLER_10_345
*1125 FILLER_10_353
*1126 FILLER_10_358
*1127 FILLER_10_41
*1128 FILLER_10_53
*1129 FILLER_10_65
*1130 FILLER_10_77
*1131 FILLER_10_83
*1132 FILLER_10_85
*1133 FILLER_10_97
*1134 FILLER_11_105
*1135 FILLER_11_11
*1136 FILLER_11_111
*1137 FILLER_11_113
*1138 FILLER_11_125
*1139 FILLER_11_137
*1140 FILLER_11_149
*1141 FILLER_11_161
*1142 FILLER_11_167
*1143 FILLER_11_169
*1144 FILLER_11_18
*1145 FILLER_11_181
*1146 FILLER_11_193
*1147 FILLER_11_205
*1148 FILLER_11_217
*1149 FILLER_11_223
*1150 FILLER_11_225
*1151 FILLER_11_237
*1152 FILLER_11_249
*1153 FILLER_11_261
*1154 FILLER_11_273
*1155 FILLER_11_279
*1156 FILLER_11_281
*1157 FILLER_11_293
*1158 FILLER_11_3
*1159 FILLER_11_30
*1160 FILLER_11_305
*1161 FILLER_11_317
*1162 FILLER_11_329
*1163 FILLER_11_335
*1164 FILLER_11_337
*1165 FILLER_11_349
*1166 FILLER_11_361
*1167 FILLER_11_42
*1168 FILLER_11_54
*1169 FILLER_11_57
*1170 FILLER_11_69
*1171 FILLER_11_7
*1172 FILLER_11_81
*1173 FILLER_11_93
*1174 FILLER_12_109
*1175 FILLER_12_121
*1176 FILLER_12_133
*1177 FILLER_12_139
*1178 FILLER_12_141
*1179 FILLER_12_153
*1180 FILLER_12_165
*1181 FILLER_12_177
*1182 FILLER_12_189
*1183 FILLER_12_195
*1184 FILLER_12_197
*1185 FILLER_12_209
*1186 FILLER_12_221
*1187 FILLER_12_233
*1188 FILLER_12_24
*1189 FILLER_12_245
*1190 FILLER_12_251
*1191 FILLER_12_253
*1192 FILLER_12_265
*1193 FILLER_12_277
*1194 FILLER_12_289
*1195 FILLER_12_29
*1196 FILLER_12_301
*1197 FILLER_12_307
*1198 FILLER_12_309
*1199 FILLER_12_321
*1200 FILLER_12_333
*1201 FILLER_12_345
*1202 FILLER_12_353
*1203 FILLER_12_358
*1204 FILLER_12_41
*1205 FILLER_12_53
*1206 FILLER_12_65
*1207 FILLER_12_77
*1208 FILLER_12_83
*1209 FILLER_12_85
*1210 FILLER_12_97
*1211 FILLER_13_105
*1212 FILLER_13_111
*1213 FILLER_13_113
*1214 FILLER_13_125
*1215 FILLER_13_137
*1216 FILLER_13_149
*1217 FILLER_13_161
*1218 FILLER_13_167
*1219 FILLER_13_169
*1220 FILLER_13_181
*1221 FILLER_13_193
*1222 FILLER_13_205
*1223 FILLER_13_217
*1224 FILLER_13_223
*1225 FILLER_13_225
*1226 FILLER_13_237
*1227 FILLER_13_249
*1228 FILLER_13_25
*1229 FILLER_13_261
*1230 FILLER_13_273
*1231 FILLER_13_279
*1232 FILLER_13_281
*1233 FILLER_13_293
*1234 FILLER_13_3
*1235 FILLER_13_305
*1236 FILLER_13_317
*1237 FILLER_13_329
*1238 FILLER_13_335
*1239 FILLER_13_337
*1240 FILLER_13_360
*1241 FILLER_13_37
*1242 FILLER_13_49
*1243 FILLER_13_55
*1244 FILLER_13_57
*1245 FILLER_13_69
*1246 FILLER_13_81
*1247 FILLER_13_93
*1248 FILLER_14_10
*1249 FILLER_14_109
*1250 FILLER_14_121
*1251 FILLER_14_133
*1252 FILLER_14_139
*1253 FILLER_14_141
*1254 FILLER_14_153
*1255 FILLER_14_165
*1256 FILLER_14_17
*1257 FILLER_14_177
*1258 FILLER_14_189
*1259 FILLER_14_195
*1260 FILLER_14_197
*1261 FILLER_14_209
*1262 FILLER_14_221
*1263 FILLER_14_233
*1264 FILLER_14_245
*1265 FILLER_14_25
*1266 FILLER_14_251
*1267 FILLER_14_253
*1268 FILLER_14_265
*1269 FILLER_14_277
*1270 FILLER_14_289
*1271 FILLER_14_29
*1272 FILLER_14_3
*1273 FILLER_14_301
*1274 FILLER_14_307
*1275 FILLER_14_309
*1276 FILLER_14_321
*1277 FILLER_14_333
*1278 FILLER_14_345
*1279 FILLER_14_352
*1280 FILLER_14_360
*1281 FILLER_14_41
*1282 FILLER_14_53
*1283 FILLER_14_65
*1284 FILLER_14_77
*1285 FILLER_14_83
*1286 FILLER_14_85
*1287 FILLER_14_97
*1288 FILLER_15_105
*1289 FILLER_15_11
*1290 FILLER_15_111
*1291 FILLER_15_113
*1292 FILLER_15_125
*1293 FILLER_15_137
*1294 FILLER_15_149
*1295 FILLER_15_161
*1296 FILLER_15_167
*1297 FILLER_15_169
*1298 FILLER_15_18
*1299 FILLER_15_181
*1300 FILLER_15_193
*1301 FILLER_15_205
*1302 FILLER_15_217
*1303 FILLER_15_223
*1304 FILLER_15_225
*1305 FILLER_15_237
*1306 FILLER_15_249
*1307 FILLER_15_261
*1308 FILLER_15_273
*1309 FILLER_15_279
*1310 FILLER_15_281
*1311 FILLER_15_293
*1312 FILLER_15_3
*1313 FILLER_15_30
*1314 FILLER_15_305
*1315 FILLER_15_317
*1316 FILLER_15_329
*1317 FILLER_15_335
*1318 FILLER_15_337
*1319 FILLER_15_349
*1320 FILLER_15_358
*1321 FILLER_15_42
*1322 FILLER_15_54
*1323 FILLER_15_57
*1324 FILLER_15_69
*1325 FILLER_15_7
*1326 FILLER_15_81
*1327 FILLER_15_93
*1328 FILLER_16_109
*1329 FILLER_16_121
*1330 FILLER_16_133
*1331 FILLER_16_139
*1332 FILLER_16_141
*1333 FILLER_16_153
*1334 FILLER_16_165
*1335 FILLER_16_177
*1336 FILLER_16_189
*1337 FILLER_16_195
*1338 FILLER_16_197
*1339 FILLER_16_209
*1340 FILLER_16_221
*1341 FILLER_16_233
*1342 FILLER_16_24
*1343 FILLER_16_245
*1344 FILLER_16_251
*1345 FILLER_16_253
*1346 FILLER_16_265
*1347 FILLER_16_277
*1348 FILLER_16_289
*1349 FILLER_16_29
*1350 FILLER_16_301
*1351 FILLER_16_307
*1352 FILLER_16_309
*1353 FILLER_16_321
*1354 FILLER_16_333
*1355 FILLER_16_345
*1356 FILLER_16_356
*1357 FILLER_16_41
*1358 FILLER_16_53
*1359 FILLER_16_65
*1360 FILLER_16_77
*1361 FILLER_16_83
*1362 FILLER_16_85
*1363 FILLER_16_97
*1364 FILLER_17_105
*1365 FILLER_17_111
*1366 FILLER_17_113
*1367 FILLER_17_125
*1368 FILLER_17_137
*1369 FILLER_17_149
*1370 FILLER_17_161
*1371 FILLER_17_167
*1372 FILLER_17_169
*1373 FILLER_17_181
*1374 FILLER_17_193
*1375 FILLER_17_205
*1376 FILLER_17_217
*1377 FILLER_17_223
*1378 FILLER_17_225
*1379 FILLER_17_237
*1380 FILLER_17_249
*1381 FILLER_17_26
*1382 FILLER_17_261
*1383 FILLER_17_273
*1384 FILLER_17_279
*1385 FILLER_17_281
*1386 FILLER_17_293
*1387 FILLER_17_3
*1388 FILLER_17_305
*1389 FILLER_17_317
*1390 FILLER_17_329
*1391 FILLER_17_335
*1392 FILLER_17_337
*1393 FILLER_17_360
*1394 FILLER_17_38
*1395 FILLER_17_50
*1396 FILLER_17_57
*1397 FILLER_17_69
*1398 FILLER_17_81
*1399 FILLER_17_93
*1400 FILLER_18_109
*1401 FILLER_18_12
*1402 FILLER_18_121
*1403 FILLER_18_133
*1404 FILLER_18_139
*1405 FILLER_18_141
*1406 FILLER_18_153
*1407 FILLER_18_165
*1408 FILLER_18_177
*1409 FILLER_18_189
*1410 FILLER_18_195
*1411 FILLER_18_197
*1412 FILLER_18_209
*1413 FILLER_18_221
*1414 FILLER_18_233
*1415 FILLER_18_24
*1416 FILLER_18_245
*1417 FILLER_18_251
*1418 FILLER_18_253
*1419 FILLER_18_265
*1420 FILLER_18_277
*1421 FILLER_18_289
*1422 FILLER_18_29
*1423 FILLER_18_3
*1424 FILLER_18_301
*1425 FILLER_18_307
*1426 FILLER_18_309
*1427 FILLER_18_321
*1428 FILLER_18_333
*1429 FILLER_18_360
*1430 FILLER_18_41
*1431 FILLER_18_53
*1432 FILLER_18_65
*1433 FILLER_18_77
*1434 FILLER_18_83
*1435 FILLER_18_85
*1436 FILLER_18_97
*1437 FILLER_19_105
*1438 FILLER_19_111
*1439 FILLER_19_113
*1440 FILLER_19_125
*1441 FILLER_19_137
*1442 FILLER_19_149
*1443 FILLER_19_161
*1444 FILLER_19_167
*1445 FILLER_19_169
*1446 FILLER_19_181
*1447 FILLER_19_193
*1448 FILLER_19_205
*1449 FILLER_19_21
*1450 FILLER_19_217
*1451 FILLER_19_223
*1452 FILLER_19_225
*1453 FILLER_19_237
*1454 FILLER_19_249
*1455 FILLER_19_261
*1456 FILLER_19_273
*1457 FILLER_19_279
*1458 FILLER_19_281
*1459 FILLER_19_293
*1460 FILLER_19_3
*1461 FILLER_19_305
*1462 FILLER_19_317
*1463 FILLER_19_329
*1464 FILLER_19_33
*1465 FILLER_19_335
*1466 FILLER_19_337
*1467 FILLER_19_360
*1468 FILLER_19_45
*1469 FILLER_19_53
*1470 FILLER_19_57
*1471 FILLER_19_69
*1472 FILLER_19_81
*1473 FILLER_19_9
*1474 FILLER_19_93
*1475 FILLER_1_108
*1476 FILLER_1_113
*1477 FILLER_1_117
*1478 FILLER_1_139
*1479 FILLER_1_164
*1480 FILLER_1_169
*1481 FILLER_1_192
*1482 FILLER_1_198
*1483 FILLER_1_220
*1484 FILLER_1_228
*1485 FILLER_1_243
*1486 FILLER_1_268
*1487 FILLER_1_281
*1488 FILLER_1_292
*1489 FILLER_1_299
*1490 FILLER_1_3
*1491 FILLER_1_306
*1492 FILLER_1_331
*1493 FILLER_1_335
*1494 FILLER_1_337
*1495 FILLER_1_34
*1496 FILLER_1_360
*1497 FILLER_1_41
*1498 FILLER_1_52
*1499 FILLER_1_78
*1500 FILLER_1_86
*1501 FILLER_1_9
*1502 FILLER_20_109
*1503 FILLER_20_121
*1504 FILLER_20_133
*1505 FILLER_20_139
*1506 FILLER_20_141
*1507 FILLER_20_153
*1508 FILLER_20_165
*1509 FILLER_20_177
*1510 FILLER_20_189
*1511 FILLER_20_195
*1512 FILLER_20_197
*1513 FILLER_20_209
*1514 FILLER_20_221
*1515 FILLER_20_233
*1516 FILLER_20_24
*1517 FILLER_20_245
*1518 FILLER_20_251
*1519 FILLER_20_253
*1520 FILLER_20_265
*1521 FILLER_20_277
*1522 FILLER_20_289
*1523 FILLER_20_29
*1524 FILLER_20_301
*1525 FILLER_20_307
*1526 FILLER_20_309
*1527 FILLER_20_321
*1528 FILLER_20_333
*1529 FILLER_20_342
*1530 FILLER_20_349
*1531 FILLER_20_356
*1532 FILLER_20_41
*1533 FILLER_20_53
*1534 FILLER_20_65
*1535 FILLER_20_77
*1536 FILLER_20_83
*1537 FILLER_20_85
*1538 FILLER_20_97
*1539 FILLER_21_10
*1540 FILLER_21_105
*1541 FILLER_21_111
*1542 FILLER_21_113
*1543 FILLER_21_125
*1544 FILLER_21_137
*1545 FILLER_21_149
*1546 FILLER_21_161
*1547 FILLER_21_167
*1548 FILLER_21_169
*1549 FILLER_21_181
*1550 FILLER_21_19
*1551 FILLER_21_193
*1552 FILLER_21_205
*1553 FILLER_21_217
*1554 FILLER_21_223
*1555 FILLER_21_225
*1556 FILLER_21_237
*1557 FILLER_21_249
*1558 FILLER_21_26
*1559 FILLER_21_261
*1560 FILLER_21_273
*1561 FILLER_21_279
*1562 FILLER_21_281
*1563 FILLER_21_293
*1564 FILLER_21_3
*1565 FILLER_21_305
*1566 FILLER_21_317
*1567 FILLER_21_332
*1568 FILLER_21_337
*1569 FILLER_21_360
*1570 FILLER_21_38
*1571 FILLER_21_50
*1572 FILLER_21_57
*1573 FILLER_21_69
*1574 FILLER_21_81
*1575 FILLER_21_93
*1576 FILLER_22_109
*1577 FILLER_22_121
*1578 FILLER_22_133
*1579 FILLER_22_139
*1580 FILLER_22_141
*1581 FILLER_22_153
*1582 FILLER_22_165
*1583 FILLER_22_177
*1584 FILLER_22_189
*1585 FILLER_22_195
*1586 FILLER_22_197
*1587 FILLER_22_209
*1588 FILLER_22_221
*1589 FILLER_22_233
*1590 FILLER_22_24
*1591 FILLER_22_245
*1592 FILLER_22_251
*1593 FILLER_22_253
*1594 FILLER_22_265
*1595 FILLER_22_277
*1596 FILLER_22_289
*1597 FILLER_22_29
*1598 FILLER_22_301
*1599 FILLER_22_307
*1600 FILLER_22_309
*1601 FILLER_22_321
*1602 FILLER_22_333
*1603 FILLER_22_360
*1604 FILLER_22_41
*1605 FILLER_22_53
*1606 FILLER_22_65
*1607 FILLER_22_77
*1608 FILLER_22_83
*1609 FILLER_22_85
*1610 FILLER_22_97
*1611 FILLER_23_105
*1612 FILLER_23_111
*1613 FILLER_23_113
*1614 FILLER_23_12
*1615 FILLER_23_125
*1616 FILLER_23_137
*1617 FILLER_23_149
*1618 FILLER_23_161
*1619 FILLER_23_167
*1620 FILLER_23_169
*1621 FILLER_23_181
*1622 FILLER_23_19
*1623 FILLER_23_193
*1624 FILLER_23_205
*1625 FILLER_23_217
*1626 FILLER_23_223
*1627 FILLER_23_225
*1628 FILLER_23_237
*1629 FILLER_23_249
*1630 FILLER_23_261
*1631 FILLER_23_273
*1632 FILLER_23_279
*1633 FILLER_23_281
*1634 FILLER_23_293
*1635 FILLER_23_3
*1636 FILLER_23_305
*1637 FILLER_23_31
*1638 FILLER_23_317
*1639 FILLER_23_329
*1640 FILLER_23_335
*1641 FILLER_23_337
*1642 FILLER_23_343
*1643 FILLER_23_350
*1644 FILLER_23_357
*1645 FILLER_23_363
*1646 FILLER_23_43
*1647 FILLER_23_55
*1648 FILLER_23_57
*1649 FILLER_23_69
*1650 FILLER_23_81
*1651 FILLER_23_93
*1652 FILLER_24_109
*1653 FILLER_24_121
*1654 FILLER_24_133
*1655 FILLER_24_139
*1656 FILLER_24_141
*1657 FILLER_24_153
*1658 FILLER_24_165
*1659 FILLER_24_177
*1660 FILLER_24_189
*1661 FILLER_24_195
*1662 FILLER_24_197
*1663 FILLER_24_209
*1664 FILLER_24_221
*1665 FILLER_24_233
*1666 FILLER_24_24
*1667 FILLER_24_245
*1668 FILLER_24_251
*1669 FILLER_24_253
*1670 FILLER_24_265
*1671 FILLER_24_277
*1672 FILLER_24_289
*1673 FILLER_24_29
*1674 FILLER_24_301
*1675 FILLER_24_307
*1676 FILLER_24_309
*1677 FILLER_24_321
*1678 FILLER_24_333
*1679 FILLER_24_345
*1680 FILLER_24_349
*1681 FILLER_24_356
*1682 FILLER_24_41
*1683 FILLER_24_53
*1684 FILLER_24_65
*1685 FILLER_24_77
*1686 FILLER_24_83
*1687 FILLER_24_85
*1688 FILLER_24_97
*1689 FILLER_25_105
*1690 FILLER_25_111
*1691 FILLER_25_113
*1692 FILLER_25_125
*1693 FILLER_25_137
*1694 FILLER_25_149
*1695 FILLER_25_161
*1696 FILLER_25_167
*1697 FILLER_25_169
*1698 FILLER_25_181
*1699 FILLER_25_193
*1700 FILLER_25_205
*1701 FILLER_25_21
*1702 FILLER_25_217
*1703 FILLER_25_223
*1704 FILLER_25_225
*1705 FILLER_25_237
*1706 FILLER_25_249
*1707 FILLER_25_261
*1708 FILLER_25_273
*1709 FILLER_25_279
*1710 FILLER_25_281
*1711 FILLER_25_293
*1712 FILLER_25_3
*1713 FILLER_25_305
*1714 FILLER_25_317
*1715 FILLER_25_321
*1716 FILLER_25_325
*1717 FILLER_25_33
*1718 FILLER_25_332
*1719 FILLER_25_337
*1720 FILLER_25_360
*1721 FILLER_25_45
*1722 FILLER_25_53
*1723 FILLER_25_57
*1724 FILLER_25_69
*1725 FILLER_25_81
*1726 FILLER_25_9
*1727 FILLER_25_93
*1728 FILLER_26_10
*1729 FILLER_26_109
*1730 FILLER_26_121
*1731 FILLER_26_133
*1732 FILLER_26_139
*1733 FILLER_26_141
*1734 FILLER_26_153
*1735 FILLER_26_165
*1736 FILLER_26_17
*1737 FILLER_26_177
*1738 FILLER_26_189
*1739 FILLER_26_195
*1740 FILLER_26_197
*1741 FILLER_26_209
*1742 FILLER_26_221
*1743 FILLER_26_233
*1744 FILLER_26_245
*1745 FILLER_26_25
*1746 FILLER_26_251
*1747 FILLER_26_253
*1748 FILLER_26_265
*1749 FILLER_26_277
*1750 FILLER_26_289
*1751 FILLER_26_29
*1752 FILLER_26_3
*1753 FILLER_26_301
*1754 FILLER_26_307
*1755 FILLER_26_309
*1756 FILLER_26_315
*1757 FILLER_26_319
*1758 FILLER_26_326
*1759 FILLER_26_333
*1760 FILLER_26_340
*1761 FILLER_26_346
*1762 FILLER_26_350
*1763 FILLER_26_357
*1764 FILLER_26_363
*1765 FILLER_26_41
*1766 FILLER_26_53
*1767 FILLER_26_65
*1768 FILLER_26_77
*1769 FILLER_26_83
*1770 FILLER_26_85
*1771 FILLER_26_97
*1772 FILLER_27_105
*1773 FILLER_27_111
*1774 FILLER_27_113
*1775 FILLER_27_125
*1776 FILLER_27_137
*1777 FILLER_27_149
*1778 FILLER_27_161
*1779 FILLER_27_167
*1780 FILLER_27_169
*1781 FILLER_27_181
*1782 FILLER_27_193
*1783 FILLER_27_205
*1784 FILLER_27_217
*1785 FILLER_27_223
*1786 FILLER_27_225
*1787 FILLER_27_237
*1788 FILLER_27_249
*1789 FILLER_27_25
*1790 FILLER_27_255
*1791 FILLER_27_260
*1792 FILLER_27_267
*1793 FILLER_27_279
*1794 FILLER_27_281
*1795 FILLER_27_289
*1796 FILLER_27_293
*1797 FILLER_27_3
*1798 FILLER_27_305
*1799 FILLER_27_311
*1800 FILLER_27_318
*1801 FILLER_27_325
*1802 FILLER_27_332
*1803 FILLER_27_337
*1804 FILLER_27_360
*1805 FILLER_27_37
*1806 FILLER_27_49
*1807 FILLER_27_55
*1808 FILLER_27_57
*1809 FILLER_27_69
*1810 FILLER_27_81
*1811 FILLER_27_93
*1812 FILLER_28_10
*1813 FILLER_28_109
*1814 FILLER_28_121
*1815 FILLER_28_133
*1816 FILLER_28_139
*1817 FILLER_28_141
*1818 FILLER_28_153
*1819 FILLER_28_165
*1820 FILLER_28_177
*1821 FILLER_28_189
*1822 FILLER_28_195
*1823 FILLER_28_197
*1824 FILLER_28_209
*1825 FILLER_28_22
*1826 FILLER_28_221
*1827 FILLER_28_233
*1828 FILLER_28_245
*1829 FILLER_28_251
*1830 FILLER_28_253
*1831 FILLER_28_263
*1832 FILLER_28_271
*1833 FILLER_28_278
*1834 FILLER_28_284
*1835 FILLER_28_289
*1836 FILLER_28_29
*1837 FILLER_28_297
*1838 FILLER_28_3
*1839 FILLER_28_301
*1840 FILLER_28_307
*1841 FILLER_28_309
*1842 FILLER_28_316
*1843 FILLER_28_323
*1844 FILLER_28_330
*1845 FILLER_28_338
*1846 FILLER_28_360
*1847 FILLER_28_41
*1848 FILLER_28_53
*1849 FILLER_28_65
*1850 FILLER_28_77
*1851 FILLER_28_83
*1852 FILLER_28_85
*1853 FILLER_28_97
*1854 FILLER_29_105
*1855 FILLER_29_111
*1856 FILLER_29_113
*1857 FILLER_29_125
*1858 FILLER_29_137
*1859 FILLER_29_149
*1860 FILLER_29_161
*1861 FILLER_29_167
*1862 FILLER_29_169
*1863 FILLER_29_181
*1864 FILLER_29_193
*1865 FILLER_29_205
*1866 FILLER_29_217
*1867 FILLER_29_223
*1868 FILLER_29_225
*1869 FILLER_29_234
*1870 FILLER_29_249
*1871 FILLER_29_25
*1872 FILLER_29_256
*1873 FILLER_29_276
*1874 FILLER_29_297
*1875 FILLER_29_3
*1876 FILLER_29_305
*1877 FILLER_29_312
*1878 FILLER_29_319
*1879 FILLER_29_327
*1880 FILLER_29_332
*1881 FILLER_29_337
*1882 FILLER_29_344
*1883 FILLER_29_352
*1884 FILLER_29_356
*1885 FILLER_29_37
*1886 FILLER_29_49
*1887 FILLER_29_55
*1888 FILLER_29_57
*1889 FILLER_29_69
*1890 FILLER_29_81
*1891 FILLER_29_93
*1892 FILLER_2_113
*1893 FILLER_2_120
*1894 FILLER_2_128
*1895 FILLER_2_132
*1896 FILLER_2_141
*1897 FILLER_2_146
*1898 FILLER_2_154
*1899 FILLER_2_177
*1900 FILLER_2_184
*1901 FILLER_2_197
*1902 FILLER_2_202
*1903 FILLER_2_206
*1904 FILLER_2_210
*1905 FILLER_2_235
*1906 FILLER_2_24
*1907 FILLER_2_247
*1908 FILLER_2_251
*1909 FILLER_2_256
*1910 FILLER_2_268
*1911 FILLER_2_280
*1912 FILLER_2_286
*1913 FILLER_2_290
*1914 FILLER_2_297
*1915 FILLER_2_304
*1916 FILLER_2_315
*1917 FILLER_2_32
*1918 FILLER_2_340
*1919 FILLER_2_358
*1920 FILLER_2_57
*1921 FILLER_2_64
*1922 FILLER_2_72
*1923 FILLER_2_77
*1924 FILLER_2_83
*1925 FILLER_2_88
*1926 FILLER_30_109
*1927 FILLER_30_121
*1928 FILLER_30_13
*1929 FILLER_30_133
*1930 FILLER_30_139
*1931 FILLER_30_141
*1932 FILLER_30_153
*1933 FILLER_30_165
*1934 FILLER_30_17
*1935 FILLER_30_177
*1936 FILLER_30_189
*1937 FILLER_30_195
*1938 FILLER_30_197
*1939 FILLER_30_209
*1940 FILLER_30_221
*1941 FILLER_30_227
*1942 FILLER_30_236
*1943 FILLER_30_247
*1944 FILLER_30_25
*1945 FILLER_30_251
*1946 FILLER_30_253
*1947 FILLER_30_258
*1948 FILLER_30_278
*1949 FILLER_30_284
*1950 FILLER_30_29
*1951 FILLER_30_3
*1952 FILLER_30_301
*1953 FILLER_30_307
*1954 FILLER_30_325
*1955 FILLER_30_332
*1956 FILLER_30_338
*1957 FILLER_30_360
*1958 FILLER_30_41
*1959 FILLER_30_53
*1960 FILLER_30_65
*1961 FILLER_30_7
*1962 FILLER_30_77
*1963 FILLER_30_83
*1964 FILLER_30_85
*1965 FILLER_30_97
*1966 FILLER_31_105
*1967 FILLER_31_111
*1968 FILLER_31_113
*1969 FILLER_31_125
*1970 FILLER_31_137
*1971 FILLER_31_149
*1972 FILLER_31_161
*1973 FILLER_31_167
*1974 FILLER_31_169
*1975 FILLER_31_181
*1976 FILLER_31_193
*1977 FILLER_31_205
*1978 FILLER_31_211
*1979 FILLER_31_215
*1980 FILLER_31_223
*1981 FILLER_31_225
*1982 FILLER_31_235
*1983 FILLER_31_246
*1984 FILLER_31_255
*1985 FILLER_31_269
*1986 FILLER_31_276
*1987 FILLER_31_28
*1988 FILLER_31_281
*1989 FILLER_31_288
*1990 FILLER_31_297
*1991 FILLER_31_3
*1992 FILLER_31_305
*1993 FILLER_31_322
*1994 FILLER_31_329
*1995 FILLER_31_335
*1996 FILLER_31_340
*1997 FILLER_31_351
*1998 FILLER_31_355
*1999 FILLER_31_359
*2000 FILLER_31_363
*2001 FILLER_31_40
*2002 FILLER_31_52
*2003 FILLER_31_57
*2004 FILLER_31_69
*2005 FILLER_31_81
*2006 FILLER_31_93
*2007 FILLER_32_10
*2008 FILLER_32_109
*2009 FILLER_32_121
*2010 FILLER_32_133
*2011 FILLER_32_139
*2012 FILLER_32_141
*2013 FILLER_32_153
*2014 FILLER_32_165
*2015 FILLER_32_177
*2016 FILLER_32_189
*2017 FILLER_32_195
*2018 FILLER_32_197
*2019 FILLER_32_209
*2020 FILLER_32_213
*2021 FILLER_32_22
*2022 FILLER_32_223
*2023 FILLER_32_234
*2024 FILLER_32_247
*2025 FILLER_32_251
*2026 FILLER_32_260
*2027 FILLER_32_268
*2028 FILLER_32_275
*2029 FILLER_32_286
*2030 FILLER_32_29
*2031 FILLER_32_296
*2032 FILLER_32_3
*2033 FILLER_32_304
*2034 FILLER_32_315
*2035 FILLER_32_323
*2036 FILLER_32_330
*2037 FILLER_32_338
*2038 FILLER_32_360
*2039 FILLER_32_41
*2040 FILLER_32_53
*2041 FILLER_32_65
*2042 FILLER_32_77
*2043 FILLER_32_83
*2044 FILLER_32_85
*2045 FILLER_32_97
*2046 FILLER_33_105
*2047 FILLER_33_111
*2048 FILLER_33_113
*2049 FILLER_33_125
*2050 FILLER_33_137
*2051 FILLER_33_149
*2052 FILLER_33_15
*2053 FILLER_33_161
*2054 FILLER_33_167
*2055 FILLER_33_169
*2056 FILLER_33_181
*2057 FILLER_33_190
*2058 FILLER_33_202
*2059 FILLER_33_213
*2060 FILLER_33_220
*2061 FILLER_33_229
*2062 FILLER_33_240
*2063 FILLER_33_252
*2064 FILLER_33_266
*2065 FILLER_33_27
*2066 FILLER_33_276
*2067 FILLER_33_290
*2068 FILLER_33_3
*2069 FILLER_33_302
*2070 FILLER_33_311
*2071 FILLER_33_319
*2072 FILLER_33_327
*2073 FILLER_33_335
*2074 FILLER_33_337
*2075 FILLER_33_360
*2076 FILLER_33_39
*2077 FILLER_33_51
*2078 FILLER_33_55
*2079 FILLER_33_57
*2080 FILLER_33_69
*2081 FILLER_33_81
*2082 FILLER_33_93
*2083 FILLER_34_109
*2084 FILLER_34_121
*2085 FILLER_34_133
*2086 FILLER_34_139
*2087 FILLER_34_141
*2088 FILLER_34_15
*2089 FILLER_34_153
*2090 FILLER_34_165
*2091 FILLER_34_176
*2092 FILLER_34_183
*2093 FILLER_34_192
*2094 FILLER_34_197
*2095 FILLER_34_206
*2096 FILLER_34_213
*2097 FILLER_34_233
*2098 FILLER_34_245
*2099 FILLER_34_251
*2100 FILLER_34_253
*2101 FILLER_34_260
*2102 FILLER_34_27
*2103 FILLER_34_280
*2104 FILLER_34_29
*2105 FILLER_34_291
*2106 FILLER_34_3
*2107 FILLER_34_301
*2108 FILLER_34_307
*2109 FILLER_34_325
*2110 FILLER_34_333
*2111 FILLER_34_340
*2112 FILLER_34_348
*2113 FILLER_34_352
*2114 FILLER_34_359
*2115 FILLER_34_363
*2116 FILLER_34_41
*2117 FILLER_34_53
*2118 FILLER_34_65
*2119 FILLER_34_77
*2120 FILLER_34_83
*2121 FILLER_34_85
*2122 FILLER_34_97
*2123 FILLER_35_105
*2124 FILLER_35_111
*2125 FILLER_35_113
*2126 FILLER_35_125
*2127 FILLER_35_137
*2128 FILLER_35_149
*2129 FILLER_35_15
*2130 FILLER_35_161
*2131 FILLER_35_167
*2132 FILLER_35_169
*2133 FILLER_35_180
*2134 FILLER_35_200
*2135 FILLER_35_220
*2136 FILLER_35_230
*2137 FILLER_35_240
*2138 FILLER_35_250
*2139 FILLER_35_262
*2140 FILLER_35_27
*2141 FILLER_35_273
*2142 FILLER_35_279
*2143 FILLER_35_281
*2144 FILLER_35_292
*2145 FILLER_35_3
*2146 FILLER_35_300
*2147 FILLER_35_311
*2148 FILLER_35_319
*2149 FILLER_35_327
*2150 FILLER_35_335
*2151 FILLER_35_341
*2152 FILLER_35_349
*2153 FILLER_35_353
*2154 FILLER_35_360
*2155 FILLER_35_39
*2156 FILLER_35_51
*2157 FILLER_35_55
*2158 FILLER_35_57
*2159 FILLER_35_69
*2160 FILLER_35_81
*2161 FILLER_35_93
*2162 FILLER_36_109
*2163 FILLER_36_121
*2164 FILLER_36_133
*2165 FILLER_36_139
*2166 FILLER_36_141
*2167 FILLER_36_15
*2168 FILLER_36_153
*2169 FILLER_36_165
*2170 FILLER_36_182
*2171 FILLER_36_191
*2172 FILLER_36_195
*2173 FILLER_36_203
*2174 FILLER_36_212
*2175 FILLER_36_220
*2176 FILLER_36_231
*2177 FILLER_36_245
*2178 FILLER_36_251
*2179 FILLER_36_260
*2180 FILLER_36_267
*2181 FILLER_36_27
*2182 FILLER_36_287
*2183 FILLER_36_29
*2184 FILLER_36_298
*2185 FILLER_36_3
*2186 FILLER_36_306
*2187 FILLER_36_314
*2188 FILLER_36_322
*2189 FILLER_36_330
*2190 FILLER_36_338
*2191 FILLER_36_346
*2192 FILLER_36_352
*2193 FILLER_36_356
*2194 FILLER_36_41
*2195 FILLER_36_53
*2196 FILLER_36_65
*2197 FILLER_36_77
*2198 FILLER_36_83
*2199 FILLER_36_85
*2200 FILLER_36_97
*2201 FILLER_37_105
*2202 FILLER_37_111
*2203 FILLER_37_113
*2204 FILLER_37_125
*2205 FILLER_37_137
*2206 FILLER_37_149
*2207 FILLER_37_15
*2208 FILLER_37_155
*2209 FILLER_37_164
*2210 FILLER_37_185
*2211 FILLER_37_193
*2212 FILLER_37_202
*2213 FILLER_37_210
*2214 FILLER_37_220
*2215 FILLER_37_225
*2216 FILLER_37_245
*2217 FILLER_37_252
*2218 FILLER_37_264
*2219 FILLER_37_27
*2220 FILLER_37_275
*2221 FILLER_37_279
*2222 FILLER_37_281
*2223 FILLER_37_294
*2224 FILLER_37_3
*2225 FILLER_37_301
*2226 FILLER_37_321
*2227 FILLER_37_329
*2228 FILLER_37_335
*2229 FILLER_37_341
*2230 FILLER_37_349
*2231 FILLER_37_357
*2232 FILLER_37_363
*2233 FILLER_37_39
*2234 FILLER_37_51
*2235 FILLER_37_55
*2236 FILLER_37_57
*2237 FILLER_37_69
*2238 FILLER_37_81
*2239 FILLER_37_93
*2240 FILLER_38_109
*2241 FILLER_38_121
*2242 FILLER_38_133
*2243 FILLER_38_139
*2244 FILLER_38_141
*2245 FILLER_38_148
*2246 FILLER_38_15
*2247 FILLER_38_155
*2248 FILLER_38_164
*2249 FILLER_38_184
*2250 FILLER_38_192
*2251 FILLER_38_213
*2252 FILLER_38_221
*2253 FILLER_38_234
*2254 FILLER_38_248
*2255 FILLER_38_253
*2256 FILLER_38_257
*2257 FILLER_38_265
*2258 FILLER_38_27
*2259 FILLER_38_287
*2260 FILLER_38_29
*2261 FILLER_38_298
*2262 FILLER_38_3
*2263 FILLER_38_306
*2264 FILLER_38_325
*2265 FILLER_38_333
*2266 FILLER_38_360
*2267 FILLER_38_41
*2268 FILLER_38_53
*2269 FILLER_38_65
*2270 FILLER_38_77
*2271 FILLER_38_83
*2272 FILLER_38_85
*2273 FILLER_38_97
*2274 FILLER_39_105
*2275 FILLER_39_111
*2276 FILLER_39_113
*2277 FILLER_39_125
*2278 FILLER_39_137
*2279 FILLER_39_15
*2280 FILLER_39_152
*2281 FILLER_39_158
*2282 FILLER_39_164
*2283 FILLER_39_169
*2284 FILLER_39_180
*2285 FILLER_39_200
*2286 FILLER_39_220
*2287 FILLER_39_233
*2288 FILLER_39_244
*2289 FILLER_39_254
*2290 FILLER_39_27
*2291 FILLER_39_271
*2292 FILLER_39_279
*2293 FILLER_39_281
*2294 FILLER_39_288
*2295 FILLER_39_292
*2296 FILLER_39_299
*2297 FILLER_39_3
*2298 FILLER_39_313
*2299 FILLER_39_321
*2300 FILLER_39_327
*2301 FILLER_39_335
*2302 FILLER_39_337
*2303 FILLER_39_360
*2304 FILLER_39_39
*2305 FILLER_39_51
*2306 FILLER_39_55
*2307 FILLER_39_57
*2308 FILLER_39_69
*2309 FILLER_39_81
*2310 FILLER_39_93
*2311 FILLER_3_102
*2312 FILLER_3_110
*2313 FILLER_3_113
*2314 FILLER_3_125
*2315 FILLER_3_137
*2316 FILLER_3_143
*2317 FILLER_3_147
*2318 FILLER_3_155
*2319 FILLER_3_160
*2320 FILLER_3_169
*2321 FILLER_3_181
*2322 FILLER_3_193
*2323 FILLER_3_199
*2324 FILLER_3_203
*2325 FILLER_3_213
*2326 FILLER_3_220
*2327 FILLER_3_225
*2328 FILLER_3_237
*2329 FILLER_3_249
*2330 FILLER_3_261
*2331 FILLER_3_273
*2332 FILLER_3_279
*2333 FILLER_3_28
*2334 FILLER_3_281
*2335 FILLER_3_293
*2336 FILLER_3_3
*2337 FILLER_3_300
*2338 FILLER_3_307
*2339 FILLER_3_332
*2340 FILLER_3_35
*2341 FILLER_3_358
*2342 FILLER_3_42
*2343 FILLER_3_49
*2344 FILLER_3_55
*2345 FILLER_3_60
*2346 FILLER_3_67
*2347 FILLER_3_71
*2348 FILLER_3_93
*2349 FILLER_40_109
*2350 FILLER_40_121
*2351 FILLER_40_133
*2352 FILLER_40_139
*2353 FILLER_40_141
*2354 FILLER_40_147
*2355 FILLER_40_15
*2356 FILLER_40_151
*2357 FILLER_40_159
*2358 FILLER_40_179
*2359 FILLER_40_189
*2360 FILLER_40_195
*2361 FILLER_40_197
*2362 FILLER_40_207
*2363 FILLER_40_215
*2364 FILLER_40_222
*2365 FILLER_40_226
*2366 FILLER_40_233
*2367 FILLER_40_237
*2368 FILLER_40_244
*2369 FILLER_40_253
*2370 FILLER_40_27
*2371 FILLER_40_272
*2372 FILLER_40_281
*2373 FILLER_40_287
*2374 FILLER_40_29
*2375 FILLER_40_3
*2376 FILLER_40_304
*2377 FILLER_40_313
*2378 FILLER_40_317
*2379 FILLER_40_334
*2380 FILLER_40_338
*2381 FILLER_40_360
*2382 FILLER_40_41
*2383 FILLER_40_53
*2384 FILLER_40_65
*2385 FILLER_40_77
*2386 FILLER_40_83
*2387 FILLER_40_85
*2388 FILLER_40_97
*2389 FILLER_41_105
*2390 FILLER_41_11
*2391 FILLER_41_111
*2392 FILLER_41_113
*2393 FILLER_41_125
*2394 FILLER_41_140
*2395 FILLER_41_147
*2396 FILLER_41_15
*2397 FILLER_41_155
*2398 FILLER_41_164
*2399 FILLER_41_169
*2400 FILLER_41_180
*2401 FILLER_41_19
*2402 FILLER_41_200
*2403 FILLER_41_208
*2404 FILLER_41_220
*2405 FILLER_41_230
*2406 FILLER_41_239
*2407 FILLER_41_255
*2408 FILLER_41_269
*2409 FILLER_41_276
*2410 FILLER_41_281
*2411 FILLER_41_286
*2412 FILLER_41_295
*2413 FILLER_41_3
*2414 FILLER_41_303
*2415 FILLER_41_31
*2416 FILLER_41_312
*2417 FILLER_41_332
*2418 FILLER_41_353
*2419 FILLER_41_360
*2420 FILLER_41_43
*2421 FILLER_41_55
*2422 FILLER_41_57
*2423 FILLER_41_69
*2424 FILLER_41_7
*2425 FILLER_41_81
*2426 FILLER_41_93
*2427 FILLER_42_109
*2428 FILLER_42_121
*2429 FILLER_42_133
*2430 FILLER_42_139
*2431 FILLER_42_141
*2432 FILLER_42_147
*2433 FILLER_42_155
*2434 FILLER_42_175
*2435 FILLER_42_183
*2436 FILLER_42_192
*2437 FILLER_42_197
*2438 FILLER_42_201
*2439 FILLER_42_207
*2440 FILLER_42_213
*2441 FILLER_42_230
*2442 FILLER_42_239
*2443 FILLER_42_24
*2444 FILLER_42_248
*2445 FILLER_42_258
*2446 FILLER_42_262
*2447 FILLER_42_267
*2448 FILLER_42_276
*2449 FILLER_42_286
*2450 FILLER_42_29
*2451 FILLER_42_294
*2452 FILLER_42_298
*2453 FILLER_42_304
*2454 FILLER_42_325
*2455 FILLER_42_335
*2456 FILLER_42_360
*2457 FILLER_42_41
*2458 FILLER_42_53
*2459 FILLER_42_65
*2460 FILLER_42_77
*2461 FILLER_42_83
*2462 FILLER_42_85
*2463 FILLER_42_97
*2464 FILLER_43_105
*2465 FILLER_43_111
*2466 FILLER_43_113
*2467 FILLER_43_125
*2468 FILLER_43_137
*2469 FILLER_43_141
*2470 FILLER_43_148
*2471 FILLER_43_15
*2472 FILLER_43_156
*2473 FILLER_43_164
*2474 FILLER_43_169
*2475 FILLER_43_173
*2476 FILLER_43_190
*2477 FILLER_43_198
*2478 FILLER_43_215
*2479 FILLER_43_223
*2480 FILLER_43_225
*2481 FILLER_43_242
*2482 FILLER_43_262
*2483 FILLER_43_27
*2484 FILLER_43_272
*2485 FILLER_43_287
*2486 FILLER_43_295
*2487 FILLER_43_3
*2488 FILLER_43_312
*2489 FILLER_43_321
*2490 FILLER_43_325
*2491 FILLER_43_332
*2492 FILLER_43_337
*2493 FILLER_43_360
*2494 FILLER_43_39
*2495 FILLER_43_51
*2496 FILLER_43_55
*2497 FILLER_43_57
*2498 FILLER_43_69
*2499 FILLER_43_81
*2500 FILLER_43_93
*2501 FILLER_44_109
*2502 FILLER_44_121
*2503 FILLER_44_133
*2504 FILLER_44_139
*2505 FILLER_44_141
*2506 FILLER_44_146
*2507 FILLER_44_15
*2508 FILLER_44_153
*2509 FILLER_44_173
*2510 FILLER_44_183
*2511 FILLER_44_192
*2512 FILLER_44_213
*2513 FILLER_44_223
*2514 FILLER_44_237
*2515 FILLER_44_246
*2516 FILLER_44_253
*2517 FILLER_44_257
*2518 FILLER_44_27
*2519 FILLER_44_274
*2520 FILLER_44_29
*2521 FILLER_44_294
*2522 FILLER_44_298
*2523 FILLER_44_3
*2524 FILLER_44_304
*2525 FILLER_44_309
*2526 FILLER_44_320
*2527 FILLER_44_330
*2528 FILLER_44_338
*2529 FILLER_44_355
*2530 FILLER_44_363
*2531 FILLER_44_41
*2532 FILLER_44_53
*2533 FILLER_44_65
*2534 FILLER_44_77
*2535 FILLER_44_83
*2536 FILLER_44_85
*2537 FILLER_44_97
*2538 FILLER_45_105
*2539 FILLER_45_111
*2540 FILLER_45_113
*2541 FILLER_45_125
*2542 FILLER_45_136
*2543 FILLER_45_143
*2544 FILLER_45_15
*2545 FILLER_45_150
*2546 FILLER_45_157
*2547 FILLER_45_164
*2548 FILLER_45_173
*2549 FILLER_45_184
*2550 FILLER_45_190
*2551 FILLER_45_199
*2552 FILLER_45_203
*2553 FILLER_45_220
*2554 FILLER_45_225
*2555 FILLER_45_235
*2556 FILLER_45_243
*2557 FILLER_45_263
*2558 FILLER_45_27
*2559 FILLER_45_272
*2560 FILLER_45_297
*2561 FILLER_45_3
*2562 FILLER_45_307
*2563 FILLER_45_321
*2564 FILLER_45_332
*2565 FILLER_45_340
*2566 FILLER_45_353
*2567 FILLER_45_360
*2568 FILLER_45_39
*2569 FILLER_45_51
*2570 FILLER_45_55
*2571 FILLER_45_57
*2572 FILLER_45_69
*2573 FILLER_45_81
*2574 FILLER_45_93
*2575 FILLER_46_109
*2576 FILLER_46_121
*2577 FILLER_46_136
*2578 FILLER_46_141
*2579 FILLER_46_15
*2580 FILLER_46_150
*2581 FILLER_46_157
*2582 FILLER_46_161
*2583 FILLER_46_165
*2584 FILLER_46_174
*2585 FILLER_46_183
*2586 FILLER_46_192
*2587 FILLER_46_203
*2588 FILLER_46_211
*2589 FILLER_46_220
*2590 FILLER_46_234
*2591 FILLER_46_242
*2592 FILLER_46_248
*2593 FILLER_46_260
*2594 FILLER_46_268
*2595 FILLER_46_27
*2596 FILLER_46_277
*2597 FILLER_46_285
*2598 FILLER_46_29
*2599 FILLER_46_293
*2600 FILLER_46_297
*2601 FILLER_46_3
*2602 FILLER_46_304
*2603 FILLER_46_319
*2604 FILLER_46_327
*2605 FILLER_46_340
*2606 FILLER_46_360
*2607 FILLER_46_41
*2608 FILLER_46_53
*2609 FILLER_46_65
*2610 FILLER_46_77
*2611 FILLER_46_83
*2612 FILLER_46_85
*2613 FILLER_46_97
*2614 FILLER_47_105
*2615 FILLER_47_111
*2616 FILLER_47_113
*2617 FILLER_47_125
*2618 FILLER_47_131
*2619 FILLER_47_135
*2620 FILLER_47_142
*2621 FILLER_47_149
*2622 FILLER_47_15
*2623 FILLER_47_156
*2624 FILLER_47_164
*2625 FILLER_47_169
*2626 FILLER_47_177
*2627 FILLER_47_183
*2628 FILLER_47_200
*2629 FILLER_47_220
*2630 FILLER_47_225
*2631 FILLER_47_231
*2632 FILLER_47_243
*2633 FILLER_47_253
*2634 FILLER_47_266
*2635 FILLER_47_27
*2636 FILLER_47_275
*2637 FILLER_47_279
*2638 FILLER_47_288
*2639 FILLER_47_294
*2640 FILLER_47_3
*2641 FILLER_47_311
*2642 FILLER_47_322
*2643 FILLER_47_332
*2644 FILLER_47_337
*2645 FILLER_47_360
*2646 FILLER_47_39
*2647 FILLER_47_51
*2648 FILLER_47_55
*2649 FILLER_47_57
*2650 FILLER_47_69
*2651 FILLER_47_81
*2652 FILLER_47_93
*2653 FILLER_48_109
*2654 FILLER_48_121
*2655 FILLER_48_125
*2656 FILLER_48_129
*2657 FILLER_48_136
*2658 FILLER_48_141
*2659 FILLER_48_15
*2660 FILLER_48_150
*2661 FILLER_48_157
*2662 FILLER_48_181
*2663 FILLER_48_192
*2664 FILLER_48_213
*2665 FILLER_48_223
*2666 FILLER_48_231
*2667 FILLER_48_248
*2668 FILLER_48_253
*2669 FILLER_48_27
*2670 FILLER_48_277
*2671 FILLER_48_29
*2672 FILLER_48_293
*2673 FILLER_48_297
*2674 FILLER_48_3
*2675 FILLER_48_304
*2676 FILLER_48_309
*2677 FILLER_48_331
*2678 FILLER_48_343
*2679 FILLER_48_357
*2680 FILLER_48_363
*2681 FILLER_48_41
*2682 FILLER_48_53
*2683 FILLER_48_65
*2684 FILLER_48_77
*2685 FILLER_48_83
*2686 FILLER_48_85
*2687 FILLER_48_97
*2688 FILLER_49_105
*2689 FILLER_49_111
*2690 FILLER_49_113
*2691 FILLER_49_117
*2692 FILLER_49_121
*2693 FILLER_49_125
*2694 FILLER_49_135
*2695 FILLER_49_142
*2696 FILLER_49_149
*2697 FILLER_49_15
*2698 FILLER_49_156
*2699 FILLER_49_164
*2700 FILLER_49_169
*2701 FILLER_49_188
*2702 FILLER_49_197
*2703 FILLER_49_206
*2704 FILLER_49_214
*2705 FILLER_49_220
*2706 FILLER_49_230
*2707 FILLER_49_234
*2708 FILLER_49_243
*2709 FILLER_49_249
*2710 FILLER_49_266
*2711 FILLER_49_27
*2712 FILLER_49_276
*2713 FILLER_49_297
*2714 FILLER_49_3
*2715 FILLER_49_317
*2716 FILLER_49_332
*2717 FILLER_49_341
*2718 FILLER_49_353
*2719 FILLER_49_360
*2720 FILLER_49_39
*2721 FILLER_49_51
*2722 FILLER_49_55
*2723 FILLER_49_57
*2724 FILLER_49_69
*2725 FILLER_49_81
*2726 FILLER_49_93
*2727 FILLER_4_103
*2728 FILLER_4_11
*2729 FILLER_4_115
*2730 FILLER_4_127
*2731 FILLER_4_139
*2732 FILLER_4_141
*2733 FILLER_4_153
*2734 FILLER_4_165
*2735 FILLER_4_177
*2736 FILLER_4_189
*2737 FILLER_4_195
*2738 FILLER_4_197
*2739 FILLER_4_20
*2740 FILLER_4_209
*2741 FILLER_4_221
*2742 FILLER_4_233
*2743 FILLER_4_245
*2744 FILLER_4_251
*2745 FILLER_4_253
*2746 FILLER_4_265
*2747 FILLER_4_277
*2748 FILLER_4_289
*2749 FILLER_4_3
*2750 FILLER_4_301
*2751 FILLER_4_307
*2752 FILLER_4_309
*2753 FILLER_4_32
*2754 FILLER_4_333
*2755 FILLER_4_360
*2756 FILLER_4_39
*2757 FILLER_4_43
*2758 FILLER_4_65
*2759 FILLER_4_7
*2760 FILLER_4_76
*2761 FILLER_4_85
*2762 FILLER_4_96
*2763 FILLER_50_109
*2764 FILLER_50_117
*2765 FILLER_50_122
*2766 FILLER_50_129
*2767 FILLER_50_136
*2768 FILLER_50_144
*2769 FILLER_50_15
*2770 FILLER_50_151
*2771 FILLER_50_158
*2772 FILLER_50_178
*2773 FILLER_50_187
*2774 FILLER_50_195
*2775 FILLER_50_197
*2776 FILLER_50_216
*2777 FILLER_50_228
*2778 FILLER_50_238
*2779 FILLER_50_247
*2780 FILLER_50_251
*2781 FILLER_50_258
*2782 FILLER_50_262
*2783 FILLER_50_268
*2784 FILLER_50_27
*2785 FILLER_50_279
*2786 FILLER_50_29
*2787 FILLER_50_290
*2788 FILLER_50_3
*2789 FILLER_50_304
*2790 FILLER_50_316
*2791 FILLER_50_324
*2792 FILLER_50_333
*2793 FILLER_50_340
*2794 FILLER_50_360
*2795 FILLER_50_41
*2796 FILLER_50_53
*2797 FILLER_50_65
*2798 FILLER_50_77
*2799 FILLER_50_83
*2800 FILLER_50_85
*2801 FILLER_50_97
*2802 FILLER_51_105
*2803 FILLER_51_111
*2804 FILLER_51_113
*2805 FILLER_51_120
*2806 FILLER_51_127
*2807 FILLER_51_134
*2808 FILLER_51_141
*2809 FILLER_51_148
*2810 FILLER_51_15
*2811 FILLER_51_156
*2812 FILLER_51_164
*2813 FILLER_51_169
*2814 FILLER_51_176
*2815 FILLER_51_196
*2816 FILLER_51_216
*2817 FILLER_51_233
*2818 FILLER_51_244
*2819 FILLER_51_251
*2820 FILLER_51_262
*2821 FILLER_51_27
*2822 FILLER_51_270
*2823 FILLER_51_276
*2824 FILLER_51_281
*2825 FILLER_51_288
*2826 FILLER_51_3
*2827 FILLER_51_308
*2828 FILLER_51_312
*2829 FILLER_51_321
*2830 FILLER_51_325
*2831 FILLER_51_332
*2832 FILLER_51_337
*2833 FILLER_51_346
*2834 FILLER_51_356
*2835 FILLER_51_39
*2836 FILLER_51_51
*2837 FILLER_51_55
*2838 FILLER_51_57
*2839 FILLER_51_69
*2840 FILLER_51_81
*2841 FILLER_51_93
*2842 FILLER_52_10
*2843 FILLER_52_109
*2844 FILLER_52_115
*2845 FILLER_52_122
*2846 FILLER_52_129
*2847 FILLER_52_136
*2848 FILLER_52_141
*2849 FILLER_52_151
*2850 FILLER_52_159
*2851 FILLER_52_168
*2852 FILLER_52_192
*2853 FILLER_52_202
*2854 FILLER_52_206
*2855 FILLER_52_211
*2856 FILLER_52_22
*2857 FILLER_52_223
*2858 FILLER_52_234
*2859 FILLER_52_245
*2860 FILLER_52_251
*2861 FILLER_52_253
*2862 FILLER_52_262
*2863 FILLER_52_272
*2864 FILLER_52_29
*2865 FILLER_52_292
*2866 FILLER_52_296
*2867 FILLER_52_3
*2868 FILLER_52_304
*2869 FILLER_52_309
*2870 FILLER_52_331
*2871 FILLER_52_342
*2872 FILLER_52_352
*2873 FILLER_52_360
*2874 FILLER_52_41
*2875 FILLER_52_53
*2876 FILLER_52_65
*2877 FILLER_52_77
*2878 FILLER_52_83
*2879 FILLER_52_85
*2880 FILLER_52_97
*2881 FILLER_53_105
*2882 FILLER_53_111
*2883 FILLER_53_113
*2884 FILLER_53_122
*2885 FILLER_53_126
*2886 FILLER_53_130
*2887 FILLER_53_137
*2888 FILLER_53_144
*2889 FILLER_53_164
*2890 FILLER_53_173
*2891 FILLER_53_182
*2892 FILLER_53_192
*2893 FILLER_53_204
*2894 FILLER_53_212
*2895 FILLER_53_220
*2896 FILLER_53_232
*2897 FILLER_53_239
*2898 FILLER_53_25
*2899 FILLER_53_250
*2900 FILLER_53_262
*2901 FILLER_53_273
*2902 FILLER_53_279
*2903 FILLER_53_281
*2904 FILLER_53_3
*2905 FILLER_53_308
*2906 FILLER_53_323
*2907 FILLER_53_332
*2908 FILLER_53_337
*2909 FILLER_53_360
*2910 FILLER_53_37
*2911 FILLER_53_49
*2912 FILLER_53_55
*2913 FILLER_53_57
*2914 FILLER_53_69
*2915 FILLER_53_81
*2916 FILLER_53_93
*2917 FILLER_54_109
*2918 FILLER_54_116
*2919 FILLER_54_123
*2920 FILLER_54_130
*2921 FILLER_54_138
*2922 FILLER_54_141
*2923 FILLER_54_145
*2924 FILLER_54_150
*2925 FILLER_54_170
*2926 FILLER_54_181
*2927 FILLER_54_185
*2928 FILLER_54_19
*2929 FILLER_54_192
*2930 FILLER_54_197
*2931 FILLER_54_204
*2932 FILLER_54_210
*2933 FILLER_54_217
*2934 FILLER_54_225
*2935 FILLER_54_234
*2936 FILLER_54_248
*2937 FILLER_54_261
*2938 FILLER_54_27
*2939 FILLER_54_271
*2940 FILLER_54_283
*2941 FILLER_54_29
*2942 FILLER_54_294
*2943 FILLER_54_3
*2944 FILLER_54_304
*2945 FILLER_54_309
*2946 FILLER_54_322
*2947 FILLER_54_335
*2948 FILLER_54_360
*2949 FILLER_54_41
*2950 FILLER_54_53
*2951 FILLER_54_65
*2952 FILLER_54_7
*2953 FILLER_54_77
*2954 FILLER_54_83
*2955 FILLER_54_85
*2956 FILLER_54_97
*2957 FILLER_55_105
*2958 FILLER_55_111
*2959 FILLER_55_113
*2960 FILLER_55_117
*2961 FILLER_55_124
*2962 FILLER_55_131
*2963 FILLER_55_138
*2964 FILLER_55_145
*2965 FILLER_55_15
*2966 FILLER_55_154
*2967 FILLER_55_164
*2968 FILLER_55_173
*2969 FILLER_55_185
*2970 FILLER_55_205
*2971 FILLER_55_211
*2972 FILLER_55_220
*2973 FILLER_55_232
*2974 FILLER_55_239
*2975 FILLER_55_259
*2976 FILLER_55_267
*2977 FILLER_55_27
*2978 FILLER_55_276
*2979 FILLER_55_281
*2980 FILLER_55_291
*2981 FILLER_55_3
*2982 FILLER_55_302
*2983 FILLER_55_313
*2984 FILLER_55_321
*2985 FILLER_55_332
*2986 FILLER_55_337
*2987 FILLER_55_360
*2988 FILLER_55_39
*2989 FILLER_55_51
*2990 FILLER_55_55
*2991 FILLER_55_57
*2992 FILLER_55_69
*2993 FILLER_55_81
*2994 FILLER_55_93
*2995 FILLER_56_109
*2996 FILLER_56_117
*2997 FILLER_56_122
*2998 FILLER_56_129
*2999 FILLER_56_136
*3000 FILLER_56_141
*3001 FILLER_56_148
*3002 FILLER_56_15
*3003 FILLER_56_168
*3004 FILLER_56_192
*3005 FILLER_56_213
*3006 FILLER_56_219
*3007 FILLER_56_229
*3008 FILLER_56_239
*3009 FILLER_56_248
*3010 FILLER_56_253
*3011 FILLER_56_258
*3012 FILLER_56_267
*3013 FILLER_56_27
*3014 FILLER_56_276
*3015 FILLER_56_29
*3016 FILLER_56_290
*3017 FILLER_56_3
*3018 FILLER_56_303
*3019 FILLER_56_307
*3020 FILLER_56_312
*3021 FILLER_56_323
*3022 FILLER_56_329
*3023 FILLER_56_339
*3024 FILLER_56_359
*3025 FILLER_56_363
*3026 FILLER_56_41
*3027 FILLER_56_53
*3028 FILLER_56_65
*3029 FILLER_56_77
*3030 FILLER_56_83
*3031 FILLER_56_85
*3032 FILLER_56_97
*3033 FILLER_57_105
*3034 FILLER_57_11
*3035 FILLER_57_111
*3036 FILLER_57_113
*3037 FILLER_57_122
*3038 FILLER_57_129
*3039 FILLER_57_136
*3040 FILLER_57_140
*3041 FILLER_57_144
*3042 FILLER_57_164
*3043 FILLER_57_174
*3044 FILLER_57_18
*3045 FILLER_57_180
*3046 FILLER_57_186
*3047 FILLER_57_195
*3048 FILLER_57_201
*3049 FILLER_57_207
*3050 FILLER_57_217
*3051 FILLER_57_223
*3052 FILLER_57_232
*3053 FILLER_57_241
*3054 FILLER_57_245
*3055 FILLER_57_248
*3056 FILLER_57_266
*3057 FILLER_57_270
*3058 FILLER_57_276
*3059 FILLER_57_281
*3060 FILLER_57_285
*3061 FILLER_57_294
*3062 FILLER_57_3
*3063 FILLER_57_30
*3064 FILLER_57_305
*3065 FILLER_57_309
*3066 FILLER_57_318
*3067 FILLER_57_332
*3068 FILLER_57_337
*3069 FILLER_57_360
*3070 FILLER_57_42
*3071 FILLER_57_54
*3072 FILLER_57_57
*3073 FILLER_57_69
*3074 FILLER_57_7
*3075 FILLER_57_81
*3076 FILLER_57_93
*3077 FILLER_58_109
*3078 FILLER_58_117
*3079 FILLER_58_122
*3080 FILLER_58_129
*3081 FILLER_58_136
*3082 FILLER_58_144
*3083 FILLER_58_151
*3084 FILLER_58_158
*3085 FILLER_58_165
*3086 FILLER_58_185
*3087 FILLER_58_192
*3088 FILLER_58_213
*3089 FILLER_58_221
*3090 FILLER_58_229
*3091 FILLER_58_237
*3092 FILLER_58_24
*3093 FILLER_58_245
*3094 FILLER_58_251
*3095 FILLER_58_253
*3096 FILLER_58_259
*3097 FILLER_58_279
*3098 FILLER_58_29
*3099 FILLER_58_299
*3100 FILLER_58_307
*3101 FILLER_58_318
*3102 FILLER_58_330
*3103 FILLER_58_338
*3104 FILLER_58_360
*3105 FILLER_58_41
*3106 FILLER_58_53
*3107 FILLER_58_65
*3108 FILLER_58_77
*3109 FILLER_58_83
*3110 FILLER_58_85
*3111 FILLER_58_97
*3112 FILLER_59_105
*3113 FILLER_59_111
*3114 FILLER_59_113
*3115 FILLER_59_122
*3116 FILLER_59_129
*3117 FILLER_59_136
*3118 FILLER_59_143
*3119 FILLER_59_15
*3120 FILLER_59_150
*3121 FILLER_59_157
*3122 FILLER_59_164
*3123 FILLER_59_174
*3124 FILLER_59_184
*3125 FILLER_59_193
*3126 FILLER_59_217
*3127 FILLER_59_223
*3128 FILLER_59_225
*3129 FILLER_59_244
*3130 FILLER_59_264
*3131 FILLER_59_27
*3132 FILLER_59_273
*3133 FILLER_59_279
*3134 FILLER_59_285
*3135 FILLER_59_295
*3136 FILLER_59_3
*3137 FILLER_59_305
*3138 FILLER_59_309
*3139 FILLER_59_326
*3140 FILLER_59_334
*3141 FILLER_59_345
*3142 FILLER_59_355
*3143 FILLER_59_363
*3144 FILLER_59_39
*3145 FILLER_59_51
*3146 FILLER_59_55
*3147 FILLER_59_57
*3148 FILLER_59_69
*3149 FILLER_59_8
*3150 FILLER_59_81
*3151 FILLER_59_93
*3152 FILLER_5_10
*3153 FILLER_5_108
*3154 FILLER_5_113
*3155 FILLER_5_125
*3156 FILLER_5_137
*3157 FILLER_5_149
*3158 FILLER_5_161
*3159 FILLER_5_167
*3160 FILLER_5_169
*3161 FILLER_5_181
*3162 FILLER_5_193
*3163 FILLER_5_205
*3164 FILLER_5_217
*3165 FILLER_5_223
*3166 FILLER_5_225
*3167 FILLER_5_237
*3168 FILLER_5_249
*3169 FILLER_5_261
*3170 FILLER_5_273
*3171 FILLER_5_279
*3172 FILLER_5_281
*3173 FILLER_5_293
*3174 FILLER_5_3
*3175 FILLER_5_305
*3176 FILLER_5_311
*3177 FILLER_5_318
*3178 FILLER_5_325
*3179 FILLER_5_332
*3180 FILLER_5_337
*3181 FILLER_5_360
*3182 FILLER_5_37
*3183 FILLER_5_44
*3184 FILLER_5_51
*3185 FILLER_5_55
*3186 FILLER_5_60
*3187 FILLER_5_72
*3188 FILLER_5_84
*3189 FILLER_5_96
*3190 FILLER_60_109
*3191 FILLER_60_120
*3192 FILLER_60_127
*3193 FILLER_60_134
*3194 FILLER_60_141
*3195 FILLER_60_146
*3196 FILLER_60_153
*3197 FILLER_60_173
*3198 FILLER_60_184
*3199 FILLER_60_192
*3200 FILLER_60_205
*3201 FILLER_60_214
*3202 FILLER_60_238
*3203 FILLER_60_24
*3204 FILLER_60_248
*3205 FILLER_60_261
*3206 FILLER_60_283
*3207 FILLER_60_29
*3208 FILLER_60_295
*3209 FILLER_60_304
*3210 FILLER_60_315
*3211 FILLER_60_335
*3212 FILLER_60_360
*3213 FILLER_60_41
*3214 FILLER_60_53
*3215 FILLER_60_65
*3216 FILLER_60_77
*3217 FILLER_60_83
*3218 FILLER_60_85
*3219 FILLER_60_97
*3220 FILLER_61_105
*3221 FILLER_61_111
*3222 FILLER_61_113
*3223 FILLER_61_120
*3224 FILLER_61_127
*3225 FILLER_61_134
*3226 FILLER_61_14
*3227 FILLER_61_141
*3228 FILLER_61_148
*3229 FILLER_61_155
*3230 FILLER_61_164
*3231 FILLER_61_185
*3232 FILLER_61_194
*3233 FILLER_61_20
*3234 FILLER_61_205
*3235 FILLER_61_214
*3236 FILLER_61_222
*3237 FILLER_61_241
*3238 FILLER_61_248
*3239 FILLER_61_25
*3240 FILLER_61_268
*3241 FILLER_61_276
*3242 FILLER_61_281
*3243 FILLER_61_300
*3244 FILLER_61_32
*3245 FILLER_61_320
*3246 FILLER_61_326
*3247 FILLER_61_332
*3248 FILLER_61_342
*3249 FILLER_61_360
*3250 FILLER_61_39
*3251 FILLER_61_51
*3252 FILLER_61_55
*3253 FILLER_61_57
*3254 FILLER_61_69
*3255 FILLER_61_7
*3256 FILLER_61_81
*3257 FILLER_61_93
*3258 FILLER_62_108
*3259 FILLER_62_115
*3260 FILLER_62_122
*3261 FILLER_62_129
*3262 FILLER_62_136
*3263 FILLER_62_141
*3264 FILLER_62_151
*3265 FILLER_62_159
*3266 FILLER_62_163
*3267 FILLER_62_172
*3268 FILLER_62_192
*3269 FILLER_62_197
*3270 FILLER_62_216
*3271 FILLER_62_236
*3272 FILLER_62_24
*3273 FILLER_62_245
*3274 FILLER_62_251
*3275 FILLER_62_269
*3276 FILLER_62_280
*3277 FILLER_62_286
*3278 FILLER_62_294
*3279 FILLER_62_304
*3280 FILLER_62_318
*3281 FILLER_62_331
*3282 FILLER_62_360
*3283 FILLER_62_38
*3284 FILLER_62_45
*3285 FILLER_62_57
*3286 FILLER_62_69
*3287 FILLER_62_81
*3288 FILLER_62_85
*3289 FILLER_62_97
*3290 FILLER_63_101
*3291 FILLER_63_108
*3292 FILLER_63_113
*3293 FILLER_63_117
*3294 FILLER_63_124
*3295 FILLER_63_131
*3296 FILLER_63_138
*3297 FILLER_63_154
*3298 FILLER_63_160
*3299 FILLER_63_164
*3300 FILLER_63_185
*3301 FILLER_63_205
*3302 FILLER_63_214
*3303 FILLER_63_222
*3304 FILLER_63_228
*3305 FILLER_63_238
*3306 FILLER_63_247
*3307 FILLER_63_256
*3308 FILLER_63_264
*3309 FILLER_63_272
*3310 FILLER_63_281
*3311 FILLER_63_299
*3312 FILLER_63_3
*3313 FILLER_63_312
*3314 FILLER_63_32
*3315 FILLER_63_325
*3316 FILLER_63_332
*3317 FILLER_63_337
*3318 FILLER_63_360
*3319 FILLER_63_45
*3320 FILLER_63_52
*3321 FILLER_63_57
*3322 FILLER_63_69
*3323 FILLER_63_7
*3324 FILLER_63_81
*3325 FILLER_63_93
*3326 FILLER_63_97
*3327 FILLER_64_100
*3328 FILLER_64_107
*3329 FILLER_64_114
*3330 FILLER_64_121
*3331 FILLER_64_128
*3332 FILLER_64_136
*3333 FILLER_64_141
*3334 FILLER_64_154
*3335 FILLER_64_167
*3336 FILLER_64_176
*3337 FILLER_64_183
*3338 FILLER_64_192
*3339 FILLER_64_197
*3340 FILLER_64_204
*3341 FILLER_64_213
*3342 FILLER_64_222
*3343 FILLER_64_230
*3344 FILLER_64_24
*3345 FILLER_64_247
*3346 FILLER_64_251
*3347 FILLER_64_253
*3348 FILLER_64_273
*3349 FILLER_64_293
*3350 FILLER_64_297
*3351 FILLER_64_3
*3352 FILLER_64_304
*3353 FILLER_64_309
*3354 FILLER_64_324
*3355 FILLER_64_333
*3356 FILLER_64_358
*3357 FILLER_64_41
*3358 FILLER_64_54
*3359 FILLER_64_66
*3360 FILLER_64_70
*3361 FILLER_64_77
*3362 FILLER_64_8
*3363 FILLER_64_83
*3364 FILLER_64_85
*3365 FILLER_64_89
*3366 FILLER_64_93
*3367 FILLER_65_101
*3368 FILLER_65_108
*3369 FILLER_65_11
*3370 FILLER_65_113
*3371 FILLER_65_117
*3372 FILLER_65_124
*3373 FILLER_65_131
*3374 FILLER_65_138
*3375 FILLER_65_15
*3376 FILLER_65_151
*3377 FILLER_65_159
*3378 FILLER_65_164
*3379 FILLER_65_185
*3380 FILLER_65_205
*3381 FILLER_65_214
*3382 FILLER_65_222
*3383 FILLER_65_241
*3384 FILLER_65_252
*3385 FILLER_65_261
*3386 FILLER_65_270
*3387 FILLER_65_278
*3388 FILLER_65_286
*3389 FILLER_65_294
*3390 FILLER_65_3
*3391 FILLER_65_307
*3392 FILLER_65_332
*3393 FILLER_65_337
*3394 FILLER_65_360
*3395 FILLER_65_37
*3396 FILLER_65_48
*3397 FILLER_65_57
*3398 FILLER_65_62
*3399 FILLER_65_7
*3400 FILLER_65_87
*3401 FILLER_65_94
*3402 FILLER_66_106
*3403 FILLER_66_115
*3404 FILLER_66_122
*3405 FILLER_66_129
*3406 FILLER_66_136
*3407 FILLER_66_141
*3408 FILLER_66_147
*3409 FILLER_66_155
*3410 FILLER_66_177
*3411 FILLER_66_186
*3412 FILLER_66_194
*3413 FILLER_66_200
*3414 FILLER_66_227
*3415 FILLER_66_24
*3416 FILLER_66_247
*3417 FILLER_66_251
*3418 FILLER_66_257
*3419 FILLER_66_282
*3420 FILLER_66_29
*3421 FILLER_66_291
*3422 FILLER_66_304
*3423 FILLER_66_33
*3424 FILLER_66_330
*3425 FILLER_66_338
*3426 FILLER_66_360
*3427 FILLER_66_55
*3428 FILLER_66_80
*3429 FILLER_67_102
*3430 FILLER_67_110
*3431 FILLER_67_113
*3432 FILLER_67_117
*3433 FILLER_67_124
*3434 FILLER_67_131
*3435 FILLER_67_138
*3436 FILLER_67_163
*3437 FILLER_67_167
*3438 FILLER_67_174
*3439 FILLER_67_199
*3440 FILLER_67_203
*3441 FILLER_67_220
*3442 FILLER_67_225
*3443 FILLER_67_25
*3444 FILLER_67_250
*3445 FILLER_67_275
*3446 FILLER_67_279
*3447 FILLER_67_285
*3448 FILLER_67_3
*3449 FILLER_67_310
*3450 FILLER_67_319
*3451 FILLER_67_327
*3452 FILLER_67_335
*3453 FILLER_67_337
*3454 FILLER_67_360
*3455 FILLER_67_50
*3456 FILLER_67_57
*3457 FILLER_67_62
*3458 FILLER_67_66
*3459 FILLER_67_70
*3460 FILLER_67_77
*3461 FILLER_68_101
*3462 FILLER_68_108
*3463 FILLER_68_113
*3464 FILLER_68_122
*3465 FILLER_68_129
*3466 FILLER_68_13
*3467 FILLER_68_136
*3468 FILLER_68_141
*3469 FILLER_68_148
*3470 FILLER_68_155
*3471 FILLER_68_164
*3472 FILLER_68_190
*3473 FILLER_68_197
*3474 FILLER_68_220
*3475 FILLER_68_230
*3476 FILLER_68_237
*3477 FILLER_68_24
*3478 FILLER_68_248
*3479 FILLER_68_253
*3480 FILLER_68_259
*3481 FILLER_68_267
*3482 FILLER_68_276
*3483 FILLER_68_302
*3484 FILLER_68_309
*3485 FILLER_68_317
*3486 FILLER_68_32
*3487 FILLER_68_324
*3488 FILLER_68_332
*3489 FILLER_68_337
*3490 FILLER_68_360
*3491 FILLER_68_39
*3492 FILLER_68_46
*3493 FILLER_68_54
*3494 FILLER_68_60
*3495 FILLER_68_69
*3496 FILLER_68_80
*3497 FILLER_68_88
*3498 FILLER_68_96
*3499 FILLER_6_109
*3500 FILLER_6_121
*3501 FILLER_6_133
*3502 FILLER_6_139
*3503 FILLER_6_141
*3504 FILLER_6_153
*3505 FILLER_6_165
*3506 FILLER_6_177
*3507 FILLER_6_189
*3508 FILLER_6_195
*3509 FILLER_6_197
*3510 FILLER_6_209
*3511 FILLER_6_221
*3512 FILLER_6_233
*3513 FILLER_6_24
*3514 FILLER_6_245
*3515 FILLER_6_251
*3516 FILLER_6_253
*3517 FILLER_6_265
*3518 FILLER_6_277
*3519 FILLER_6_289
*3520 FILLER_6_301
*3521 FILLER_6_307
*3522 FILLER_6_309
*3523 FILLER_6_317
*3524 FILLER_6_321
*3525 FILLER_6_328
*3526 FILLER_6_353
*3527 FILLER_6_360
*3528 FILLER_6_50
*3529 FILLER_6_57
*3530 FILLER_6_69
*3531 FILLER_6_81
*3532 FILLER_6_85
*3533 FILLER_6_97
*3534 FILLER_7_105
*3535 FILLER_7_111
*3536 FILLER_7_113
*3537 FILLER_7_125
*3538 FILLER_7_137
*3539 FILLER_7_149
*3540 FILLER_7_161
*3541 FILLER_7_167
*3542 FILLER_7_169
*3543 FILLER_7_181
*3544 FILLER_7_193
*3545 FILLER_7_205
*3546 FILLER_7_217
*3547 FILLER_7_223
*3548 FILLER_7_225
*3549 FILLER_7_237
*3550 FILLER_7_249
*3551 FILLER_7_261
*3552 FILLER_7_273
*3553 FILLER_7_279
*3554 FILLER_7_281
*3555 FILLER_7_293
*3556 FILLER_7_305
*3557 FILLER_7_31
*3558 FILLER_7_317
*3559 FILLER_7_332
*3560 FILLER_7_337
*3561 FILLER_7_342
*3562 FILLER_7_349
*3563 FILLER_7_356
*3564 FILLER_7_38
*3565 FILLER_7_45
*3566 FILLER_7_53
*3567 FILLER_7_57
*3568 FILLER_7_6
*3569 FILLER_7_69
*3570 FILLER_7_81
*3571 FILLER_7_93
*3572 FILLER_8_109
*3573 FILLER_8_12
*3574 FILLER_8_121
*3575 FILLER_8_133
*3576 FILLER_8_139
*3577 FILLER_8_141
*3578 FILLER_8_153
*3579 FILLER_8_165
*3580 FILLER_8_177
*3581 FILLER_8_189
*3582 FILLER_8_19
*3583 FILLER_8_195
*3584 FILLER_8_197
*3585 FILLER_8_209
*3586 FILLER_8_221
*3587 FILLER_8_233
*3588 FILLER_8_245
*3589 FILLER_8_251
*3590 FILLER_8_253
*3591 FILLER_8_265
*3592 FILLER_8_27
*3593 FILLER_8_277
*3594 FILLER_8_289
*3595 FILLER_8_3
*3596 FILLER_8_301
*3597 FILLER_8_307
*3598 FILLER_8_309
*3599 FILLER_8_32
*3600 FILLER_8_321
*3601 FILLER_8_329
*3602 FILLER_8_335
*3603 FILLER_8_360
*3604 FILLER_8_44
*3605 FILLER_8_56
*3606 FILLER_8_68
*3607 FILLER_8_80
*3608 FILLER_8_85
*3609 FILLER_8_97
*3610 FILLER_9_105
*3611 FILLER_9_111
*3612 FILLER_9_113
*3613 FILLER_9_125
*3614 FILLER_9_137
*3615 FILLER_9_149
*3616 FILLER_9_161
*3617 FILLER_9_167
*3618 FILLER_9_169
*3619 FILLER_9_181
*3620 FILLER_9_193
*3621 FILLER_9_205
*3622 FILLER_9_217
*3623 FILLER_9_223
*3624 FILLER_9_225
*3625 FILLER_9_237
*3626 FILLER_9_249
*3627 FILLER_9_26
*3628 FILLER_9_261
*3629 FILLER_9_273
*3630 FILLER_9_279
*3631 FILLER_9_281
*3632 FILLER_9_293
*3633 FILLER_9_3
*3634 FILLER_9_305
*3635 FILLER_9_317
*3636 FILLER_9_329
*3637 FILLER_9_33
*3638 FILLER_9_335
*3639 FILLER_9_337
*3640 FILLER_9_345
*3641 FILLER_9_351
*3642 FILLER_9_358
*3643 FILLER_9_45
*3644 FILLER_9_53
*3645 FILLER_9_57
*3646 FILLER_9_69
*3647 FILLER_9_81
*3648 FILLER_9_93
*3649 PHY_0
*3650 PHY_1
*3651 PHY_10
*3652 PHY_100
*3653 PHY_101
*3654 PHY_102
*3655 PHY_103
*3656 PHY_104
*3657 PHY_105
*3658 PHY_106
*3659 PHY_107
*3660 PHY_108
*3661 PHY_109
*3662 PHY_11
*3663 PHY_110
*3664 PHY_111
*3665 PHY_112
*3666 PHY_113
*3667 PHY_114
*3668 PHY_115
*3669 PHY_116
*3670 PHY_117
*3671 PHY_118
*3672 PHY_119
*3673 PHY_12
*3674 PHY_120
*3675 PHY_121
*3676 PHY_122
*3677 PHY_123
*3678 PHY_124
*3679 PHY_125
*3680 PHY_126
*3681 PHY_127
*3682 PHY_128
*3683 PHY_129
*3684 PHY_13
*3685 PHY_130
*3686 PHY_131
*3687 PHY_132
*3688 PHY_133
*3689 PHY_134
*3690 PHY_135
*3691 PHY_136
*3692 PHY_137
*3693 PHY_14
*3694 PHY_15
*3695 PHY_16
*3696 PHY_17
*3697 PHY_18
*3698 PHY_19
*3699 PHY_2
*3700 PHY_20
*3701 PHY_21
*3702 PHY_22
*3703 PHY_23
*3704 PHY_24
*3705 PHY_25
*3706 PHY_26
*3707 PHY_27
*3708 PHY_28
*3709 PHY_29
*3710 PHY_3
*3711 PHY_30
*3712 PHY_31
*3713 PHY_32
*3714 PHY_33
*3715 PHY_34
*3716 PHY_35
*3717 PHY_36
*3718 PHY_37
*3719 PHY_38
*3720 PHY_39
*3721 PHY_4
*3722 PHY_40
*3723 PHY_41
*3724 PHY_42
*3725 PHY_43
*3726 PHY_44
*3727 PHY_45
*3728 PHY_46
*3729 PHY_47
*3730 PHY_48
*3731 PHY_49
*3732 PHY_5
*3733 PHY_50
*3734 PHY_51
*3735 PHY_52
*3736 PHY_53
*3737 PHY_54
*3738 PHY_55
*3739 PHY_56
*3740 PHY_57
*3741 PHY_58
*3742 PHY_59
*3743 PHY_6
*3744 PHY_60
*3745 PHY_61
*3746 PHY_62
*3747 PHY_63
*3748 PHY_64
*3749 PHY_65
*3750 PHY_66
*3751 PHY_67
*3752 PHY_68
*3753 PHY_69
*3754 PHY_7
*3755 PHY_70
*3756 PHY_71
*3757 PHY_72
*3758 PHY_73
*3759 PHY_74
*3760 PHY_75
*3761 PHY_76
*3762 PHY_77
*3763 PHY_78
*3764 PHY_79
*3765 PHY_8
*3766 PHY_80
*3767 PHY_81
*3768 PHY_82
*3769 PHY_83
*3770 PHY_84
*3771 PHY_85
*3772 PHY_86
*3773 PHY_87
*3774 PHY_88
*3775 PHY_89
*3776 PHY_9
*3777 PHY_90
*3778 PHY_91
*3779 PHY_92
*3780 PHY_93
*3781 PHY_94
*3782 PHY_95
*3783 PHY_96
*3784 PHY_97
*3785 PHY_98
*3786 PHY_99
*3787 TAP_138
*3788 TAP_139
*3789 TAP_140
*3790 TAP_141
*3791 TAP_142
*3792 TAP_143
*3793 TAP_144
*3794 TAP_145
*3795 TAP_146
*3796 TAP_147
*3797 TAP_148
*3798 TAP_149
*3799 TAP_150
*3800 TAP_151
*3801 TAP_152
*3802 TAP_153
*3803 TAP_154
*3804 TAP_155
*3805 TAP_156
*3806 TAP_157
*3807 TAP_158
*3808 TAP_159
*3809 TAP_160
*3810 TAP_161
*3811 TAP_162
*3812 TAP_163
*3813 TAP_164
*3814 TAP_165
*3815 TAP_166
*3816 TAP_167
*3817 TAP_168
*3818 TAP_169
*3819 TAP_170
*3820 TAP_171
*3821 TAP_172
*3822 TAP_173
*3823 TAP_174
*3824 TAP_175
*3825 TAP_176
*3826 TAP_177
*3827 TAP_178
*3828 TAP_179
*3829 TAP_180
*3830 TAP_181
*3831 TAP_182
*3832 TAP_183
*3833 TAP_184
*3834 TAP_185
*3835 TAP_186
*3836 TAP_187
*3837 TAP_188
*3838 TAP_189
*3839 TAP_190
*3840 TAP_191
*3841 TAP_192
*3842 TAP_193
*3843 TAP_194
*3844 TAP_195
*3845 TAP_196
*3846 TAP_197
*3847 TAP_198
*3848 TAP_199
*3849 TAP_200
*3850 TAP_201
*3851 TAP_202
*3852 TAP_203
*3853 TAP_204
*3854 TAP_205
*3855 TAP_206
*3856 TAP_207
*3857 TAP_208
*3858 TAP_209
*3859 TAP_210
*3860 TAP_211
*3861 TAP_212
*3862 TAP_213
*3863 TAP_214
*3864 TAP_215
*3865 TAP_216
*3866 TAP_217
*3867 TAP_218
*3868 TAP_219
*3869 TAP_220
*3870 TAP_221
*3871 TAP_222
*3872 TAP_223
*3873 TAP_224
*3874 TAP_225
*3875 TAP_226
*3876 TAP_227
*3877 TAP_228
*3878 TAP_229
*3879 TAP_230
*3880 TAP_231
*3881 TAP_232
*3882 TAP_233
*3883 TAP_234
*3884 TAP_235
*3885 TAP_236
*3886 TAP_237
*3887 TAP_238
*3888 TAP_239
*3889 TAP_240
*3890 TAP_241
*3891 TAP_242
*3892 TAP_243
*3893 TAP_244
*3894 TAP_245
*3895 TAP_246
*3896 TAP_247
*3897 TAP_248
*3898 TAP_249
*3899 TAP_250
*3900 TAP_251
*3901 TAP_252
*3902 TAP_253
*3903 TAP_254
*3904 TAP_255
*3905 TAP_256
*3906 TAP_257
*3907 TAP_258
*3908 TAP_259
*3909 TAP_260
*3910 TAP_261
*3911 TAP_262
*3912 TAP_263
*3913 TAP_264
*3914 TAP_265
*3915 TAP_266
*3916 TAP_267
*3917 TAP_268
*3918 TAP_269
*3919 TAP_270
*3920 TAP_271
*3921 TAP_272
*3922 TAP_273
*3923 TAP_274
*3924 TAP_275
*3925 TAP_276
*3926 TAP_277
*3927 TAP_278
*3928 TAP_279
*3929 TAP_280
*3930 TAP_281
*3931 TAP_282
*3932 TAP_283
*3933 TAP_284
*3934 TAP_285
*3935 TAP_286
*3936 TAP_287
*3937 TAP_288
*3938 TAP_289
*3939 TAP_290
*3940 TAP_291
*3941 TAP_292
*3942 TAP_293
*3943 TAP_294
*3944 TAP_295
*3945 TAP_296
*3946 TAP_297
*3947 TAP_298
*3948 TAP_299
*3949 TAP_300
*3950 TAP_301
*3951 TAP_302
*3952 TAP_303
*3953 TAP_304
*3954 TAP_305
*3955 TAP_306
*3956 TAP_307
*3957 TAP_308
*3958 TAP_309
*3959 TAP_310
*3960 TAP_311
*3961 TAP_312
*3962 TAP_313
*3963 TAP_314
*3964 TAP_315
*3965 TAP_316
*3966 TAP_317
*3967 TAP_318
*3968 TAP_319
*3969 TAP_320
*3970 TAP_321
*3971 TAP_322
*3972 TAP_323
*3973 TAP_324
*3974 TAP_325
*3975 TAP_326
*3976 TAP_327
*3977 TAP_328
*3978 TAP_329
*3979 TAP_330
*3980 TAP_331
*3981 TAP_332
*3982 TAP_333
*3983 TAP_334
*3984 TAP_335
*3985 TAP_336
*3986 TAP_337
*3987 TAP_338
*3988 TAP_339
*3989 TAP_340
*3990 TAP_341
*3991 TAP_342
*3992 TAP_343
*3993 TAP_344
*3994 TAP_345
*3995 TAP_346
*3996 TAP_347
*3997 TAP_348
*3998 TAP_349
*3999 TAP_350
*4000 TAP_351
*4001 TAP_352
*4002 TAP_353
*4003 TAP_354
*4004 TAP_355
*4005 TAP_356
*4006 TAP_357
*4007 TAP_358
*4008 TAP_359
*4009 TAP_360
*4010 TAP_361
*4011 TAP_362
*4012 TAP_363
*4013 TAP_364
*4014 TAP_365
*4015 TAP_366
*4016 TAP_367
*4017 TAP_368
*4018 TAP_369
*4019 TAP_370
*4020 TAP_371
*4021 TAP_372
*4022 TAP_373
*4023 TAP_374
*4024 TAP_375
*4025 TAP_376
*4026 TAP_377
*4027 TAP_378
*4028 TAP_379
*4029 TAP_380
*4030 TAP_381
*4031 TAP_382
*4032 TAP_383
*4033 TAP_384
*4034 TAP_385
*4035 TAP_386
*4036 TAP_387
*4037 TAP_388
*4038 TAP_389
*4039 TAP_390
*4040 TAP_391
*4041 TAP_392
*4042 TAP_393
*4043 TAP_394
*4044 TAP_395
*4045 TAP_396
*4046 TAP_397
*4047 TAP_398
*4048 TAP_399
*4049 TAP_400
*4050 TAP_401
*4051 TAP_402
*4052 TAP_403
*4053 TAP_404
*4054 TAP_405
*4055 TAP_406
*4056 TAP_407
*4057 TAP_408
*4058 TAP_409
*4059 TAP_410
*4060 TAP_411
*4061 TAP_412
*4062 TAP_413
*4063 TAP_414
*4064 TAP_415
*4065 TAP_416
*4066 TAP_417
*4067 TAP_418
*4068 TAP_419
*4069 TAP_420
*4070 TAP_421
*4071 TAP_422
*4072 TAP_423
*4073 TAP_424
*4074 TAP_425
*4075 TAP_426
*4076 TAP_427
*4077 TAP_428
*4078 TAP_429
*4079 TAP_430
*4080 TAP_431
*4081 TAP_432
*4082 TAP_433
*4083 TAP_434
*4084 TAP_435
*4085 TAP_436
*4086 TAP_437
*4087 TAP_438
*4088 TAP_439
*4089 TAP_440
*4090 TAP_441
*4091 TAP_442
*4092 TAP_443
*4093 TAP_444
*4094 TAP_445
*4095 TAP_446
*4096 TAP_447
*4097 TAP_448
*4098 TAP_449
*4099 TAP_450
*4100 TAP_451
*4101 TAP_452
*4102 TAP_453
*4103 TAP_454
*4104 TAP_455
*4105 TAP_456
*4106 TAP_457
*4107 TAP_458
*4108 TAP_459
*4109 TAP_460
*4110 TAP_461
*4111 TAP_462
*4112 TAP_463
*4113 TAP_464
*4114 TAP_465
*4115 TAP_466
*4116 TAP_467
*4117 TAP_468
*4118 TAP_469
*4119 TAP_470
*4120 TAP_471
*4121 TAP_472
*4122 TAP_473
*4123 TAP_474
*4124 TAP_475
*4125 TAP_476
*4126 TAP_477
*4127 TAP_478
*4128 TAP_479
*4129 TAP_480
*4130 TAP_481
*4131 TAP_482
*4132 TAP_483
*4133 TAP_484
*4134 TAP_485
*4135 TAP_486
*4136 TAP_487
*4137 TAP_488
*4138 TAP_489
*4139 TAP_490
*4140 TAP_491
*4141 TAP_492
*4142 TAP_493
*4143 TAP_494
*4144 TAP_495
*4145 TAP_496
*4146 TAP_497
*4147 TAP_498
*4148 TAP_499
*4149 TAP_500
*4150 TAP_501
*4151 TAP_502
*4152 TAP_503
*4153 TAP_504
*4154 TAP_505
*4155 TAP_506
*4156 TAP_507
*4157 TAP_508
*4158 TAP_509
*4159 TAP_510
*4160 TAP_511
*4161 TAP_512
*4162 TAP_513
*4163 TAP_514
*4164 TAP_515
*4165 TAP_516
*4166 TAP_517
*4167 TAP_518
*4168 TAP_519
*4169 TAP_520
*4170 TAP_521
*4171 TAP_522
*4172 TAP_523
*4173 TAP_524
*4174 TAP_525
*4175 TAP_526
*4176 TAP_527
*4177 TAP_528
*4178 TAP_529
*4179 TAP_530
*4180 TAP_531
*4181 TAP_532
*4182 TAP_533
*4183 TAP_534
*4184 TAP_535
*4185 TAP_536
*4186 TAP_537
*4187 TAP_538
*4188 TAP_539
*4189 TAP_540
*4190 TAP_541
*4191 TAP_542
*4192 TAP_543
*4193 TAP_544
*4194 TAP_545
*4195 TAP_546
*4196 TAP_547
*4197 TAP_548
*4198 TAP_549
*4199 TAP_550
*4200 TAP_551
*4201 TAP_552
*4202 TAP_553
*4203 TAP_554
*4204 TAP_555
*4205 TAP_556
*4206 TAP_557
*4207 TAP_558
*4208 TAP_559
*4209 TAP_560
*4210 TAP_561
*4211 TAP_562
*4212 TAP_563
*4213 _0708_
*4214 _0709_
*4215 _0710_
*4216 _0711_
*4217 _0712_
*4218 _0713_
*4219 _0714_
*4220 _0715_
*4221 _0716_
*4222 _0717_
*4223 _0718_
*4224 _0719_
*4225 _0720_
*4226 _0721_
*4227 _0722_
*4228 _0723_
*4229 _0724_
*4230 _0725_
*4231 _0726_
*4232 _0727_
*4233 _0728_
*4234 _0729_
*4235 _0730_
*4236 _0731_
*4237 _0732_
*4238 _0733_
*4239 _0734_
*4240 _0735_
*4241 _0736_
*4242 _0737_
*4243 _0738_
*4244 _0739_
*4245 _0740_
*4246 _0741_
*4247 _0742_
*4248 _0743_
*4249 _0744_
*4250 _0745_
*4251 _0746_
*4252 _0747_
*4253 _0748_
*4254 _0749_
*4255 _0750_
*4256 _0751_
*4257 _0752_
*4258 _0753_
*4259 _0754_
*4260 _0755_
*4261 _0756_
*4262 _0757_
*4263 _0758_
*4264 _0759_
*4265 _0760_
*4266 _0761_
*4267 _0762_
*4268 _0763_
*4269 _0764_
*4270 _0765_
*4271 _0766_
*4272 _0767_
*4273 _0768_
*4274 _0769_
*4275 _0770_
*4276 _0771_
*4277 _0772_
*4278 _0773_
*4279 _0774_
*4280 _0775_
*4281 _0776_
*4282 _0777_
*4283 _0778_
*4284 _0779_
*4285 _0780_
*4286 _0781_
*4287 _0782_
*4288 _0783_
*4289 _0784_
*4290 _0785_
*4291 _0786_
*4292 _0787_
*4293 _0788_
*4294 _0789_
*4295 _0790_
*4296 _0791_
*4297 _0792_
*4298 _0793_
*4299 _0794_
*4300 _0795_
*4301 _0796_
*4302 _0797_
*4303 _0798_
*4304 _0799_
*4305 _0800_
*4306 _0801_
*4307 _0802_
*4308 _0803_
*4309 _0804_
*4310 _0805_
*4311 _0806_
*4312 _0807_
*4313 _0808_
*4314 _0809_
*4315 _0810_
*4316 _0811_
*4317 _0812_
*4318 _0813_
*4319 _0814_
*4320 _0815_
*4321 _0816_
*4322 _0817_
*4323 _0818_
*4324 _0819_
*4325 _0820_
*4326 _0821_
*4327 _0822_
*4328 _0823_
*4329 _0824_
*4330 _0825_
*4331 _0826_
*4332 _0827_
*4333 _0828_
*4334 _0829_
*4335 _0830_
*4336 _0831_
*4337 _0832_
*4338 _0833_
*4339 _0834_
*4340 _0835_
*4341 _0836_
*4342 _0837_
*4343 _0838_
*4344 _0839_
*4345 _0840_
*4346 _0841_
*4347 _0842_
*4348 _0843_
*4349 _0844_
*4350 _0845_
*4351 _0846_
*4352 _0847_
*4353 _0848_
*4354 _0849_
*4355 _0850_
*4356 _0851_
*4357 _0852_
*4358 _0853_
*4359 _0854_
*4360 _0855_
*4361 _0856_
*4362 _0857_
*4363 _0858_
*4364 _0859_
*4365 _0860_
*4366 _0861_
*4367 _0862_
*4368 _0863_
*4369 _0864_
*4370 _0865_
*4371 _0866_
*4372 _0867_
*4373 _0868_
*4374 _0869_
*4375 _0870_
*4376 _0871_
*4377 _0872_
*4378 _0873_
*4379 _0874_
*4380 _0875_
*4381 _0876_
*4382 _0877_
*4383 _0878_
*4384 _0879_
*4385 _0880_
*4386 _0881_
*4387 _0882_
*4388 _0883_
*4389 _0884_
*4390 _0885_
*4391 _0886_
*4392 _0887_
*4393 _0888_
*4394 _0889_
*4395 _0890_
*4396 _0891_
*4397 _0892_
*4398 _0893_
*4399 _0894_
*4400 _0895_
*4401 _0896_
*4402 _0897_
*4403 _0898_
*4404 _0899_
*4405 _0900_
*4406 _0901_
*4407 _0902_
*4408 _0903_
*4409 _0904_
*4410 _0905_
*4411 _0906_
*4412 _0907_
*4413 _0908_
*4414 _0909_
*4415 _0910_
*4416 _0911_
*4417 _0912_
*4418 _0913_
*4419 _0914_
*4420 _0915_
*4421 _0916_
*4422 _0917_
*4423 _0918_
*4424 _0919_
*4425 _0920_
*4426 _0921_
*4427 _0922_
*4428 _0923_
*4429 _0924_
*4430 _0925_
*4431 _0926_
*4432 _0927_
*4433 _0928_
*4434 _0929_
*4435 _0930_
*4436 _0931_
*4437 _0932_
*4438 _0933_
*4439 _0934_
*4440 _0935_
*4441 _0936_
*4442 _0937_
*4443 _0938_
*4444 _0939_
*4445 _0940_
*4446 _0941_
*4447 _0942_
*4448 _0943_
*4449 _0944_
*4450 _0945_
*4451 _0946_
*4452 _0947_
*4453 _0948_
*4454 _0949_
*4455 _0950_
*4456 _0951_
*4457 _0952_
*4458 _0953_
*4459 _0954_
*4460 _0955_
*4461 _0956_
*4462 _0957_
*4463 _0958_
*4464 _0959_
*4465 _0960_
*4466 _0961_
*4467 _0962_
*4468 _0963_
*4469 _0964_
*4470 _0965_
*4471 _0966_
*4472 _0967_
*4473 _0968_
*4474 _0969_
*4475 _0970_
*4476 _0971_
*4477 _0972_
*4478 _0973_
*4479 _0974_
*4480 _0975_
*4481 _0976_
*4482 _0977_
*4483 _0978_
*4484 _0979_
*4485 _0980_
*4486 _0981_
*4487 _0982_
*4488 _0983_
*4489 _0984_
*4490 _0985_
*4491 _0986_
*4492 _0987_
*4493 _0988_
*4494 _0989_
*4495 _0990_
*4496 _0991_
*4497 _0992_
*4498 _0993_
*4499 _0994_
*4500 _0995_
*4501 _0996_
*4502 _0997_
*4503 _0998_
*4504 _0999_
*4505 _1000_
*4506 _1001_
*4507 _1002_
*4508 _1003_
*4509 _1004_
*4510 _1005_
*4511 _1006_
*4512 _1007_
*4513 _1008_
*4514 _1009_
*4515 _1010_
*4516 _1011_
*4517 _1012_
*4518 _1013_
*4519 _1014_
*4520 _1015_
*4521 _1016_
*4522 _1017_
*4523 _1018_
*4524 _1019_
*4525 _1020_
*4526 _1021_
*4527 _1022_
*4528 _1023_
*4529 _1024_
*4530 _1025_
*4531 _1026_
*4532 _1027_
*4533 _1028_
*4534 _1029_
*4535 _1030_
*4536 _1031_
*4537 _1032_
*4538 _1033_
*4539 _1034_
*4540 _1035_
*4541 _1036_
*4542 _1037_
*4543 _1038_
*4544 _1039_
*4545 _1040_
*4546 _1041_
*4547 _1042_
*4548 _1043_
*4549 _1044_
*4550 _1045_
*4551 _1046_
*4552 _1047_
*4553 _1048_
*4554 _1049_
*4555 _1050_
*4556 _1051_
*4557 _1052_
*4558 _1053_
*4559 _1054_
*4560 _1055_
*4561 _1056_
*4562 _1057_
*4563 _1058_
*4564 _1059_
*4565 _1060_
*4566 _1061_
*4567 _1062_
*4568 _1063_
*4569 _1064_
*4570 _1065_
*4571 _1066_
*4572 _1067_
*4573 _1068_
*4574 _1069_
*4575 _1070_
*4576 _1071_
*4577 _1072_
*4578 _1073_
*4579 _1074_
*4580 _1075_
*4581 _1076_
*4582 _1077_
*4583 _1078_
*4584 _1079_
*4585 _1080_
*4586 _1081_
*4587 _1082_
*4588 _1083_
*4589 _1084_
*4590 _1085_
*4591 _1086_
*4592 _1087_
*4593 _1088_
*4594 _1089_
*4595 _1090_
*4596 _1091_
*4597 _1092_
*4598 _1093_
*4599 _1094_
*4600 _1095_
*4601 _1096_
*4602 _1097_
*4603 _1098_
*4604 _1099_
*4605 _1100_
*4606 _1101_
*4607 _1102_
*4608 _1103_
*4609 _1104_
*4610 _1105_
*4611 _1106_
*4612 _1107_
*4613 _1108_
*4614 _1109_
*4615 _1110_
*4616 _1111_
*4617 _1112_
*4618 _1113_
*4619 _1114_
*4620 _1115_
*4621 _1116_
*4622 _1117_
*4623 _1118_
*4624 _1119_
*4625 _1120_
*4626 _1121_
*4627 _1122_
*4628 _1123_
*4629 _1124_
*4630 _1125_
*4631 _1126_
*4632 _1127_
*4633 _1128_
*4634 _1129_
*4635 _1130_
*4636 _1131_
*4637 _1132_
*4638 _1133_
*4639 _1134_
*4640 _1135_
*4641 _1136_
*4642 _1137_
*4643 _1138_
*4644 _1139_
*4645 _1140_
*4646 _1141_
*4647 _1142_
*4648 _1143_
*4649 _1144_
*4650 _1145_
*4651 _1146_
*4652 _1147_
*4653 _1148_
*4654 _1149_
*4655 _1150_
*4656 _1151_
*4657 _1152_
*4658 _1153_
*4659 _1154_
*4660 _1155_
*4661 _1156_
*4662 _1157_
*4663 _1158_
*4664 _1159_
*4665 _1160_
*4666 _1161_
*4667 _1162_
*4668 _1163_
*4669 _1164_
*4670 _1165_
*4671 _1166_
*4672 _1167_
*4673 _1168_
*4674 _1169_
*4675 _1170_
*4676 _1171_
*4677 _1172_
*4678 _1173_
*4679 _1174_
*4680 _1175_
*4681 _1176_
*4682 _1177_
*4683 _1178_
*4684 _1179_
*4685 _1180_
*4686 _1181_
*4687 _1182_
*4688 _1183_
*4689 _1184_
*4690 _1185_
*4691 _1186_
*4692 _1187_
*4693 _1188_
*4694 _1189_
*4695 _1190_
*4696 _1191_
*4697 _1192_
*4698 _1193_
*4699 _1194_
*4700 _1195_
*4701 _1196_
*4702 _1197_
*4703 _1198_
*4704 _1199_
*4705 _1200_
*4706 _1201_
*4707 _1202_
*4708 _1203_
*4709 _1204_
*4710 _1205_
*4711 _1206_
*4712 _1207_
*4713 _1208_
*4714 _1209_
*4715 _1210_
*4716 _1211_
*4717 _1212_
*4718 _1213_
*4719 _1214_
*4720 _1215_
*4721 _1216_
*4722 _1217_
*4723 _1218_
*4724 _1219_
*4725 _1220_
*4726 _1221_
*4727 _1222_
*4728 _1223_
*4729 _1224_
*4730 _1225_
*4731 _1226_
*4732 _1227_
*4733 _1228_
*4734 _1229_
*4735 _1230_
*4736 _1231_
*4737 _1232_
*4738 _1233_
*4739 _1234_
*4740 _1235_
*4741 _1236_
*4742 _1237_
*4743 _1238_
*4744 _1239_
*4745 _1240_
*4746 _1241_
*4747 _1242_
*4748 _1243_
*4749 _1244_
*4750 _1245_
*4751 _1246_
*4752 _1247_
*4753 _1248_
*4754 _1249_
*4755 _1250_
*4756 _1251_
*4757 _1252_
*4758 _1253_
*4759 _1254_
*4760 _1255_
*4761 _1256_
*4762 _1257_
*4763 _1258_
*4764 _1259_
*4765 _1260_
*4766 _1261_
*4767 _1262_
*4768 _1263_
*4769 _1264_
*4770 _1265_
*4771 _1266_
*4772 _1267_
*4773 _1268_
*4774 _1269_
*4775 _1270_
*4776 _1271_
*4777 _1272_
*4778 _1273_
*4779 _1274_
*4780 _1275_
*4781 _1276_
*4782 _1277_
*4783 _1278_
*4784 _1279_
*4785 _1280_
*4786 _1281_
*4787 _1282_
*4788 _1283_
*4789 _1284_
*4790 _1285_
*4791 _1286_
*4792 _1287_
*4793 _1288_
*4794 _1289_
*4795 _1290_
*4796 _1291_
*4797 _1292_
*4798 _1293_
*4799 _1294_
*4800 _1295_
*4801 _1296_
*4802 _1297_
*4803 _1298_
*4804 _1299_
*4805 _1300_
*4806 _1301_
*4807 _1302_
*4808 _1303_
*4809 _1304_
*4810 _1305_
*4811 _1306_
*4812 _1307_
*4813 _1308_
*4814 _1309_
*4815 _1310_
*4816 _1311_
*4817 _1312_
*4818 _1313_
*4819 _1314_
*4820 _1315_
*4821 _1316_
*4822 _1317_
*4823 _1318_
*4824 _1319_
*4825 _1320_
*4826 _1321_
*4827 _1322_
*4828 _1323_
*4829 _1324_
*4830 _1325_
*4831 _1326_
*4832 _1327_
*4833 _1328_
*4834 _1329_
*4835 _1330_
*4836 _1331_
*4837 _1332_
*4838 _1333_
*4839 _1334_
*4840 _1335_
*4841 _1336_
*4842 _1337_
*4843 _1338_
*4844 _1339_
*4845 _1340_
*4846 _1341_
*4847 _1342_
*4848 _1343_
*4849 _1344_
*4850 _1345_
*4851 _1346_
*4852 _1347_
*4853 _1348_
*4854 _1349_
*4855 _1350_
*4856 _1351_
*4857 _1352_
*4858 _1353_
*4859 _1354_
*4860 _1355_
*4861 _1356_
*4862 _1357_
*4863 _1358_
*4864 _1359_
*4865 _1360_
*4866 _1361_
*4867 _1362_
*4868 _1363_
*4869 _1364_
*4870 _1365_
*4871 _1366_
*4872 _1367_
*4873 _1368_
*4874 _1369_
*4875 _1370_
*4876 _1371_
*4877 _1372_
*4878 _1373_
*4879 _1374_
*4880 _1375_
*4881 _1376_
*4882 _1377_
*4883 _1378_
*4884 _1379_
*4885 _1380_
*4886 _1381_
*4887 _1382_
*4888 _1383_
*4889 _1384_
*4890 _1385_
*4891 _1386_
*4892 _1387_
*4893 _1388_
*4894 _1389_
*4895 _1390_
*4896 _1391_
*4897 _1392_
*4898 _1393_
*4899 _1394_
*4900 _1395_
*4901 _1396_
*4902 _1397_
*4903 _1398_
*4904 _1399_
*4905 _1400_
*4906 _1401_
*4907 _1402_
*4908 _1403_
*4909 _1404_
*4910 _1405_
*4911 _1406_
*4912 _1407_
*4913 _1408_
*4914 _1409_
*4915 _1410_
*4916 _1411_
*4917 _1412_
*4918 _1413_
*4919 _1414_
*4920 _1415_
*4921 _1416_
*4922 _1417_
*4923 _1418_
*4924 _1419_
*4925 _1420_
*4926 _1421_
*4927 _1422__9
*4928 _1423__10
*4929 _1424__11
*4930 _1425__12
*4931 _1426__13
*4932 _1427__14
*4933 _1428__15
*4934 _1429__16
*4935 _1430__17
*4936 _1431__18
*4937 _1432__19
*4938 _1433__20
*4939 _1434__21
*4940 _1435__22
*4941 _1436__23
*4942 _1437__24
*4943 _1438__25
*4944 _1439__26
*4945 _1440__27
*4946 _1441__28
*4947 _1442__29
*4948 _1443__30
*4949 _1444__31
*4950 _1445__32
*4951 _1446__33
*4952 _1447__34
*4953 _1448__35
*4954 _1449__36
*4955 _1450__37
*4956 _1451__38
*4957 _1452__39
*4958 _1453__40
*4959 _1454__41
*4960 _1455__42
*4961 _1456__43
*4962 _1457__44
*4963 _1458__45
*4964 _1459__46
*4965 _1460__47
*4966 _1461__48
*4967 _1462__49
*4968 _1463__50
*4969 _1464__51
*4970 _1465__52
*4971 _1466__53
*4972 _1467__54
*4973 _1468__55
*4974 _1469__56
*4975 _1470__57
*4976 _1471__58
*4977 _1472__59
*4978 _1473__60
*4979 _1474__61
*4980 _1475__62
*4981 _1476__63
*4982 _1477__64
*4983 _1478__65
*4984 _1479__66
*4985 _1480__67
*4986 _1481__68
*4987 _1482__69
*4988 _1483__70
*4989 _1484__71
*4990 _1485__72
*4991 _1486__73
*4992 _1487__74
*4993 _1488__75
*4994 _1489__76
*4995 _1490__77
*4996 _1491__78
*4997 _1492__79
*4998 _1493__80
*4999 _1494__81
*5000 _1495__82
*5001 _1496__83
*5002 _1497__84
*5003 _1498__85
*5004 _1499__86
*5005 _1500__87
*5006 _1501__88
*5007 _1502__89
*5008 _1503__90
*5009 _1504__91
*5010 _1505__92
*5011 _1506__93
*5012 _1507__94
*5013 _1508__95
*5014 _1509__96
*5015 _1510__97
*5016 _1511__98
*5017 _1512__99
*5018 _1513__100
*5019 _1514__101
*5020 _1515__102
*5021 _1516__103
*5022 _1517__104
*5023 _1518__105
*5024 _1519__106
*5025 _1520__107
*5026 _1521__108
*5027 _1522__109
*5028 _1523__110
*5029 _1524__111
*5030 _1525__112
*5031 _1526__113
*5032 _1527_
*5033 _1528_
*5034 _1529_
*5035 _1530_
*5036 _1531_
*5037 _1532_
*5038 _1533_
*5039 _1534_
*5040 _1535_
*5041 _1536_
*5042 _1537_
*5043 _1538_
*5044 _1539_
*5045 _1540_
*5046 _1541_
*5047 _1542_
*5048 _1543_
*5049 _1544_
*5050 _1545_
*5051 _1546_
*5052 _1547_
*5053 _1548_
*5054 _1549_
*5055 _1550_
*5056 _1551_
*5057 _1552_
*5058 _1553_
*5059 _1554_
*5060 _1555_
*5061 _1556_
*5062 _1557_
*5063 _1558_
*5064 _1559_
*5065 _1560_
*5066 _1561_
*5067 _1562_
*5068 _1563_
*5069 _1564_
*5070 _1565_
*5071 _1566_
*5072 _1567_
*5073 _1568_
*5074 _1569_
*5075 _1570_
*5076 _1571_
*5077 _1572_
*5078 _1573_
*5079 _1574_
*5080 _1575_
*5081 _1576_
*5082 _1577_
*5083 _1578_
*5084 _1579_
*5085 _1580_
*5086 _1581_
*5087 _1582_
*5088 _1583_
*5089 _1584_
*5090 _1585_
*5091 _1586_
*5092 _1587_
*5093 _1588_
*5094 _1589_
*5095 _1590_
*5096 _1591_
*5097 _1592_
*5098 _1593_
*5099 _1594_
*5100 _1595_
*5101 _1596_
*5102 _1597_
*5103 _1598_
*5104 _1599_
*5105 _1600_
*5106 _1601_
*5107 _1602_
*5108 _1603_
*5109 _1604_
*5110 _1605_
*5111 _1606_
*5112 _1607_
*5113 _1608_
*5114 _1609_
*5115 _1610_
*5116 _1611_
*5117 _1612_
*5118 _1613_
*5119 _1614_
*5120 _1615_
*5121 _1616_
*5122 _1617_
*5123 _1618_
*5124 _1619_
*5125 _1620_
*5126 _1621_
*5127 _1622_
*5128 _1623_
*5129 _1624_
*5130 _1625_
*5131 _1626_
*5132 _1627_
*5133 _1628_
*5134 _1629_
*5135 _1630_
*5136 _1631_
*5137 _1632_
*5138 _1633_
*5139 _1634_
*5140 clkbuf_0_wb_clk_i
*5141 clkbuf_1_0_0_wb_clk_i
*5142 clkbuf_1_1_0_wb_clk_i
*5143 clkbuf_2_0_0_wb_clk_i
*5144 clkbuf_2_1_0_wb_clk_i
*5145 clkbuf_2_2_0_wb_clk_i
*5146 clkbuf_2_3_0_wb_clk_i
*5147 clkbuf_3_0_0_wb_clk_i
*5148 clkbuf_3_1_0_wb_clk_i
*5149 clkbuf_3_2_0_wb_clk_i
*5150 clkbuf_3_3_0_wb_clk_i
*5151 clkbuf_3_4_0_wb_clk_i
*5152 clkbuf_3_5_0_wb_clk_i
*5153 clkbuf_3_6_0_wb_clk_i
*5154 clkbuf_3_7_0_wb_clk_i
*5155 input1
*5156 input2
*5157 input3
*5158 input4
*5159 input5
*5160 input6
*5161 input7
*5162 input8
*PORTS
active I
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
la1_data_in[0] I
la1_data_in[10] I
la1_data_in[11] I
la1_data_in[12] I
la1_data_in[13] I
la1_data_in[14] I
la1_data_in[15] I
la1_data_in[16] I
la1_data_in[17] I
la1_data_in[18] I
la1_data_in[19] I
la1_data_in[1] I
la1_data_in[20] I
la1_data_in[21] I
la1_data_in[22] I
la1_data_in[23] I
la1_data_in[24] I
la1_data_in[25] I
la1_data_in[26] I
la1_data_in[27] I
la1_data_in[28] I
la1_data_in[29] I
la1_data_in[2] I
la1_data_in[30] I
la1_data_in[31] I
la1_data_in[3] I
la1_data_in[4] I
la1_data_in[5] I
la1_data_in[6] I
la1_data_in[7] I
la1_data_in[8] I
la1_data_in[9] I
la1_data_out[0] O
la1_data_out[10] O
la1_data_out[11] O
la1_data_out[12] O
la1_data_out[13] O
la1_data_out[14] O
la1_data_out[15] O
la1_data_out[16] O
la1_data_out[17] O
la1_data_out[18] O
la1_data_out[19] O
la1_data_out[1] O
la1_data_out[20] O
la1_data_out[21] O
la1_data_out[22] O
la1_data_out[23] O
la1_data_out[24] O
la1_data_out[25] O
la1_data_out[26] O
la1_data_out[27] O
la1_data_out[28] O
la1_data_out[29] O
la1_data_out[2] O
la1_data_out[30] O
la1_data_out[31] O
la1_data_out[3] O
la1_data_out[4] O
la1_data_out[5] O
la1_data_out[6] O
la1_data_out[7] O
la1_data_out[8] O
la1_data_out[9] O
la1_oenb[0] I
la1_oenb[10] I
la1_oenb[11] I
la1_oenb[12] I
la1_oenb[13] I
la1_oenb[14] I
la1_oenb[15] I
la1_oenb[16] I
la1_oenb[17] I
la1_oenb[18] I
la1_oenb[19] I
la1_oenb[1] I
la1_oenb[20] I
la1_oenb[21] I
la1_oenb[22] I
la1_oenb[23] I
la1_oenb[24] I
la1_oenb[25] I
la1_oenb[26] I
la1_oenb[27] I
la1_oenb[28] I
la1_oenb[29] I
la1_oenb[2] I
la1_oenb[30] I
la1_oenb[31] I
la1_oenb[3] I
la1_oenb[4] I
la1_oenb[5] I
la1_oenb[6] I
la1_oenb[7] I
la1_oenb[8] I
la1_oenb[9] I
wb_clk_i I
*D_NET *1 0.000645817
*CONN
*P active I
*I *5155:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 active 0.000239349
2 *5155:A 0.000239349
3 *5155:A io_out[32] 6.30699e-05
4 *5155:A la1_data_out[1] 6.07449e-05
5 *5155:A *4286:A 0
6 *5155:A *5096:TE_B 4.3305e-05
7 *5155:A *329:28 0
*RES
1 active *5155:A 20.6632
*END
*D_NET *3 0.0136497
*CONN
*P io_in[10] I
*I *5156:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[10] 0.00191415
2 *5156:A 0.000580098
3 *3:16 0.00249425
4 *5156:A *319:14 2.12377e-05
5 *5156:A *321:16 2.29666e-05
6 *5156:A *329:20 0.000418504
7 *5156:A *331:15 0.000224381
8 *5156:A *858:13 0
9 *5156:A *870:13 0
10 *5156:A *882:14 2.1558e-06
11 *5156:A *900:15 0
12 *5156:A *906:14 0
13 *3:16 la1_data_out[14] 0
14 *3:16 *4909:D 3.55968e-05
15 *3:16 *5069:A 0.00035652
16 *3:16 *5084:A 3.01255e-05
17 *3:16 *306:16 6.14506e-05
18 *3:16 *320:33 2.87885e-05
19 *3:16 *324:103 7.94462e-05
20 *3:16 *326:99 0.000421437
21 *3:16 *336:38 6.23949e-05
22 *3:16 *341:25 0.000653678
23 *3:16 *347:22 1.66771e-05
24 *3:16 *674:16 0.0026277
25 *3:16 *703:15 2.01653e-05
26 *3:16 *906:14 0.00347707
27 *3:16 *908:15 0.000100876
*RES
1 io_in[10] *3:16 49.0643
2 *3:16 *5156:A 30.0107
*END
*D_NET *4 0.00082842
*CONN
*P io_in[11] I
*I *5157:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_in[11] 0.00030401
2 *5157:A 0.00030401
3 *5157:A la1_data_out[18] 0.000216197
4 *5157:A la1_data_out[27] 0
5 *5157:A *5045:TE_B 4.20184e-06
*RES
1 io_in[11] *5157:A 21.4937
*END
*D_NET *5 0.00134281
*CONN
*P io_in[12] I
*I *5158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_in[12] 0.000546367
2 *5158:A 0.000546367
3 *5158:A io_out[34] 0
4 *5158:A la1_data_out[21] 8.49169e-05
5 *5158:A *4657:C 0.000165158
6 *5158:A *4658:A1 0
*RES
1 io_in[12] *5158:A 21.581
*END
*D_NET *6 0.000862115
*CONN
*P io_in[13] I
*I *5159:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_in[13] 0.000416998
2 *5159:A 0.000416998
3 *5159:A la1_data_out[11] 0
4 *5159:A *328:41 2.81181e-05
*RES
1 io_in[13] *5159:A 24.8711
*END
*D_NET *38 0.0289193
*CONN
*P io_in[8] I
*I *1058:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1057:DIODE I *D sky130_fd_sc_hd__diode_2
*I *5160:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[8] 0.00386147
2 *1058:DIODE 0
3 *1057:DIODE 0
4 *5160:A 0.000214761
5 *38:31 0.000325471
6 *38:29 0.000616454
7 *38:17 0.00436721
8 *38:17 io_oeb[29] 0
9 *38:17 *4405:B1 7.05889e-05
10 *38:17 *4450:A 0.00147303
11 *38:17 *4580:A 0.000556683
12 *38:17 *4581:B1 1.28434e-05
13 *38:17 *4604:B1 1.5714e-05
14 *38:17 *4607:B 1.80122e-05
15 *38:17 *4609:A2 0.000461961
16 *38:17 *4633:A1 8.41713e-05
17 *38:17 *4633:B1 0.000279452
18 *38:17 *4633:C1 3.41736e-05
19 *38:17 *4664:B 0.000188669
20 *38:17 *4669:C1 0
21 *38:17 *330:106 6.84074e-06
22 *38:17 *376:9 0.00676819
23 *38:17 *376:31 0.00036759
24 *38:17 *380:13 0.00475917
25 *38:17 *400:67 0.000594636
26 *38:17 *574:34 2.56173e-05
27 *38:17 *580:33 0.000138896
28 *38:17 *580:87 3.12734e-06
29 *38:17 *615:22 3.02291e-05
30 *38:17 *616:49 0.000849131
31 *38:17 *840:15 0
32 *38:17 *1001:56 0.00181947
33 *38:17 *1006:57 2.54507e-05
34 *38:29 *1060:DIODE 5.61588e-05
35 *38:29 *4575:A 8.05411e-05
36 *38:29 *4579:B 7.69987e-05
37 *38:29 *4595:B 8.62625e-06
38 *38:29 *4628:A 0.0001444
39 *38:29 *4630:B 0.000107496
40 *38:29 *4664:B 3.07556e-05
41 *38:29 *4719:A 0
42 *38:29 *4731:A 0.000114371
43 *38:29 *330:16 1.72799e-05
44 *38:29 *380:13 0.0001931
45 *38:29 *554:21 5.44806e-05
46 *38:29 *582:42 6.61114e-05
*RES
1 io_in[8] *38:17 47.6637
2 *38:17 *38:29 23.8848
3 *38:29 *38:31 2.38721
4 *38:31 *5160:A 14.4817
5 *38:31 *1057:DIODE 9.24915
6 *38:29 *1058:DIODE 9.24915
*END
*D_NET *39 0.00492091
*CONN
*P io_in[9] I
*I *5161:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[9] 0.00108264
2 *5161:A 0.000253673
3 *39:14 0.00133631
4 *5161:A *4304:A 0
5 *5161:A *5136:A 0
6 *5161:A *342:6 0.000242149
7 *5161:A *913:8 6.07931e-05
8 *39:14 io_oeb[21] 0
9 *39:14 io_oeb[30] 0.000230536
10 *39:14 *4308:A 0.000271044
11 *39:14 *4314:A 0.000772143
12 *39:14 *5132:TE_B 0.000473544
13 *39:14 *345:83 0.000198076
*RES
1 io_in[9] *39:14 45.5424
2 *39:14 *5161:A 20.9794
*END
*D_NET *40 0.00105086
*CONN
*P io_oeb[0] O
*I *5102:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[0] 0.000525432
2 *5102:Z 0.000525432
3 io_oeb[0] io_oeb[3] 0
4 io_oeb[0] io_out[24] 0
*RES
1 *5102:Z io_oeb[0] 27.3303
*END
*D_NET *41 0.00163101
*CONN
*P io_oeb[10] O
*I *5112:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[10] 0.000716747
2 *5112:Z 0.000716747
3 io_oeb[10] io_oeb[37] 9.03694e-05
4 io_oeb[10] *5112:A 0
5 io_oeb[10] *331:22 0.000107143
*RES
1 *5112:Z io_oeb[10] 20.98
*END
*D_NET *42 0.000663594
*CONN
*P io_oeb[11] O
*I *5113:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[11] 0.000236848
2 *5113:Z 0.000236848
3 io_oeb[11] io_out[10] 6.85323e-05
4 io_oeb[11] io_out[4] 7.7414e-05
5 io_oeb[11] *5068:TE_B 2.37478e-05
6 io_oeb[11] *1004:109 2.02035e-05
*RES
1 *5113:Z io_oeb[11] 18.4011
*END
*D_NET *43 0.000558257
*CONN
*P io_oeb[12] O
*I *5114:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[12] 0.000237223
2 *5114:Z 0.000237223
3 io_oeb[12] io_oeb[13] 7.56725e-05
4 io_oeb[12] *343:56 8.13812e-06
*RES
1 *5114:Z io_oeb[12] 18.4011
*END
*D_NET *44 0.000631793
*CONN
*P io_oeb[13] O
*I *5115:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[13] 0.000222749
2 *5115:Z 0.000222749
3 io_oeb[13] la1_data_out[30] 8.55701e-05
4 io_oeb[13] *343:56 2.50531e-05
5 io_oeb[12] io_oeb[13] 7.56725e-05
*RES
1 *5115:Z io_oeb[13] 19.2316
*END
*D_NET *45 0.00353469
*CONN
*P io_oeb[14] O
*I *5116:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[14] 0.00120384
2 *5116:Z 0.00120384
3 io_oeb[14] io_oeb[22] 0
4 io_oeb[14] io_out[11] 0
5 io_oeb[14] io_out[26] 0.000178638
6 io_oeb[14] io_out[30] 0
7 io_oeb[14] la1_data_out[25] 0.00014217
8 io_oeb[14] *5124:A 0
9 io_oeb[14] *894:13 0.0008062
*RES
1 *5116:Z io_oeb[14] 36.3115
*END
*D_NET *46 0.00178953
*CONN
*P io_oeb[15] O
*I *5117:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[15] 0.000770747
2 *5117:Z 0.000770747
3 io_oeb[15] io_oeb[19] 0
4 io_oeb[15] io_oeb[7] 0
5 io_oeb[15] *5117:A 0
6 io_oeb[15] *5117:TE_B 0
7 io_oeb[15] *340:38 0.000186626
8 io_oeb[15] *340:53 6.14128e-05
9 io_oeb[15] *344:8 0
10 io_oeb[15] *869:12 0
*RES
1 *5117:Z io_oeb[15] 35.2201
*END
*D_NET *47 0.000741982
*CONN
*P io_oeb[16] O
*I *5118:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[16] 0.000269329
2 *5118:Z 0.000269329
3 io_oeb[16] io_out[29] 8.55701e-05
4 io_oeb[16] *738:9 0.000117754
*RES
1 *5118:Z io_oeb[16] 20.0621
*END
*D_NET *48 0.000778162
*CONN
*P io_oeb[17] O
*I *5119:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[17] 0.000389081
2 *5119:Z 0.000389081
3 io_oeb[17] la1_data_out[23] 0
4 io_oeb[17] *725:10 0
*RES
1 *5119:Z io_oeb[17] 24.4236
*END
*D_NET *49 0.00163292
*CONN
*P io_oeb[18] O
*I *5120:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[18] 0.000703767
2 *5120:Z 0.000703767
3 io_oeb[18] io_out[25] 0
4 io_oeb[18] *351:97 0
5 io_oeb[18] *594:11 0.000225383
*RES
1 *5120:Z io_oeb[18] 28.5761
*END
*D_NET *50 0.00228538
*CONN
*P io_oeb[19] O
*I *5121:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[19] 0.000872384
2 *5121:Z 0.000872384
3 io_oeb[19] io_out[36] 0
4 io_oeb[19] *5100:A 6.9507e-05
5 io_oeb[19] *5121:A 0.000152239
6 io_oeb[19] *5121:TE_B 0
7 io_oeb[19] *326:99 6.44576e-05
8 io_oeb[19] *340:38 0
9 io_oeb[19] *869:12 0.000254405
10 io_oeb[15] io_oeb[19] 0
*RES
1 *5121:Z io_oeb[19] 37.9158
*END
*D_NET *51 0.00117786
*CONN
*P io_oeb[1] O
*I *5103:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[1] 0.0004778
2 *5103:Z 0.0004778
3 io_oeb[1] io_out[13] 0
4 io_oeb[1] *805:9 0
5 io_oeb[1] *836:13 0.000163997
6 io_oeb[1] *839:10 5.8261e-05
*RES
1 *5103:Z io_oeb[1] 27.3303
*END
*D_NET *52 0.000648092
*CONN
*P io_oeb[20] O
*I *5122:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[20] 0.000230649
2 *5122:Z 0.000230649
3 io_oeb[20] io_out[23] 7.43116e-05
4 io_oeb[20] la1_data_out[6] 6.85323e-05
5 io_oeb[20] *4395:A 2.37478e-05
6 io_oeb[20] *1004:109 2.02035e-05
*RES
1 *5122:Z io_oeb[20] 18.4011
*END
*D_NET *53 0.00409253
*CONN
*P io_oeb[21] O
*I *5123:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[21] 0.00142755
2 *5123:Z 0.00142755
3 io_oeb[21] io_oeb[30] 0.00018303
4 io_oeb[21] *5123:A 0.000683029
5 io_oeb[21] *341:17 0.000217804
6 io_oeb[21] *345:76 0.000153564
7 io_oeb[21] *345:83 0
8 *39:14 io_oeb[21] 0
*RES
1 *5123:Z io_oeb[21] 41.4359
*END
*D_NET *54 0.00340939
*CONN
*P io_oeb[22] O
*I *5124:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[22] 0.00135931
2 *5124:Z 0.00135931
3 io_oeb[22] io_oeb[8] 0
4 io_oeb[22] la1_data_out[29] 0
5 io_oeb[22] *4292:A 0
6 io_oeb[22] *4340:A 0.000268195
7 io_oeb[22] *4343:A 0.000139532
8 io_oeb[22] *5066:A 3.51249e-05
9 io_oeb[22] *5076:A 6.50727e-05
10 io_oeb[22] *5124:TE_B 5.22654e-06
11 io_oeb[22] *154:12 0
12 io_oeb[22] *340:10 0
13 io_oeb[22] *348:59 0.000153257
14 io_oeb[22] *878:13 2.43671e-05
15 io_oeb[14] io_oeb[22] 0
*RES
1 *5124:Z io_oeb[22] 47.1065
*END
*D_NET *55 0.00267193
*CONN
*P io_oeb[23] O
*I *5125:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[23] 0.000949437
2 *5125:Z 0.000949437
3 io_oeb[23] *4774:A 9.66954e-05
4 io_oeb[23] *296:13 8.39941e-06
5 io_oeb[23] *324:118 8.6297e-06
6 io_oeb[23] *769:16 0.000315899
7 io_oeb[23] *779:8 0.000327203
8 io_oeb[23] *858:22 1.62258e-05
*RES
1 *5125:Z io_oeb[23] 33.6787
*END
*D_NET *56 0.00133142
*CONN
*P io_oeb[24] O
*I *5126:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[24] 0.000600954
2 *5126:Z 0.000600954
3 io_oeb[24] la1_data_out[14] 0
4 io_oeb[24] *324:118 4.49767e-05
5 io_oeb[24] *674:16 2.61302e-05
6 io_oeb[24] *838:16 5.84021e-05
*RES
1 *5126:Z io_oeb[24] 24.8388
*END
*D_NET *57 0.00130135
*CONN
*P io_oeb[25] O
*I *5127:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[25] 0.000617587
2 *5127:Z 0.000617587
3 io_oeb[25] io_oeb[33] 0
4 io_oeb[25] io_out[18] 6.61722e-05
5 io_oeb[25] la1_data_out[28] 0
6 io_oeb[25] *328:48 0
*RES
1 *5127:Z io_oeb[25] 29.5551
*END
*D_NET *58 0.000592114
*CONN
*P io_oeb[26] O
*I *5128:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[26] 0.000214562
2 *5128:Z 0.000214562
3 io_oeb[26] io_out[14] 8.18227e-05
4 io_oeb[26] io_out[35] 7.32999e-05
5 io_oeb[26] *337:12 7.86825e-06
6 io_oeb[26] *1004:99 0
*RES
1 *5128:Z io_oeb[26] 18.4011
*END
*D_NET *59 0.00135638
*CONN
*P io_oeb[27] O
*I *5129:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[27] 0.00043968
2 *5129:Z 0.00043968
3 io_oeb[27] la1_data_out[22] 0
4 io_oeb[27] *338:28 6.94338e-05
5 io_oeb[27] *513:60 0.000167698
6 io_oeb[27] *573:85 0.000150069
7 io_oeb[27] *592:34 8.98195e-05
*RES
1 *5129:Z io_oeb[27] 24.8388
*END
*D_NET *60 0.00195279
*CONN
*P io_oeb[28] O
*I *5130:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[28] 0.000524453
2 *5130:Z 0.000524453
3 io_oeb[28] *5127:A 0.000428134
4 io_oeb[28] *87:8 0.000301377
5 io_oeb[28] *328:47 4.6284e-05
6 io_oeb[28] *328:48 0
7 io_oeb[28] *805:9 4.82966e-05
8 io_oeb[28] *811:7 7.97944e-05
*RES
1 *5130:Z io_oeb[28] 32.3568
*END
*D_NET *61 0.000598152
*CONN
*P io_oeb[29] O
*I *5131:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[29] 0.0002771
2 *5131:Z 0.0002771
3 io_oeb[29] *335:46 2.37478e-05
4 io_oeb[29] *1004:109 2.02035e-05
5 *38:17 io_oeb[29] 0
*RES
1 *5131:Z io_oeb[29] 18.4011
*END
*D_NET *62 0.00247388
*CONN
*P io_oeb[2] O
*I *5104:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[2] 0.00100181
2 *5104:Z 0.00100181
3 io_oeb[2] io_out[20] 0
4 io_oeb[2] *4258:A 0
5 io_oeb[2] *5101:TE_B 0
6 io_oeb[2] *5104:TE_B 0.00017306
7 io_oeb[2] *330:66 0
8 io_oeb[2] *333:8 0.000297205
*RES
1 *5104:Z io_oeb[2] 37.7523
*END
*D_NET *63 0.00312697
*CONN
*P io_oeb[30] O
*I *5132:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[30] 0.00123219
2 *5132:Z 0.00123219
3 io_oeb[30] *5123:TE_B 4.12533e-05
4 io_oeb[30] *5132:TE_B 0.000138507
5 io_oeb[30] *841:11 6.92705e-05
6 io_oeb[21] io_oeb[30] 0.00018303
7 *39:14 io_oeb[30] 0.000230536
*RES
1 *5132:Z io_oeb[30] 43.0343
*END
*D_NET *64 0.00460419
*CONN
*P io_oeb[31] O
*I *5133:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[31] 0.000481445
2 *5133:Z 0.000690727
3 *64:11 0.00117217
4 io_oeb[31] io_oeb[33] 9.57092e-05
5 io_oeb[31] *87:8 0
6 *64:11 io_oeb[4] 0.000211115
7 *64:11 *5093:TE_B 0.00039844
8 *64:11 *87:8 0
9 *64:11 *337:26 0.0010222
10 *64:11 *337:31 0.000532383
*RES
1 *5133:Z *64:11 46.4908
2 *64:11 io_oeb[31] 12.7507
*END
*D_NET *65 0.00104246
*CONN
*P io_oeb[32] O
*I *5134:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[32] 0.000391804
2 *5134:Z 0.000391804
3 io_oeb[32] io_out[19] 0.000118651
4 io_oeb[32] *329:28 7.00991e-05
5 io_oeb[32] *338:54 7.00991e-05
*RES
1 *5134:Z io_oeb[32] 19.6906
*END
*D_NET *66 0.00344973
*CONN
*P io_oeb[33] O
*I *5135:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[33] 0.0013869
2 *5135:Z 0.0013869
3 io_oeb[33] io_oeb[4] 0
4 io_oeb[33] *4221:A 8.85525e-05
5 io_oeb[33] *5060:A 0
6 io_oeb[33] *5106:A 0.000271044
7 io_oeb[33] *5133:TE_B 0.000220627
8 io_oeb[33] *87:8 0
9 io_oeb[25] io_oeb[33] 0
10 io_oeb[31] io_oeb[33] 9.57092e-05
*RES
1 *5135:Z io_oeb[33] 34.7293
*END
*D_NET *67 0.00144206
*CONN
*P io_oeb[34] O
*I *5136:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[34] 0.000719427
2 *5136:Z 0.000719427
3 io_oeb[34] *5109:A 0
4 io_oeb[34] *5123:A 0
5 io_oeb[34] *5136:A 3.20069e-06
6 io_oeb[34] *866:9 0
7 io_oeb[34] *913:8 0
*RES
1 *5136:Z io_oeb[34] 31.4829
*END
*D_NET *68 0.000746366
*CONN
*P io_oeb[35] O
*I *5137:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[35] 0.000354044
2 *5137:Z 0.000354044
3 io_oeb[35] io_out[19] 0
4 io_oeb[35] io_out[7] 0
5 io_oeb[35] *347:62 1.91391e-05
6 io_oeb[35] *711:6 1.91391e-05
*RES
1 *5137:Z io_oeb[35] 18.6306
*END
*D_NET *69 0.00166359
*CONN
*P io_oeb[36] O
*I *5138:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[36] 0.000698154
2 *5138:Z 0.000698154
3 io_oeb[36] la1_data_out[20] 0.000188256
4 io_oeb[36] *331:22 7.90257e-05
5 io_oeb[36] *345:85 0
*RES
1 *5138:Z io_oeb[36] 22.7175
*END
*D_NET *70 0.000842215
*CONN
*P io_oeb[37] O
*I *5139:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[37] 0.000344041
2 *5139:Z 0.000344041
3 io_oeb[37] io_out[7] 0
4 io_oeb[37] *336:99 1.86464e-05
5 io_oeb[37] *711:6 4.51176e-05
6 io_oeb[10] io_oeb[37] 9.03694e-05
*RES
1 *5139:Z io_oeb[37] 19.0458
*END
*D_NET *71 0.000778162
*CONN
*P io_oeb[3] O
*I *5105:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[3] 0.000389081
2 *5105:Z 0.000389081
3 io_oeb[3] *5105:TE_B 0
4 io_oeb[0] io_oeb[3] 0
*RES
1 *5105:Z io_oeb[3] 24.4236
*END
*D_NET *72 0.00182052
*CONN
*P io_oeb[4] O
*I *5106:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[4] 0.000760371
2 *5106:Z 0.000760371
3 io_oeb[4] io_out[29] 8.86643e-05
4 io_oeb[4] *87:8 0
5 io_oeb[33] io_oeb[4] 0
6 *64:11 io_oeb[4] 0.000211115
*RES
1 *5106:Z io_oeb[4] 22.641
*END
*D_NET *73 0.000717711
*CONN
*P io_oeb[5] O
*I *5107:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[5] 0.000339477
2 *5107:Z 0.000339477
3 io_oeb[5] *336:89 1.93781e-05
4 io_oeb[5] *711:6 1.93781e-05
*RES
1 *5107:Z io_oeb[5] 18.9694
*END
*D_NET *74 0.000588938
*CONN
*P io_oeb[6] O
*I *5108:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[6] 0.000210791
2 *5108:Z 0.000210791
3 io_oeb[6] la1_data_out[16] 7.32999e-05
4 io_oeb[6] la1_data_out[24] 8.11614e-05
5 io_oeb[6] *343:56 8.13812e-06
6 io_oeb[6] *893:8 4.75721e-06
*RES
1 *5108:Z io_oeb[6] 18.4011
*END
*D_NET *75 0.00180033
*CONN
*P io_oeb[7] O
*I *5109:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[7] 0.000544872
2 *5109:Z 0.000544872
3 io_oeb[7] *4319:A 0.000170592
4 io_oeb[7] *5117:A 0.000365799
5 io_oeb[7] *344:8 0.00017419
6 io_oeb[15] io_oeb[7] 0
*RES
1 *5109:Z io_oeb[7] 31.4829
*END
*D_NET *76 0.0051656
*CONN
*P io_oeb[8] O
*I *5110:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[8] 0.00137797
2 *5110:Z 0.00137797
3 io_oeb[8] io_out[11] 0
4 io_oeb[8] la1_data_out[5] 0
5 io_oeb[8] *5057:A 0.00115455
6 io_oeb[8] *5110:A 0.000311261
7 io_oeb[8] *154:12 0.000943841
8 io_oeb[22] io_oeb[8] 0
*RES
1 *5110:Z io_oeb[8] 48.6414
*END
*D_NET *77 0.00161656
*CONN
*P io_oeb[9] O
*I *5111:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[9] 0.000808278
2 *5111:Z 0.000808278
3 io_oeb[9] *5111:TE_B 0
4 io_oeb[9] *5119:TE_B 0
5 io_oeb[9] *343:20 0
6 io_oeb[9] *344:28 0
7 io_oeb[9] *348:43 0
*RES
1 *5111:Z io_oeb[9] 33.9744
*END
*D_NET *78 0.00327297
*CONN
*P io_out[0] O
*I *5064:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[0] 0.00114393
2 *5064:Z 0.00114393
3 io_out[0] io_out[17] 0.000811425
4 io_out[0] io_out[37] 8.81251e-05
5 io_out[0] la1_data_out[12] 8.55701e-05
6 io_out[0] la1_data_out[31] 0
7 io_out[0] la1_data_out[9] 0
8 io_out[0] *4657:C 0
9 io_out[0] *5044:TE_B 0
*RES
1 *5064:Z io_out[0] 39.3276
*END
*D_NET *79 0.00090451
*CONN
*P io_out[10] O
*I *5074:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[10] 0.000267244
2 *5074:Z 0.000267244
3 io_out[10] io_out[16] 7.05479e-05
4 io_out[10] *4395:A 0.000117754
5 io_out[10] *1004:109 0.000113188
6 io_oeb[11] io_out[10] 6.85323e-05
*RES
1 *5074:Z io_out[10] 20.0621
*END
*D_NET *80 0.00239183
*CONN
*P io_out[11] O
*I *5075:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[11] 0.000827209
2 *5075:Z 0.000827209
3 io_out[11] la1_data_out[15] 0.000178635
4 io_out[11] la1_data_out[25] 0.000283363
5 io_out[11] la1_data_out[5] 4.21652e-05
6 io_out[11] *4224:A 0.000192528
7 io_out[11] *154:12 0
8 io_out[11] *325:34 0
9 io_out[11] *331:31 2.70556e-05
10 io_out[11] *894:13 1.36691e-05
11 io_oeb[14] io_out[11] 0
12 io_oeb[8] io_out[11] 0
*RES
1 *5075:Z io_out[11] 31.0226
*END
*D_NET *81 0.000870328
*CONN
*P io_out[12] O
*I *5076:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[12] 0.000315119
2 *5076:Z 0.000315119
3 io_out[12] io_out[30] 9.99116e-05
4 io_out[12] *4274:A 4.40325e-05
5 io_out[12] *325:34 9.61451e-05
*RES
1 *5076:Z io_out[12] 19.7999
*END
*D_NET *82 0.00237339
*CONN
*P io_out[13] O
*I *5077:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[13] 0.000773423
2 *5077:Z 0.000773423
3 io_out[13] *5106:A 0
4 io_out[13] *5133:TE_B 0
5 io_out[13] *805:9 0.000826541
6 io_oeb[1] io_out[13] 0
*RES
1 *5077:Z io_out[13] 37.7116
*END
*D_NET *83 0.000566059
*CONN
*P io_out[14] O
*I *5078:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[14] 0.000197273
2 *5078:Z 0.000197273
3 io_out[14] io_out[34] 8.18227e-05
4 io_out[14] *4658:A2 0
5 io_out[14] *337:12 7.86825e-06
6 io_oeb[26] io_out[14] 8.18227e-05
*RES
1 *5078:Z io_out[14] 18.4011
*END
*D_NET *84 0.0333645
*CONN
*P io_out[15] O
*I *5079:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[15] 0.00242749
2 *5079:Z 0.00807728
3 *84:17 0.0105048
4 io_out[15] io_out[21] 0.000100559
5 io_out[15] *343:8 0
6 io_out[15] *345:85 0
7 *84:17 *4458:A 0.00431608
8 *84:17 *4482:A 0.0018913
9 *84:17 *4700:C1 0.000327267
10 *84:17 *402:23 0.000848922
11 *84:17 *434:17 0.000315966
12 *84:17 *443:25 0
13 *84:17 *457:14 5.07294e-05
14 *84:17 *560:8 5.85325e-05
15 *84:17 *565:17 1.93896e-05
16 *84:17 *576:61 0.00392492
17 *84:17 *582:35 0.000222843
18 *84:17 *592:64 0.000219899
19 *84:17 *865:22 0
20 *84:17 *1014:9 5.85325e-05
*RES
1 *5079:Z *84:17 48.2802
2 *84:17 io_out[15] 49.9144
*END
*D_NET *85 0.000728419
*CONN
*P io_out[16] O
*I *5080:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[16] 0.000247276
2 *5080:Z 0.000247276
3 io_out[16] la1_data_out[19] 7.05479e-05
4 io_out[16] *4395:A 4.87445e-05
5 io_out[16] *1004:109 4.40272e-05
6 io_out[10] io_out[16] 7.05479e-05
*RES
1 *5080:Z io_out[16] 18.8164
*END
*D_NET *86 0.0023531
*CONN
*P io_out[17] O
*I *5081:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[17] 0.000770839
2 *5081:Z 0.000770839
3 io_out[17] la1_data_out[9] 0
4 io_out[17] *5041:A 0
5 io_out[17] *5041:TE_B 0
6 io_out[17] *5044:TE_B 0
7 io_out[17] *5081:A 0
8 io_out[0] io_out[17] 0.000811425
*RES
1 *5081:Z io_out[17] 38.1269
*END
*D_NET *87 0.0079447
*CONN
*P io_out[18] O
*I *5082:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[18] 0.000602064
2 *5082:Z 1.26312e-05
3 *87:8 0.00302817
4 *87:7 0.00243874
5 *87:7 *5082:A 6.50727e-05
6 *87:7 *5082:TE_B 2.65831e-05
7 *87:8 *4285:A 0
8 *87:8 *4294:A 0.000102348
9 *87:8 *5060:A 0.000332493
10 *87:8 *5093:TE_B 0
11 *87:8 *328:48 0
12 *87:8 *337:26 0
13 *87:8 *938:11 0.000969056
14 io_oeb[25] io_out[18] 6.61722e-05
15 io_oeb[28] *87:8 0.000301377
16 io_oeb[31] *87:8 0
17 io_oeb[33] *87:8 0
18 io_oeb[4] *87:8 0
19 *64:11 *87:8 0
*RES
1 *5082:Z *87:7 14.4725
2 *87:7 *87:8 70.9715
3 *87:8 io_out[18] 6.3065
*END
*D_NET *88 0.00142903
*CONN
*P io_out[19] O
*I *5083:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[19] 0.000611181
2 *5083:Z 0.000611181
3 io_out[19] *331:22 4.40103e-05
4 io_out[19] *343:8 4.40103e-05
5 io_oeb[32] io_out[19] 0.000118651
6 io_oeb[35] io_out[19] 0
*RES
1 *5083:Z io_out[19] 20.6413
*END
*D_NET *89 0.00179627
*CONN
*P io_out[1] O
*I *5065:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[1] 0.000711131
2 *5065:Z 0.000711131
3 io_out[1] *4643:A1 5.64572e-06
4 io_out[1] *4779:B 0
5 io_out[1] *5120:TE_B 2.65831e-05
6 io_out[1] *330:48 9.28861e-05
7 io_out[1] *330:57 0.000172524
8 io_out[1] *337:82 6.92705e-05
9 io_out[1] *347:17 0
10 io_out[1] *690:19 0
11 io_out[1] *743:11 7.09666e-06
*RES
1 *5065:Z io_out[1] 29.2995
*END
*D_NET *90 0.00136579
*CONN
*P io_out[20] O
*I *5084:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[20] 0.000677535
2 *5084:Z 0.000677535
3 io_out[20] *4807:A 1.07248e-05
4 io_out[20] *5084:TE_B 0
5 io_out[20] *333:8 0
6 io_oeb[2] io_out[20] 0
*RES
1 *5084:Z io_out[20] 28.5761
*END
*D_NET *91 0.000780367
*CONN
*P io_out[21] O
*I *5085:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[21] 0.000306684
2 *5085:Z 0.000306684
3 io_out[21] *5085:TE_B 8.62625e-06
4 io_out[21] *336:81 1.27071e-05
5 io_out[21] *711:6 4.51062e-05
6 io_out[15] io_out[21] 0.000100559
*RES
1 *5085:Z io_out[21] 19.0458
*END
*D_NET *92 0.00106449
*CONN
*P io_out[22] O
*I *5086:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[22] 0.000532243
2 *5086:Z 0.000532243
*RES
1 *5086:Z io_out[22] 27.3303
*END
*D_NET *93 0.000644291
*CONN
*P io_out[23] O
*I *5087:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[23] 0.000254294
2 *5087:Z 0.000254294
3 io_out[23] *4395:A 2.37478e-05
4 io_out[23] *214:27 1.74395e-05
5 io_out[23] *1004:109 2.02035e-05
6 io_oeb[20] io_out[23] 7.43116e-05
*RES
1 *5087:Z io_out[23] 18.4011
*END
*D_NET *94 0.00244457
*CONN
*P io_out[24] O
*I *5088:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[24] 0.00102168
2 *5088:Z 0.00102168
3 io_out[24] *4282:A 0
4 io_out[24] *5088:TE_B 0.000373047
5 io_out[24] *5102:A 0
6 io_out[24] *5102:TE_B 0
7 io_out[24] *326:13 2.81717e-05
8 io_oeb[0] io_out[24] 0
*RES
1 *5088:Z io_out[24] 36.9352
*END
*D_NET *95 0.00197051
*CONN
*P io_out[25] O
*I *5089:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[25] 0.000758545
2 *5089:Z 0.000758545
3 io_out[25] *590:70 7.09827e-05
4 io_out[25] *698:60 0.000382436
5 io_out[25] *703:8 0
6 io_oeb[18] io_out[25] 0
*RES
1 *5089:Z io_out[25] 31.4829
*END
*D_NET *96 0.00412111
*CONN
*P io_out[26] O
*I *5090:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[26] 0.00106832
2 *5090:Z 0.00106832
3 io_out[26] io_out[30] 0.000171788
4 io_out[26] *4224:A 5.33564e-05
5 io_out[26] *4307:A 0.000856181
6 io_out[26] *5094:TE_B 0.000530137
7 io_out[26] *5116:TE_B 3.31882e-05
8 io_out[26] *331:31 0
9 io_out[26] *788:11 7.46601e-05
10 io_out[26] *894:13 8.653e-05
11 io_oeb[14] io_out[26] 0.000178638
*RES
1 *5090:Z io_out[26] 39.625
*END
*D_NET *97 0.00146029
*CONN
*P io_out[27] O
*I *5091:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[27] 0.00055348
2 *5091:Z 0.00055348
3 io_out[27] *4643:B1 3.61993e-05
4 io_out[27] *5091:TE_B 7.34948e-06
5 io_out[27] *324:82 0.000164829
6 io_out[27] *573:76 9.99135e-05
7 io_out[27] *703:8 0
8 io_out[27] *881:25 4.50402e-05
*RES
1 *5091:Z io_out[27] 27.5752
*END
*D_NET *98 0.00104681
*CONN
*P io_out[28] O
*I *5092:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[28] 0.000523403
2 *5092:Z 0.000523403
3 io_out[28] *5092:TE_B 0
4 io_out[28] *328:20 0
*RES
1 *5092:Z io_out[28] 27.3303
*END
*D_NET *99 0.000783097
*CONN
*P io_out[29] O
*I *5093:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[29] 0.000232532
2 *5093:Z 0.000232532
3 io_out[29] *738:9 0.0001438
4 io_oeb[16] io_out[29] 8.55701e-05
5 io_oeb[4] io_out[29] 8.86643e-05
*RES
1 *5093:Z io_out[29] 20.4774
*END
*D_NET *100 0.00163542
*CONN
*P io_out[2] O
*I *5066:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[2] 0.000600079
2 *5066:Z 0.000600079
3 io_out[2] la1_data_out[29] 0
4 io_out[2] *5061:TE_B 0
5 io_out[2] *5066:TE_B 0
6 io_out[2] *874:10 0.000435258
7 io_out[2] *878:13 0
*RES
1 *5066:Z io_out[2] 31.0676
*END
*D_NET *101 0.00155044
*CONN
*P io_out[30] O
*I *5094:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[30] 0.000559065
2 *5094:Z 0.000559065
3 io_out[30] *5116:TE_B 4.8365e-05
4 io_out[30] *894:13 0.000112251
5 io_oeb[14] io_out[30] 0
6 io_out[12] io_out[30] 9.99116e-05
7 io_out[26] io_out[30] 0.000171788
*RES
1 *5094:Z io_out[30] 21.2095
*END
*D_NET *102 0.000920865
*CONN
*P io_out[31] O
*I *5095:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[31] 0.000349414
2 *5095:Z 0.000349414
3 io_out[31] io_out[9] 8.186e-05
4 io_out[31] *4336:A 9.61451e-05
5 io_out[31] *711:6 4.40325e-05
*RES
1 *5095:Z io_out[31] 19.7999
*END
*D_NET *103 0.00172659
*CONN
*P io_out[32] O
*I *5096:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[32] 0.000644441
2 *5096:Z 0.000644441
3 io_out[32] la1_data_out[1] 0
4 io_out[32] la1_data_out[27] 0.000170951
5 io_out[32] *4237:A 0
6 io_out[32] *4251:A 0.000118485
7 io_out[32] *331:22 3.31733e-05
8 io_out[32] *343:8 5.20288e-05
9 *5155:A io_out[32] 6.30699e-05
*RES
1 *5096:Z io_out[32] 22.641
*END
*D_NET *104 0.000821338
*CONN
*P io_out[33] O
*I *5097:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[33] 0.000324567
2 *5097:Z 0.000324567
3 io_out[33] *5097:A 5.53934e-05
4 io_out[33] *5162:A 8.55701e-05
5 io_out[33] *343:56 3.12399e-05
*RES
1 *5097:Z io_out[33] 21.7231
*END
*D_NET *105 0.000675842
*CONN
*P io_out[34] O
*I *5098:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[34] 0.000278828
2 *5098:Z 0.000278828
3 io_out[34] *337:12 3.63632e-05
4 io_out[34] *1004:99 0
5 io_out[14] io_out[34] 8.18227e-05
6 *5158:A io_out[34] 0
*RES
1 *5098:Z io_out[34] 19.6469
*END
*D_NET *106 0.000714574
*CONN
*P io_out[35] O
*I *5099:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[35] 0.00026155
2 *5099:Z 0.00026155
3 io_out[35] la1_data_out[8] 8.18227e-05
4 io_out[35] *337:12 3.63512e-05
5 io_out[35] *1004:99 0
6 io_oeb[26] io_out[35] 7.32999e-05
*RES
1 *5099:Z io_out[35] 19.6469
*END
*D_NET *107 0.00132047
*CONN
*P io_out[36] O
*I *5100:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[36] 0.000653957
2 *5100:Z 0.000653957
3 io_out[36] *5100:A 0
4 io_out[36] *344:22 8.62625e-06
5 io_out[36] *835:14 0
6 io_out[36] *940:23 3.93117e-06
7 io_oeb[19] io_out[36] 0
*RES
1 *5100:Z io_out[36] 30.6035
*END
*D_NET *108 0.00237218
*CONN
*P io_out[37] O
*I *5101:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[37] 0.000978478
2 *5101:Z 0.000978478
3 io_out[37] la1_data_out[12] 7.76336e-05
4 io_out[37] la1_data_out[21] 0
5 io_out[37] *5070:A 0.000164829
6 io_out[37] *748:15 8.46404e-05
7 io_out[0] io_out[37] 8.81251e-05
*RES
1 *5101:Z io_out[37] 29.6072
*END
*D_NET *109 0.00126459
*CONN
*P io_out[3] O
*I *5067:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[3] 0.000620635
2 *5067:Z 0.000620635
3 io_out[3] la1_data_out[1] 0
4 io_out[3] *331:22 1.91246e-05
5 io_out[3] *343:8 4.19401e-06
*RES
1 *5067:Z io_out[3] 19.319
*END
*D_NET *110 0.00059972
*CONN
*P io_out[4] O
*I *5068:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[4] 0.000243458
2 *5068:Z 0.000243458
3 io_out[4] *335:46 1.07248e-05
4 io_out[4] *335:48 4.46284e-06
5 io_out[4] *1004:109 2.02035e-05
6 io_oeb[11] io_out[4] 7.7414e-05
*RES
1 *5068:Z io_out[4] 18.4011
*END
*D_NET *111 0.0019571
*CONN
*P io_out[5] O
*I *5069:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[5] 0.000636432
2 *5069:Z 0.000636432
3 io_out[5] *4316:A 9.44068e-05
4 io_out[5] *330:45 0
5 io_out[5] *344:59 6.71354e-05
6 io_out[5] *573:89 0.00052269
*RES
1 *5069:Z io_out[5] 28.5761
*END
*D_NET *112 0.00211522
*CONN
*P io_out[6] O
*I *5070:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[6] 0.000708754
2 *5070:Z 0.000708754
3 io_out[6] la1_data_out[12] 0.000169846
4 io_out[6] la1_data_out[31] 0.000527869
5 io_out[6] la1_data_out[9] 0
*RES
1 *5070:Z io_out[6] 36.0168
*END
*D_NET *113 0.00136298
*CONN
*P io_out[7] O
*I *5071:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[7] 0.000635974
2 *5071:Z 0.000635974
3 io_out[7] *331:22 7.00732e-05
4 io_out[7] *343:8 2.09547e-05
5 io_oeb[35] io_out[7] 0
6 io_oeb[37] io_out[7] 0
*RES
1 *5071:Z io_out[7] 20.1495
*END
*D_NET *114 0.00106542
*CONN
*P io_out[8] O
*I *5072:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[8] 0.000532709
2 *5072:Z 0.000532709
3 io_out[8] *328:20 0
4 io_out[8] *348:22 0
*RES
1 *5072:Z io_out[8] 27.3303
*END
*D_NET *115 0.00130321
*CONN
*P io_out[9] O
*I *5073:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[9] 0.000600571
2 *5073:Z 0.000600571
3 io_out[9] *331:22 2.02035e-05
4 io_out[31] io_out[9] 8.186e-05
*RES
1 *5073:Z io_out[9] 19.319
*END
*D_NET *116 0.000879199
*CONN
*P la1_data_in[0] I
*I *5162:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[0] 0.000324914
2 *5162:A 0.000324914
3 *5162:A *343:56 0.0001438
4 io_out[33] *5162:A 8.55701e-05
*RES
1 la1_data_in[0] *5162:A 20.6304
*END
*D_NET *148 0.00142318
*CONN
*P la1_data_out[0] O
*I *5032:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[0] 0.000581026
2 *5032:Z 0.000581026
3 la1_data_out[0] *5032:TE_B 2.99287e-05
4 la1_data_out[0] *325:21 4.11567e-05
5 la1_data_out[0] *788:11 0.000190042
*RES
1 *5032:Z la1_data_out[0] 29.881
*END
*D_NET *149 0.000533599
*CONN
*P la1_data_out[10] O
*I *5042:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[10] 0.000219945
2 *5042:Z 0.000219945
3 la1_data_out[10] la1_data_out[30] 8.55701e-05
4 la1_data_out[10] *343:56 8.13812e-06
*RES
1 *5042:Z la1_data_out[10] 18.4011
*END
*D_NET *150 0.00109875
*CONN
*P la1_data_out[11] O
*I *5043:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[11] 0.000483987
2 *5043:Z 0.000483987
3 la1_data_out[11] *5043:TE_B 0
4 la1_data_out[11] *891:8 0.000130777
5 *5159:A la1_data_out[11] 0
*RES
1 *5043:Z la1_data_out[11] 27.3303
*END
*D_NET *151 0.000854467
*CONN
*P la1_data_out[12] O
*I *5044:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[12] 0.000260709
2 *5044:Z 0.000260709
3 io_out[0] la1_data_out[12] 8.55701e-05
4 io_out[37] la1_data_out[12] 7.76336e-05
5 io_out[6] la1_data_out[12] 0.000169846
*RES
1 *5044:Z la1_data_out[12] 20.8926
*END
*D_NET *152 0.00187704
*CONN
*P la1_data_out[13] O
*I *5045:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[13] 0.000914793
2 *5045:Z 0.000914793
3 la1_data_out[13] la1_data_out[18] 0
4 la1_data_out[13] *5045:A 4.7451e-05
*RES
1 *5045:Z la1_data_out[13] 37.5033
*END
*D_NET *153 0.00203191
*CONN
*P la1_data_out[14] O
*I *5046:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[14] 0.000877799
2 *5046:Z 0.000877799
3 la1_data_out[14] *5084:A 2.92689e-05
4 la1_data_out[14] *305:24 7.366e-06
5 la1_data_out[14] *326:92 0.00019754
6 la1_data_out[14] *329:69 3.30161e-05
7 la1_data_out[14] *336:38 9.12416e-06
8 la1_data_out[14] *674:16 0
9 io_oeb[24] la1_data_out[14] 0
10 *3:16 la1_data_out[14] 0
*RES
1 *5046:Z la1_data_out[14] 30.0417
*END
*D_NET *154 0.00858505
*CONN
*P la1_data_out[15] O
*I *5047:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[15] 0.000572766
2 *5047:Z 9.97725e-05
3 *154:12 0.00317342
4 *154:8 0.00270043
5 *154:8 la1_data_out[23] 2.66039e-05
6 *154:8 *5119:TE_B 0
7 *154:12 la1_data_out[29] 0.000164843
8 *154:12 *5057:A 8.47646e-05
9 *154:12 *5061:TE_B 3.83172e-05
10 *154:12 *878:13 0.00027329
11 *154:12 *939:13 0.000328363
12 io_oeb[22] *154:12 0
13 io_oeb[8] *154:12 0.000943841
14 io_out[11] la1_data_out[15] 0.000178635
15 io_out[11] *154:12 0
*RES
1 *5047:Z *154:8 20.4964
2 *154:8 *154:12 49.8197
3 *154:12 la1_data_out[15] 5.72668
*END
*D_NET *155 0.000762305
*CONN
*P la1_data_out[16] O
*I *5048:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[16] 0.000320065
2 *5048:Z 0.000320065
3 la1_data_out[16] *343:56 2.57006e-05
4 la1_data_out[16] *893:8 2.31746e-05
5 io_oeb[6] la1_data_out[16] 7.32999e-05
*RES
1 *5048:Z la1_data_out[16] 19.6469
*END
*D_NET *156 0.000558948
*CONN
*P la1_data_out[17] O
*I *5049:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[17] 0.000234628
2 *5049:Z 0.000234628
3 la1_data_out[17] la1_data_out[8] 8.18227e-05
4 la1_data_out[17] *337:12 7.86825e-06
5 la1_data_out[17] *1037:36 0
*RES
1 *5049:Z la1_data_out[17] 18.4011
*END
*D_NET *157 0.00191579
*CONN
*P la1_data_out[18] O
*I *5050:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[18] 0.000782011
2 *5050:Z 0.000782011
3 la1_data_out[18] *5045:TE_B 0
4 la1_data_out[18] *326:106 6.50727e-05
5 la1_data_out[18] *866:9 7.04973e-05
6 la1_data_out[13] la1_data_out[18] 0
7 *5157:A la1_data_out[18] 0.000216197
*RES
1 *5050:Z la1_data_out[18] 35.5339
*END
*D_NET *158 0.000652719
*CONN
*P la1_data_out[19] O
*I *5051:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[19] 0.00026911
2 *5051:Z 0.00026911
3 la1_data_out[19] *4395:A 2.37478e-05
4 la1_data_out[19] *1004:109 2.02035e-05
5 io_out[16] la1_data_out[19] 7.05479e-05
*RES
1 *5051:Z la1_data_out[19] 18.4011
*END
*D_NET *159 0.00161199
*CONN
*P la1_data_out[1] O
*I *5033:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[1] 0.000708583
2 *5033:Z 0.000708583
3 la1_data_out[1] *331:22 0.000107128
4 la1_data_out[1] *343:8 2.69459e-05
5 io_out[32] la1_data_out[1] 0
6 io_out[3] la1_data_out[1] 0
7 *5155:A la1_data_out[1] 6.07449e-05
*RES
1 *5033:Z la1_data_out[1] 20.98
*END
*D_NET *160 0.00189724
*CONN
*P la1_data_out[20] O
*I *5052:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[20] 0.000635357
2 *5052:Z 0.000635357
3 la1_data_out[20] la1_data_out[3] 0.000156777
4 la1_data_out[20] *331:22 0.00028149
5 io_oeb[36] la1_data_out[20] 0.000188256
*RES
1 *5052:Z la1_data_out[20] 23.8868
*END
*D_NET *161 0.00054527
*CONN
*P la1_data_out[21] O
*I *5053:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[21] 0.000230177
2 *5053:Z 0.000230177
3 la1_data_out[21] *4657:C 0
4 io_out[37] la1_data_out[21] 0
5 *5158:A la1_data_out[21] 8.49169e-05
*RES
1 *5053:Z la1_data_out[21] 18.4011
*END
*D_NET *162 0.00237932
*CONN
*P la1_data_out[22] O
*I *5054:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[22] 0.000742211
2 *5054:Z 0.000742211
3 la1_data_out[22] *336:25 6.08467e-05
4 la1_data_out[22] *592:34 0
5 la1_data_out[22] *674:22 0.00051888
6 la1_data_out[22] *685:16 0.000249229
7 la1_data_out[22] *762:16 5.15545e-05
8 la1_data_out[22] *824:32 1.43848e-05
9 io_oeb[27] la1_data_out[22] 0
*RES
1 *5054:Z la1_data_out[22] 32.2063
*END
*D_NET *163 0.00172867
*CONN
*P la1_data_out[23] O
*I *5055:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[23] 0.000720657
2 *5055:Z 0.000720657
3 la1_data_out[23] *5047:A 0.000169093
4 la1_data_out[23] *5055:A 6.50727e-05
5 la1_data_out[23] *5119:TE_B 0
6 la1_data_out[23] *326:23 2.65831e-05
7 la1_data_out[23] *725:10 0
8 io_oeb[17] la1_data_out[23] 0
9 *154:8 la1_data_out[23] 2.66039e-05
*RES
1 *5055:Z la1_data_out[23] 33.8184
*END
*D_NET *164 0.000573164
*CONN
*P la1_data_out[24] O
*I *5056:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[24] 0.000239554
2 *5056:Z 0.000239554
3 la1_data_out[24] la1_data_out[6] 0
4 la1_data_out[24] *343:56 8.13812e-06
5 la1_data_out[24] *893:8 4.75721e-06
6 io_oeb[6] la1_data_out[24] 8.11614e-05
*RES
1 *5056:Z la1_data_out[24] 18.4011
*END
*D_NET *165 0.00156404
*CONN
*P la1_data_out[25] O
*I *5057:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[25] 0.00054508
2 *5057:Z 0.00054508
3 la1_data_out[25] la1_data_out[5] 4.83428e-05
4 io_oeb[14] la1_data_out[25] 0.00014217
5 io_out[11] la1_data_out[25] 0.000283363
*RES
1 *5057:Z la1_data_out[25] 20.98
*END
*D_NET *166 0.000531289
*CONN
*P la1_data_out[26] O
*I *5058:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[26] 0.000261587
2 *5058:Z 0.000261587
3 la1_data_out[26] *5058:A 8.11463e-06
*RES
1 *5058:Z la1_data_out[26] 18.4011
*END
*D_NET *167 0.00231676
*CONN
*P la1_data_out[27] O
*I *5059:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[27] 0.00104132
2 *5059:Z 0.00104132
3 la1_data_out[27] *339:25 6.31665e-05
4 la1_data_out[27] *341:17 0
5 la1_data_out[27] *345:83 0
6 io_out[32] la1_data_out[27] 0.000170951
7 *5157:A la1_data_out[27] 0
*RES
1 *5059:Z la1_data_out[27] 24.0506
*END
*D_NET *168 0.00140043
*CONN
*P la1_data_out[28] O
*I *5060:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[28] 0.000700213
2 *5060:Z 0.000700213
3 la1_data_out[28] la1_data_out[4] 0
4 io_oeb[25] la1_data_out[28] 0
*RES
1 *5060:Z la1_data_out[28] 31.3814
*END
*D_NET *169 0.00124053
*CONN
*P la1_data_out[29] O
*I *5061:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[29] 0.000466705
2 *5061:Z 0.000466705
3 la1_data_out[29] *5057:A 1.41291e-05
4 la1_data_out[29] *5061:TE_B 0.000124942
5 la1_data_out[29] *878:13 3.20069e-06
6 io_oeb[22] la1_data_out[29] 0
7 io_out[2] la1_data_out[29] 0
8 *154:12 la1_data_out[29] 0.000164843
*RES
1 *5061:Z la1_data_out[29] 27.2501
*END
*D_NET *170 0.00133901
*CONN
*P la1_data_out[2] O
*I *5034:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[2] 0.000669506
2 *5034:Z 0.000669506
3 la1_data_out[2] *4218:A 0
4 la1_data_out[2] *5034:A 0
5 la1_data_out[2] *5034:TE_B 0
6 la1_data_out[2] *5043:A 0
7 la1_data_out[2] *5043:TE_B 0
8 la1_data_out[2] *348:22 0
*RES
1 *5034:Z la1_data_out[2] 31.0676
*END
*D_NET *171 0.000576506
*CONN
*P la1_data_out[30] O
*I *5062:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[30] 0.000194626
2 *5062:Z 0.000194626
3 la1_data_out[30] *343:56 1.61138e-05
4 io_oeb[13] la1_data_out[30] 8.55701e-05
5 la1_data_out[10] la1_data_out[30] 8.55701e-05
*RES
1 *5062:Z la1_data_out[30] 18.8164
*END
*D_NET *172 0.00145427
*CONN
*P la1_data_out[31] O
*I *5063:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[31] 0.000463202
2 *5063:Z 0.000463202
3 io_out[0] la1_data_out[31] 0
4 io_out[6] la1_data_out[31] 0.000527869
*RES
1 *5063:Z la1_data_out[31] 26.7062
*END
*D_NET *173 0.00140679
*CONN
*P la1_data_out[3] O
*I *5035:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[3] 0.000576946
2 *5035:Z 0.000576946
3 la1_data_out[3] *331:22 9.61192e-05
4 la1_data_out[20] la1_data_out[3] 0.000156777
*RES
1 *5035:Z la1_data_out[3] 20.5648
*END
*D_NET *174 0.00324684
*CONN
*P la1_data_out[4] O
*I *5036:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[4] 0.00063737
2 *5036:Z 0.000699983
3 *174:7 0.00133735
4 *174:7 *4221:A 0.000375027
5 *174:7 *326:11 0.000189753
6 *174:7 *784:10 7.34948e-06
7 la1_data_out[28] la1_data_out[4] 0
*RES
1 *5036:Z *174:7 32.7745
2 *174:7 la1_data_out[4] 17.3185
*END
*D_NET *175 0.00134119
*CONN
*P la1_data_out[5] O
*I *5037:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[5] 0.000540884
2 *5037:Z 0.000540884
3 la1_data_out[5] *4340:A 0.00011818
4 la1_data_out[5] *325:34 0
5 la1_data_out[5] *331:31 5.07314e-05
6 io_oeb[8] la1_data_out[5] 0
7 io_out[11] la1_data_out[5] 4.21652e-05
8 la1_data_out[25] la1_data_out[5] 4.83428e-05
*RES
1 *5037:Z la1_data_out[5] 28.6083
*END
*D_NET *176 0.000813602
*CONN
*P la1_data_out[6] O
*I *5038:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[6] 0.000311958
2 *5038:Z 0.000311958
3 la1_data_out[6] *343:56 3.00257e-05
4 la1_data_out[6] *893:8 7.56859e-06
5 la1_data_out[6] *1004:109 8.35594e-05
6 io_oeb[20] la1_data_out[6] 6.85323e-05
7 la1_data_out[24] la1_data_out[6] 0
*RES
1 *5038:Z la1_data_out[6] 20.0621
*END
*D_NET *177 0.00201114
*CONN
*P la1_data_out[7] O
*I *5039:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[7] 0.00100557
2 *5039:Z 0.00100557
3 la1_data_out[7] *5039:A 0
4 la1_data_out[7] *5057:TE_B 0
*RES
1 *5039:Z la1_data_out[7] 39.4402
*END
*D_NET *178 0.000636511
*CONN
*P la1_data_out[8] O
*I *5040:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[8] 0.000220774
2 *5040:Z 0.000220774
3 la1_data_out[8] *337:12 3.13173e-05
4 la1_data_out[8] *1037:36 0
5 io_out[35] la1_data_out[8] 8.18227e-05
6 la1_data_out[17] la1_data_out[8] 8.18227e-05
*RES
1 *5040:Z la1_data_out[8] 19.2316
*END
*D_NET *179 0.00111201
*CONN
*P la1_data_out[9] O
*I *5041:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[9] 0.000556004
2 *5041:Z 0.000556004
3 io_out[0] la1_data_out[9] 0
4 io_out[17] la1_data_out[9] 0
5 io_out[6] la1_data_out[9] 0
*RES
1 *5041:Z la1_data_out[9] 28.5761
*END
*D_NET *214 0.0154342
*CONN
*P wb_clk_i I
*I *5140:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.00290789
2 *5140:A 0
3 *214:30 0.00167425
4 *214:27 0.00458214
5 *214:27 *4355:A 0.000927099
6 *214:27 *4358:A 0.000549454
7 *214:27 *4360:A1 2.71504e-05
8 *214:27 *4360:A2 2.16355e-05
9 *214:27 *4362:A 0
10 *214:27 *4463:B1 0.000520854
11 *214:27 *4494:A1 5.59128e-05
12 *214:27 *4522:B1 0.000919352
13 *214:27 *5068:A 2.1603e-05
14 *214:27 *338:116 1.25094e-05
15 *214:27 *352:18 4.58897e-06
16 *214:27 *360:12 0.000240675
17 *214:27 *367:20 1.12254e-05
18 *214:27 *375:28 0
19 *214:27 *393:22 3.55968e-05
20 *214:27 *428:68 4.47816e-05
21 *214:27 *436:26 0.000102917
22 *214:27 *484:33 0.000189208
23 *214:27 *574:20 3.12734e-06
24 *214:27 *827:114 2.74245e-05
25 *214:27 *924:26 0.000984795
26 *214:27 *1048:39 4.43877e-05
27 *214:30 *4388:A1 7.14073e-06
28 *214:30 *4389:C1 0.000145165
29 *214:30 *4447:A 0
30 *214:30 *4448:A1 4.88043e-05
31 *214:30 *4493:B1 0
32 *214:30 *4503:A 0
33 *214:30 *4509:B1 0
34 *214:30 *4514:B1 0.000270866
35 *214:30 *4518:A3 4.32126e-05
36 *214:30 *331:91 0
37 *214:30 *350:32 3.04443e-05
38 *214:30 *434:17 0.000301801
39 *214:30 *457:80 0
40 *214:30 *466:41 0
41 *214:30 *481:8 0.000512411
42 *214:30 *484:33 0
43 *214:30 *488:16 8.28859e-06
44 *214:30 *490:16 0
45 *214:30 *490:30 0
46 *214:30 *565:49 3.22915e-05
47 *214:30 *1042:9 0
48 *214:30 *1043:18 4.70005e-05
49 *214:30 *1043:27 6.0789e-05
50 io_out[23] *214:27 1.74395e-05
*RES
1 wb_clk_i *214:27 37.3843
2 *214:27 *214:30 45.1581
3 *214:30 *5140:A 9.24915
*END
*D_NET *215 0.00607777
*CONN
*I *4819:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4416:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4819:D 0
2 *4416:Y 0
3 *215:9 0.00107303
4 *215:5 0.00107303
5 *215:9 *4363:A2 0.000364708
6 *215:9 *4372:A1 0
7 *215:9 *4426:A1 0.00012568
8 *215:9 *4432:A1 0.000117426
9 *215:9 *4432:A2 2.6777e-05
10 *215:9 *4434:B1 0.000667628
11 *215:9 *4819:CLK 0.000262705
12 *215:9 *344:88 0.00021795
13 *215:9 *349:10 6.4674e-06
14 *215:9 *374:13 0.00113184
15 *215:9 *393:22 0.000266126
16 *215:9 *394:37 6.50586e-05
17 *215:9 *394:43 2.93268e-05
18 *215:9 *423:8 1.98183e-05
19 *215:9 *436:55 7.02172e-06
20 *215:9 *867:13 0
21 *215:9 *924:26 0.000396078
22 *215:9 *1045:31 0.00020408
23 *215:9 *1054:18 2.30271e-05
*RES
1 *4416:Y *215:5 13.7491
2 *215:5 *215:9 46.9795
3 *215:9 *4819:D 9.24915
*END
*D_NET *216 0.00273707
*CONN
*I *4820:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4418:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4820:D 0
2 *4418:X 0.000455223
3 *216:25 0.000455223
4 *216:25 *4364:A2 0.000100919
5 *216:25 *4364:B1 0.000500436
6 *216:25 *4367:A2 0.00011548
7 *216:25 *4457:A1 9.17771e-05
8 *216:25 *4820:CLK 0.000110306
9 *216:25 *4835:D 0.000266783
10 *216:25 *349:10 0.000110766
11 *216:25 *352:18 0.000114364
12 *216:25 *361:51 1.04818e-05
13 *216:25 *369:10 6.08467e-05
14 *216:25 *1045:31 0.000281751
15 *216:25 *1048:39 6.27098e-05
*RES
1 *4418:X *216:25 44.9048
2 *216:25 *4820:D 9.24915
*END
*D_NET *217 0.00192135
*CONN
*I *4821:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4421:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *4821:D 0.000263917
2 *4421:Y 0.000263917
3 *4821:D *4421:B 5.51483e-06
4 *4821:D *4421:C 0.000294093
5 *4821:D *442:17 0.000498153
6 *4821:D *867:13 0.000595757
*RES
1 *4421:Y *4821:D 28.7283
*END
*D_NET *218 0.00249341
*CONN
*I *4822:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4425:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4822:D 0.000464575
2 *4425:Y 0.000464575
3 *4822:D *4823:D 1.69657e-06
4 *4822:D *5144:A 6.42685e-05
5 *4822:D *231:8 0.000787806
6 *4822:D *418:21 0.000315221
7 *4822:D *418:37 1.91391e-05
8 *4822:D *450:23 2.40371e-05
9 *4822:D *454:70 0.000352091
10 *4822:D *827:20 0
*RES
1 *4425:Y *4822:D 44.2829
*END
*D_NET *219 0.000624444
*CONN
*I *4823:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4427:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4823:D 0.000204222
2 *4427:X 0.000204222
3 *4823:D *344:88 1.16092e-05
4 *4823:D *355:15 0.000110306
5 *4823:D *356:23 1.5714e-05
6 *4823:D *450:23 7.66738e-05
7 *4823:D *827:20 0
8 *4822:D *4823:D 1.69657e-06
*RES
1 *4427:X *4823:D 31.6994
*END
*D_NET *220 0.00163201
*CONN
*I *4824:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4430:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *4824:D 0.000328323
2 *4430:Y 0.000328323
3 *4824:D *4425:A 0.000366898
4 *4824:D *4430:B 6.87762e-05
5 *4824:D *414:60 0.000466032
6 *4824:D *418:49 5.74949e-05
7 *4824:D *420:13 8.45896e-06
8 *4824:D *886:12 7.70172e-06
*RES
1 *4430:Y *4824:D 38.6917
*END
*D_NET *221 0.00111819
*CONN
*I *4825:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4433:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4825:D 0.00037166
2 *4433:Y 0.00037166
3 *4825:D *4433:A 5.04734e-05
4 *4825:D *4434:B1 0
5 *4825:D *424:16 0.000159214
6 *4825:D *827:25 0.000165181
*RES
1 *4433:Y *4825:D 37.8612
*END
*D_NET *222 0.000630068
*CONN
*I *4826:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4435:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4826:D 0.000185506
2 *4435:X 0.000185506
3 *4826:D *4435:B1 0.000129528
4 *4826:D *363:12 0.000129528
*RES
1 *4435:X *4826:D 33.7434
*END
*D_NET *223 0.0068952
*CONN
*I *4827:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4437:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4827:D 0.000154917
2 *4437:Y 0.00196299
3 *223:9 0.0021179
4 *4827:D *4455:A 0
5 *4827:D *4827:CLK 0.000108301
6 *4827:D *334:32 7.39022e-06
7 *4827:D *349:31 4.75261e-05
8 *4827:D *479:11 0.000210603
9 *4827:D *513:34 0
10 *223:9 *4437:B 2.61012e-05
11 *223:9 *4453:B1 0.000118166
12 *223:9 *4885:CLK 0.000150646
13 *223:9 *226:15 0.000106703
14 *223:9 *281:11 3.0418e-05
15 *223:9 *413:23 0.000453429
16 *223:9 *438:37 0.000202341
17 *223:9 *513:14 0.000347214
18 *223:9 *821:5 5.51483e-06
19 *223:9 *826:111 0.000686675
20 *223:9 *985:10 0.000158371
*RES
1 *4437:Y *223:9 47.8785
2 *223:9 *4827:D 23.1783
*END
*D_NET *224 0.00123807
*CONN
*I *4828:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4440:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4828:D 0.000225198
2 *4440:X 0.000225198
3 *4828:D *4385:C1 0.00052886
4 *4828:D *4386:B2 8.78262e-05
5 *4828:D *4443:C 2.2151e-05
6 *4828:D *334:32 3.26668e-05
7 *4828:D *433:45 0.000116168
*RES
1 *4440:X *4828:D 35.9299
*END
*D_NET *225 0.00655441
*CONN
*I *4829:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4443:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *4829:D 2.60556e-05
2 *4443:Y 0.00124846
3 *225:14 0.00127452
4 *4829:D *4453:B1 2.27595e-05
5 *4829:D *826:82 0.000103827
6 *225:14 *4382:A 5.21758e-06
7 *225:14 *4386:C1 0.000114441
8 *225:14 *4440:A1 0.000180708
9 *225:14 *4441:A1 2.58521e-05
10 *225:14 *4443:A 0.000315509
11 *225:14 *4558:B1 6.93165e-05
12 *225:14 *4559:B1 9.66954e-05
13 *225:14 *378:21 0.00119464
14 *225:14 *383:8 0.000139294
15 *225:14 *384:17 0.000200716
16 *225:14 *432:12 0
17 *225:14 *432:41 0
18 *225:14 *433:25 2.60659e-05
19 *225:14 *513:34 0.000419856
20 *225:14 *534:33 4.05117e-05
21 *225:14 *554:21 9.66809e-05
22 *225:14 *575:5 0.000446971
23 *225:14 *1000:45 0.000446971
24 *225:14 *1039:8 5.93461e-05
*RES
1 *4443:Y *225:14 46.6702
2 *225:14 *4829:D 14.9066
*END
*D_NET *226 0.0112544
*CONN
*I *4830:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4446:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4830:D 0
2 *4446:Y 0.00107453
3 *226:25 0.0019086
4 *226:15 0.00298313
5 *226:15 *4705:A 0.000652984
6 *226:15 *4710:A 6.3609e-05
7 *226:15 *5148:A 1.01851e-05
8 *226:15 *281:11 0.000254445
9 *226:15 *384:17 0.000181614
10 *226:15 *438:37 0.000213739
11 *226:15 *457:46 0.000314511
12 *226:15 *640:13 0.000764071
13 *226:15 *826:6 0.000225557
14 *226:15 *985:10 5.70249e-05
15 *226:25 *4453:B1 7.00325e-05
16 *226:25 *4830:CLK 2.91008e-06
17 *226:25 *4831:CLK 6.36477e-05
18 *226:25 *4853:D 1.87611e-05
19 *226:25 *341:70 1.02986e-05
20 *226:25 *438:37 0.000463773
21 *226:25 *640:13 7.09666e-06
22 *226:25 *826:74 0.000269759
23 *226:25 *826:82 0.00102952
24 *226:25 *865:22 4.15661e-05
25 *226:25 *990:12 0.000466373
26 *223:9 *226:15 0.000106703
*RES
1 *4446:Y *226:15 47.0848
2 *226:15 *226:25 42.5845
3 *226:25 *4830:D 9.24915
*END
*D_NET *227 0.0107958
*CONN
*I *4831:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4449:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4831:D 0.000817352
2 *4449:Y 0.00157834
3 *227:19 0.00239569
4 *4831:D *4382:A 0.000164843
5 *4831:D *4386:A1 0.000207266
6 *4831:D *4386:B2 0.000138843
7 *4831:D *4387:C1 6.50727e-05
8 *4831:D *4439:A1 3.53803e-05
9 *4831:D *4439:B1 0.000116719
10 *4831:D *4440:B1 7.60356e-05
11 *4831:D *4443:C 0.000147605
12 *4831:D *334:32 0
13 *4831:D *383:28 0.000213442
14 *4831:D *432:41 3.00152e-05
15 *4831:D *437:21 4.51017e-05
16 *227:19 *4440:B1 3.14978e-05
17 *227:19 *4443:C 6.50586e-05
18 *227:19 *4449:B 5.66868e-06
19 *227:19 *4458:A 9.17227e-05
20 *227:19 *4709:A 0.00158134
21 *227:19 *4890:D 3.18826e-06
22 *227:19 *229:20 0.000113876
23 *227:19 *249:25 2.01429e-05
24 *227:19 *341:70 3.91944e-05
25 *227:19 *427:7 4.30017e-06
26 *227:19 *427:24 8.53297e-05
27 *227:19 *437:21 0.00216646
28 *227:19 *534:33 2.30558e-05
29 *227:19 *826:25 0.000313495
30 *227:19 *826:34 1.19856e-05
31 *227:19 *826:64 0.00019608
32 *227:19 *865:22 1.17185e-05
*RES
1 *4449:Y *227:19 48.0689
2 *227:19 *4831:D 39.3026
*END
*D_NET *228 0.000850593
*CONN
*I *4832:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4453:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4832:D 8.21633e-05
2 *4453:X 8.21633e-05
3 *4832:D *4832:CLK 0.000276239
4 *4832:D *4833:D 0.000276239
5 *4832:D *457:80 3.77659e-05
6 *4832:D *490:30 9.60216e-05
*RES
1 *4453:X *4832:D 32.3264
*END
*D_NET *229 0.0164166
*CONN
*I *4833:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4458:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4833:D 0.00120135
2 *4458:Y 0.00175503
3 *229:20 0.00295638
4 *4833:D *4832:CLK 0.000314545
5 *4833:D *431:54 7.51628e-06
6 *4833:D *828:58 5.175e-05
7 *229:20 *4452:A 6.50586e-05
8 *229:20 *4458:A 6.08467e-05
9 *229:20 *4558:B1 0.000784921
10 *229:20 *4673:A 0.000163203
11 *229:20 *4709:A 0.000163788
12 *229:20 *4715:A 0.000132825
13 *229:20 *4831:CLK 0.000208688
14 *229:20 *5141:A 0.000115998
15 *229:20 *284:17 0.000164829
16 *229:20 *331:91 0.000214596
17 *229:20 *346:75 0.000124913
18 *229:20 *428:91 0.000637137
19 *229:20 *436:69 0.000113968
20 *229:20 *437:21 6.48564e-05
21 *229:20 *438:19 0.000470934
22 *229:20 *576:61 0.00300686
23 *229:20 *580:45 4.30499e-05
24 *229:20 *620:13 0.000111708
25 *229:20 *621:39 7.60356e-05
26 *229:20 *840:15 0.00301565
27 *4832:D *4833:D 0.000276239
28 *227:19 *229:20 0.000113876
*RES
1 *4458:Y *229:20 49.7601
2 *229:20 *4833:D 32.6117
*END
*D_NET *230 0.0010527
*CONN
*I *4834:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4460:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4834:D 0.000225749
2 *4460:X 0.000225749
3 *4834:D *4834:CLK 2.27595e-05
4 *4834:D *393:43 0.000325993
5 *4834:D *428:68 0.000139429
6 *4834:D *431:62 0.000103827
7 *4834:D *1042:59 9.19886e-06
*RES
1 *4460:X *4834:D 35.3636
*END
*D_NET *231 0.00852547
*CONN
*I *4835:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4462:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4835:D 0.000854183
2 *4462:Y 0.0010206
3 *231:8 0.00187478
4 *4835:D *4415:A 1.6749e-05
5 *4835:D *4418:B1 0
6 *4835:D *4457:A1 7.92757e-06
7 *4835:D *4471:B 0
8 *4835:D *4477:C 0.000226281
9 *4835:D *324:14 0
10 *4835:D *332:55 6.05861e-05
11 *4835:D *345:33 0.000405599
12 *4835:D *393:22 0.000100927
13 *4835:D *393:36 5.0459e-05
14 *4835:D *394:43 0.000261088
15 *4835:D *439:49 0.000163552
16 *4835:D *450:23 0
17 *4835:D *1045:31 5.61389e-05
18 *4835:D *1048:39 0
19 *231:8 *4425:A 1.92172e-05
20 *231:8 *4432:B1 8.88984e-06
21 *231:8 *4462:B 1.03403e-05
22 *231:8 *324:14 0.00159428
23 *231:8 *414:20 0.000464108
24 *231:8 *414:27 6.51527e-05
25 *231:8 *418:8 4.41125e-05
26 *231:8 *418:21 3.3417e-05
27 *231:8 *420:13 0.000111708
28 *231:8 *1048:39 2.07793e-05
29 *4822:D *231:8 0.000787806
30 *216:25 *4835:D 0.000266783
*RES
1 *4462:Y *231:8 47.3147
2 *231:8 *4835:D 45.7468
*END
*D_NET *232 0.00116351
*CONN
*I *4836:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4464:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4836:D 0.000238984
2 *4464:X 0.000238984
3 *4836:D *4460:B1 4.82779e-06
4 *4836:D *4674:C1 0.000208355
5 *4836:D *5131:TE_B 3.3186e-05
6 *4836:D *345:33 3.85889e-05
7 *4836:D *402:23 0.000165181
8 *4836:D *576:61 2.55314e-05
9 *4836:D *619:15 0.000209869
*RES
1 *4464:X *4836:D 35.3697
*END
*D_NET *233 0.00448462
*CONN
*I *4837:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4467:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *4837:D 0
2 *4467:Y 0.000791145
3 *233:13 0.000791145
4 *233:13 *4424:B1 1.47978e-05
5 *233:13 *4467:C 5.04829e-06
6 *233:13 *4662:B 0.000367244
7 *233:13 *5051:A 8.3506e-05
8 *233:13 *234:11 0.00105722
9 *233:13 *237:35 3.70591e-05
10 *233:13 *346:104 4.66386e-05
11 *233:13 *404:45 0.000560087
12 *233:13 *442:17 4.18944e-06
13 *233:13 *452:13 3.1845e-05
14 *233:13 *828:26 0.000218239
15 *233:13 *1035:17 0.000462263
16 *233:13 *1051:13 1.41976e-05
*RES
1 *4467:Y *233:13 48.3249
2 *233:13 *4837:D 9.24915
*END
*D_NET *234 0.00686395
*CONN
*I *4838:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4470:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4838:D 0
2 *4470:Y 0.000293719
3 *234:11 0.000972271
4 *234:7 0.00126599
5 *234:7 *4462:B 4.41404e-05
6 *234:7 *448:11 0.000207266
7 *234:11 *4432:B1 0.000348195
8 *234:11 *4471:C 0.000161929
9 *234:11 *4662:B 0.00020526
10 *234:11 *346:104 0.00209559
11 *234:11 *413:50 3.13154e-05
12 *234:11 *1051:13 7.14746e-05
13 *234:11 *1052:16 0.000109583
14 *233:13 *234:11 0.00105722
*RES
1 *4470:Y *234:7 18.3548
2 *234:7 *234:11 45.5916
3 *234:11 *4838:D 9.24915
*END
*D_NET *235 0.00190176
*CONN
*I *4839:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4473:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4839:D 0.000229243
2 *4473:X 0.000229243
3 *4839:D *375:28 0.00039618
4 *4839:D *398:8 2.33053e-05
5 *4839:D *413:50 0.000160384
6 *4839:D *451:10 0.000349357
7 *4839:D *828:11 0.000391954
8 *4839:D *1007:25 0.000122098
*RES
1 *4473:X *4839:D 38.5579
*END
*D_NET *236 0.00210611
*CONN
*I *4840:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4476:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4840:D 0.000405606
2 *4476:X 0.000405606
3 *4840:D *4476:A1 0.000251655
4 *4840:D *4476:A2 0.00021569
5 *4840:D *4476:B1 1.67988e-05
6 *4840:D *453:18 0.000312524
7 *4840:D *828:105 0.000308942
8 *4840:D *1007:25 0.000189287
*RES
1 *4476:X *4840:D 40.9827
*END
*D_NET *237 0.0105766
*CONN
*I *4841:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4479:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4841:D 0
2 *4479:Y 0.00115634
3 *237:35 0.00147401
4 *237:12 0.00263035
5 *237:12 *4416:A 0.000190057
6 *237:12 *4421:C 3.2206e-05
7 *237:12 *4424:B1 4.0919e-05
8 *237:12 *4429:A 0.000257972
9 *237:12 *4662:B 0.0007525
10 *237:12 *5038:A 0.000317707
11 *237:12 *346:104 0
12 *237:12 *364:10 0.000128695
13 *237:12 *413:80 0.000351415
14 *237:12 *417:11 0.000110696
15 *237:12 *886:12 0.00047399
16 *237:12 *942:14 6.44576e-05
17 *237:12 *1050:38 1.5714e-05
18 *237:35 *4406:A_N 0.000111722
19 *237:35 *4407:C1 0.000415449
20 *237:35 *4421:B 2.02035e-05
21 *237:35 *4421:C 9.64017e-05
22 *237:35 *4445:A1 8.62625e-06
23 *237:35 *4477:A 0.000308328
24 *237:35 *5051:A 6.3082e-06
25 *237:35 *324:14 0
26 *237:35 *364:10 0.000266312
27 *237:35 *375:10 0
28 *237:35 *404:28 0.000280451
29 *237:35 *404:45 0.000291873
30 *237:35 *442:17 0.000109598
31 *237:35 *444:20 0.000154145
32 *237:35 *828:16 7.67155e-05
33 *237:35 *828:26 7.09364e-05
34 *237:35 *828:105 0.000107496
35 *237:35 *1033:49 0.000217937
36 *237:35 *1049:11 0
37 *237:35 *1049:48 0
38 *233:13 *237:35 3.70591e-05
*RES
1 *4479:Y *237:12 49.7458
2 *237:12 *237:35 49.1053
3 *237:35 *4841:D 9.24915
*END
*D_NET *238 0.00252804
*CONN
*I *4842:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4481:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4842:D 0.000453847
2 *4481:X 0.000453847
3 *4842:D *4413:B1 0.000192979
4 *4842:D *4481:A2 1.2819e-05
5 *4842:D *4481:B1 1.82679e-05
6 *4842:D *576:47 6.96362e-05
7 *4842:D *1006:8 0.000230896
8 *4842:D *1006:20 0.000634931
9 *4842:D *1038:8 0.000460821
*RES
1 *4481:X *4842:D 45.9198
*END
*D_NET *239 0.00634683
*CONN
*I *4843:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4484:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4843:D 0.0013821
2 *4484:X 0.0013821
3 *4843:D *4458:B 0
4 *4843:D *332:41 0.00294749
5 *4843:D *341:70 1.91391e-05
6 *4843:D *561:19 0
7 *4843:D *565:17 8.58839e-05
8 *4843:D *633:15 0.000530109
9 *4843:D *819:8 0
*RES
1 *4484:X *4843:D 48.8486
*END
*D_NET *240 0.0054215
*CONN
*I *4844:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4494:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4844:D 0.000451935
2 *4494:Y 0.000499956
3 *240:13 0.00095189
4 *4844:D *4505:A2 0.000117606
5 *4844:D *4505:B1 0.000213739
6 *4844:D *4507:B1 0.000213739
7 *4844:D *4508:A1 0.000294093
8 *4844:D *4844:CLK 0.000151146
9 *4844:D *436:59 0.000114467
10 *4844:D *467:17 7.86024e-05
11 *4844:D *1016:7 0.000465593
12 *4844:D *1016:12 0.000107496
13 *240:13 *4488:B 0.00050498
14 *240:13 *4494:B1 3.14978e-05
15 *240:13 *4496:D 6.24655e-05
16 *240:13 *334:32 0.000188976
17 *240:13 *460:8 2.18741e-05
18 *240:13 *467:17 0.000283698
19 *240:13 *470:8 6.49003e-05
20 *240:13 *990:29 5.07314e-05
21 *240:13 *999:34 0.000373061
22 *240:13 *1016:54 0.000179056
*RES
1 *4494:Y *240:13 37.7567
2 *240:13 *4844:D 26.7011
*END
*D_NET *241 0.000825012
*CONN
*I *4845:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4507:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4845:D 0.000159499
2 *4507:X 0.000159499
3 *4845:D *4494:A1 2.26985e-05
4 *4845:D *4505:B1 0.000138103
5 *4845:D *4507:A1 2.82255e-05
6 *4845:D *488:16 5.36789e-05
7 *4845:D *1016:54 0.000263309
*RES
1 *4507:X *4845:D 33.1569
*END
*D_NET *242 0.00247053
*CONN
*I *4846:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4514:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4846:D 0.000727815
2 *4514:X 0.000727815
3 *4846:D *4357:A 0.000258982
4 *4846:D *4522:B1 0.000137978
5 *4846:D *359:15 2.13584e-05
6 *4846:D *442:27 3.18826e-06
7 *4846:D *483:8 0
8 *4846:D *492:16 0.00059339
*RES
1 *4514:X *4846:D 40.5355
*END
*D_NET *243 0.000653622
*CONN
*I *4847:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4520:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4847:D 0.000283524
2 *4520:X 0.000283524
3 *4847:D *4511:A 6.52156e-05
4 *4847:D *361:51 2.13584e-05
5 *4847:D *483:8 0
*RES
1 *4520:X *4847:D 32.771
*END
*D_NET *244 0.0016825
*CONN
*I *4848:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4527:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4848:D 0.000628187
2 *4527:X 0.000628187
3 *4848:D *4513:A1 1.87611e-05
4 *4848:D *4516:B 8.3124e-05
5 *4848:D *4521:A2 2.16355e-05
6 *4848:D *4527:A1 1.58551e-05
7 *4848:D *4527:A2 6.23875e-05
8 *4848:D *4527:B1 2.77625e-06
9 *4848:D *5144:A 1.87611e-05
10 *4848:D *466:41 0.000151395
11 *4848:D *484:12 4.3116e-06
12 *4848:D *827:64 4.71175e-05
*RES
1 *4527:X *4848:D 38.1777
*END
*D_NET *245 0.00146242
*CONN
*I *4849:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4532:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4849:D 0.000330883
2 *4532:Y 0.000330883
3 *4849:D *4515:A 8.18789e-05
4 *4849:D *468:47 0.000284033
5 *4849:D *484:12 9.24241e-05
6 *4849:D *610:5 0.000304791
7 *4849:D *827:27 1.87611e-05
8 *4849:D *1021:13 1.87611e-05
*RES
1 *4532:Y *4849:D 36.3718
*END
*D_NET *246 0.00149739
*CONN
*I *4850:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4540:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4850:D 0.000272725
2 *4540:X 0.000272725
3 *4850:D *4539:A 1.43983e-05
4 *4850:D *4540:A2 0
5 *4850:D *819:9 0.000885491
6 *4850:D *1022:17 3.21413e-05
7 *4850:D *1022:48 1.99131e-05
*RES
1 *4540:X *4850:D 28.7283
*END
*D_NET *247 0.00159268
*CONN
*I *4851:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4545:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4851:D 0.000374103
2 *4545:Y 0.000374103
3 *4851:D *4515:A 0
4 *4851:D *4515:B 4.19401e-06
5 *4851:D *4532:A2 0.000216073
6 *4851:D *4532:B1 8.9652e-05
7 *4851:D *4545:A1 9.24241e-05
8 *4851:D *4545:A2 1.27615e-05
9 *4851:D *4826:CLK 9.73548e-05
10 *4851:D *342:32 0.000277488
11 *4851:D *486:19 4.37999e-05
12 *4851:D *610:10 0
13 *4851:D *827:34 1.07248e-05
*RES
1 *4545:Y *4851:D 39.2431
*END
*D_NET *248 0.00835565
*CONN
*I *4747:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4852:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4547:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4747:B1 0
2 *4852:D 0.000873717
3 *4547:X 0.000984409
4 *248:29 0.00128211
5 *248:19 0.0013928
6 *4852:D *4564:A 2.77564e-05
7 *4852:D *4728:A 0.000115313
8 *4852:D *4745:A 5.1493e-06
9 *4852:D *4745:C 6.94974e-05
10 *4852:D *4747:A1 0.000163668
11 *4852:D *341:56 8.80105e-05
12 *4852:D *531:21 7.00999e-05
13 *4852:D *582:35 3.31745e-05
14 *4852:D *588:29 0.000187257
15 *4852:D *632:45 1.87611e-05
16 *4852:D *665:23 0.000111708
17 *4852:D *829:78 1.66736e-05
18 *4852:D *829:89 1.64739e-05
19 *4852:D *865:47 5.04829e-06
20 *4852:D *1027:26 1.87611e-05
21 *248:19 *4554:A 3.79841e-05
22 *248:19 *4712:A 0.000137356
23 *248:19 *4733:A 2.0761e-05
24 *248:19 *4748:A1 8.91475e-05
25 *248:19 *4891:D 4.19379e-05
26 *248:19 *4894:CLK 0.000107496
27 *248:19 *258:21 0.000220738
28 *248:19 *290:23 1.67988e-05
29 *248:19 *314:14 1.19058e-05
30 *248:19 *346:62 5.57646e-06
31 *248:19 *379:30 0.000136338
32 *248:19 *397:15 0.000353362
33 *248:19 *529:26 0.000224665
34 *248:19 *587:66 0.000304777
35 *248:19 *656:37 0.000111708
36 *248:19 *656:52 0.000319954
37 *248:19 *657:18 9.80784e-05
38 *248:19 *658:11 7.0789e-05
39 *248:19 *665:23 2.57465e-06
40 *248:19 *855:14 1.16429e-05
41 *248:19 *977:8 0.000101605
42 *248:19 *1005:54 5.45571e-05
43 *248:29 *4569:B 1.92336e-05
44 *248:29 *4744:C 3.78277e-05
45 *248:29 *4748:B1 0.00019613
46 *248:29 *400:40 1.75682e-05
47 *248:29 *531:21 1.61631e-05
48 *248:29 *539:19 1.05272e-06
49 *248:29 *665:23 2.41483e-05
50 *248:29 *975:15 7.75133e-06
51 *248:29 *975:32 7.56369e-05
*RES
1 *4547:X *248:19 49.0478
2 *248:19 *248:29 17.398
3 *248:29 *4852:D 44.9365
4 *248:29 *4747:B1 9.24915
*END
*D_NET *249 0.0072638
*CONN
*I *4725:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4853:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4550:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4725:B2 0.000234284
2 *4853:D 0.000108001
3 *4550:X 0.00160001
4 *249:27 0.000342284
5 *249:25 0.00160001
6 *4725:B2 *4449:B 0.000216897
7 *4725:B2 *4843:CLK 9.7112e-06
8 *4725:B2 *385:23 0.000196293
9 *4725:B2 *609:31 0.000220454
10 *4725:B2 *826:51 6.07573e-05
11 *4853:D *4449:B 6.74182e-05
12 *4853:D *4843:CLK 3.10307e-05
13 *4853:D *4853:CLK 3.83492e-06
14 *4853:D *438:37 2.13584e-05
15 *4853:D *990:12 7.09666e-06
16 *249:25 *4449:A 2.08219e-05
17 *249:25 *4550:A 1.58551e-05
18 *249:25 *4706:A 7.45998e-05
19 *249:25 *4706:B 6.50727e-05
20 *249:25 *4722:C 0.000322538
21 *249:25 *4724:A 0.000227997
22 *249:25 *4883:D 0.000239038
23 *249:25 *4890:D 2.13584e-05
24 *249:25 *427:24 0.000554744
25 *249:25 *630:30 0.000315611
26 *249:25 *826:25 0.00035521
27 *249:25 *826:34 6.50727e-05
28 *249:25 *983:11 0.00017148
29 *249:25 *983:31 5.60493e-05
30 *226:25 *4853:D 1.87611e-05
31 *227:19 *249:25 2.01429e-05
*RES
1 *4550:X *249:25 46.287
2 *249:25 *249:27 4.5
3 *249:27 *4853:D 16.2194
4 *249:27 *4725:B2 21.4538
*END
*D_NET *250 0.00153784
*CONN
*I *4854:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4559:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4854:D 0.000323224
2 *4559:X 0.000323224
3 *4854:D *4443:C 0.000153208
4 *4854:D *522:13 6.96362e-05
5 *4854:D *523:77 0.000153208
6 *4854:D *565:49 0.00051534
*RES
1 *4559:X *4854:D 36.7604
*END
*D_NET *251 0.000644927
*CONN
*I *4855:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4570:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4855:D 0.000197739
2 *4570:X 0.000197739
3 *4855:D *4570:A2 2.02035e-05
4 *4855:D *4573:A 5.85873e-05
5 *4855:D *4855:CLK 5.11322e-06
6 *4855:D *575:17 3.18826e-06
7 *4855:D *818:9 2.13584e-05
8 *4855:D *1015:27 0.000140998
*RES
1 *4570:X *4855:D 32.0448
*END
*D_NET *252 0.00236064
*CONN
*I *4856:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4578:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4856:D 0.000363512
2 *4578:X 0.000363512
3 *4856:D *4578:B1 6.92705e-05
4 *4856:D *537:35 0.000681627
5 *4856:D *542:31 0.000269781
6 *4856:D *616:30 2.90875e-05
7 *4856:D *1010:36 0.000583852
*RES
1 *4578:X *4856:D 32.4176
*END
*D_NET *253 0.00195814
*CONN
*I *4857:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4584:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4857:D 0.000162342
2 *4584:X 0.000162342
3 *4857:D *432:41 0.000158371
4 *4857:D *585:23 0.000425421
5 *4857:D *612:40 0.000653739
6 *4857:D *1011:34 0.000395923
*RES
1 *4584:X *4857:D 28.1496
*END
*D_NET *254 0.000949122
*CONN
*I *4858:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4591:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4858:D 0.000220254
2 *4591:X 0.000220254
3 *4858:D *4267:A 5.11322e-06
4 *4858:D *4562:A2 2.1203e-06
5 *4858:D *4591:A1 1.43259e-05
6 *4858:D *335:74 2.55661e-06
7 *4858:D *584:33 9.12416e-06
8 *4858:D *588:7 0.000292621
9 *4858:D *759:17 8.56518e-05
10 *4858:D *1009:78 2.51488e-05
11 *4858:D *1012:7 3.37297e-05
12 *4858:D *1012:33 3.82228e-05
*RES
1 *4591:X *4858:D 33.8856
*END
*D_NET *255 0.00105949
*CONN
*I *4859:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4596:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *4859:D 0.000257618
2 *4596:Y 0.000257618
3 *4859:D *4388:A1 7.09666e-06
4 *4859:D *331:91 0
5 *4859:D *350:32 3.49097e-05
6 *4859:D *379:54 0.000295519
7 *4859:D *523:91 6.50586e-05
8 *4859:D *553:11 5.0448e-05
9 *4859:D *565:49 6.92705e-05
10 *4859:D *831:35 1.87611e-05
11 *4859:D *1015:21 3.18826e-06
*RES
1 *4596:Y *4859:D 34.8445
*END
*D_NET *256 0.00126553
*CONN
*I *4860:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4604:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4860:D 0.000307141
2 *4604:X 0.000307141
3 *4860:D *4602:B 0.000108025
4 *4860:D *4604:B1 0.000103997
5 *4860:D *4880:CLK 0.000294033
6 *4860:D *584:14 2.27595e-05
7 *4860:D *831:54 1.07248e-05
8 *4860:D *1014:14 0.000111708
*RES
1 *4604:X *4860:D 35.9182
*END
*D_NET *257 0.000737529
*CONN
*I *4861:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4609:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *4861:D 0.000115971
2 *4609:Y 0.000115971
3 *4861:D *4609:C1 0.000118166
4 *4861:D *4861:CLK 1.09551e-05
5 *4861:D *831:35 8.15039e-05
6 *4861:D *831:43 3.03484e-05
7 *4861:D *831:76 9.18618e-05
8 *4861:D *1015:21 0.000172752
*RES
1 *4609:Y *4861:D 24.2855
*END
*D_NET *258 0.0150804
*CONN
*I *4795:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4862:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4611:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4795:B2 0.00027724
2 *4862:D 0.000153793
3 *4611:X 0.00150713
4 *258:29 0.00108184
5 *258:21 0.00215794
6 *4795:B2 *4795:A2 6.35449e-05
7 *4795:B2 *4795:A3 8.10514e-06
8 *4795:B2 *4926:CLK 7.37762e-05
9 *4795:B2 *351:97 0
10 *4795:B2 *601:32 5.01835e-05
11 *4795:B2 *609:94 3.55968e-05
12 *4795:B2 *704:11 0.00011818
13 *4795:B2 *1004:39 0.00011818
14 *4862:D *4610:A 2.14842e-06
15 *4862:D *4862:CLK 0.000107496
16 *4862:D *4926:CLK 2.0456e-06
17 *4862:D *566:7 5.04829e-06
18 *4862:D *601:32 5.58459e-05
19 *4862:D *603:47 0.000394378
20 *4862:D *832:47 5.48015e-06
21 *258:21 *4298:A 0.000217951
22 *258:21 *4333:A 3.62797e-05
23 *258:21 *4547:A 0.000195436
24 *258:21 *4891:D 0.000406037
25 *258:21 *4908:D 9.80389e-05
26 *258:21 *350:98 0.000377196
27 *258:21 *512:23 0.000519074
28 *258:21 *518:37 0.000100006
29 *258:21 *518:42 0.000238642
30 *258:21 *566:19 0.00030751
31 *258:21 *806:19 2.17651e-05
32 *258:21 *1024:37 0.000614919
33 *258:29 *4653:B 3.33882e-05
34 *258:29 *513:37 2.77564e-05
35 *258:29 *513:48 4.44699e-05
36 *258:29 *603:31 0.00055371
37 *258:29 *806:19 0.00270338
38 *258:29 *972:8 7.67318e-05
39 *258:29 *1002:41 0.00206945
40 *248:19 *258:21 0.000220738
*RES
1 *4611:X *258:21 47.348
2 *258:21 *258:29 16.5069
3 *258:29 *4862:D 19.0281
4 *258:29 *4795:B2 21.6345
*END
*D_NET *259 0.00727741
*CONN
*I *4772:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4863:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4613:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4772:B2 0.000123612
2 *4863:D 0
3 *4613:X 0.000718745
4 *259:17 0.000842358
5 *4772:B2 *4772:A1 9.61294e-05
6 *4772:B2 *609:24 5.46889e-05
7 *4772:B2 *689:17 1.3822e-06
8 *4772:B2 *1026:49 1.87611e-05
9 *259:17 *4613:A 7.34948e-06
10 *259:17 *4916:D 0.00260689
11 *259:17 *346:62 1.5714e-05
12 *259:17 *348:18 1.5714e-05
13 *259:17 *638:19 1.80257e-05
14 *259:17 *679:17 7.44425e-06
15 *259:17 *686:13 0.00261001
16 *259:17 *689:17 3.11762e-05
17 *259:17 *1026:49 0.000109405
*RES
1 *4613:X *259:17 32.6446
2 *259:17 *4863:D 9.24915
3 *259:17 *4772:B2 21.5499
*END
*D_NET *260 0.00100353
*CONN
*I *4864:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4622:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4864:D 0.000363374
2 *4622:X 0.000363374
3 *4864:D *4622:A2 0.000158371
4 *4864:D *330:106 0
5 *4864:D *412:65 4.33819e-05
6 *4864:D *619:15 5.51738e-06
7 *4864:D *831:86 2.04854e-05
8 *4864:D *1000:14 1.94839e-05
9 *4864:D *1000:83 2.95452e-05
*RES
1 *4622:X *4864:D 34.0584
*END
*D_NET *261 0.000583639
*CONN
*I *4865:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4633:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4865:D 0.00010795
2 *4633:X 0.00010795
3 *4865:D *4633:C1 6.50586e-05
4 *4865:D *4670:A2 1.87611e-05
5 *4865:D *338:81 0.000143963
6 *4865:D *419:10 0.000136768
7 *4865:D *617:11 3.18826e-06
*RES
1 *4633:X *4865:D 31.1072
*END
*D_NET *262 0.00115634
*CONN
*I *4866:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4640:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4866:D 0.000234385
2 *4640:X 0.000234385
3 *4866:D *578:11 3.48985e-05
4 *4866:D *579:77 0.000145462
5 *4866:D *580:16 0.000145462
6 *4866:D *832:145 0.000309354
7 *4866:D *1004:23 5.23916e-05
*RES
1 *4640:X *4866:D 35.3753
*END
*D_NET *263 0.0013351
*CONN
*I *4867:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4646:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4867:D 0.000220794
2 *4646:X 0.000220794
3 *4867:D *4636:A 5.47093e-05
4 *4867:D *4648:B1 2.89604e-05
5 *4867:D *400:59 2.16355e-05
6 *4867:D *400:67 0.000110766
7 *4867:D *573:49 0.00011784
8 *4867:D *592:64 2.41274e-06
9 *4867:D *612:73 0.000154145
10 *4867:D *617:11 1.19726e-05
11 *4867:D *1046:44 0.000391075
*RES
1 *4646:X *4867:D 35.9969
*END
*D_NET *264 0.00178874
*CONN
*I *4868:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4655:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4868:D 0.000302894
2 *4655:X 0.000302894
3 *4868:D *4649:B 9.46352e-05
4 *4868:D *4869:CLK 0.000655382
5 *4868:D *5098:A 3.31745e-05
6 *4868:D *601:62 9.46352e-05
7 *4868:D *602:10 0.000305128
*RES
1 *4655:X *4868:D 37.8724
*END
*D_NET *265 0.000884234
*CONN
*I *4869:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4661:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4869:D 5.73858e-05
2 *4661:X 5.73858e-05
3 *4869:D *576:31 0.000369893
4 *4869:D *1005:9 0.000399569
*RES
1 *4661:X *4869:D 22.917
*END
*D_NET *266 0.000425464
*CONN
*I *4870:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4669:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4870:D 9.83472e-05
2 *4669:X 9.83472e-05
3 *4870:D *4671:A 9.82494e-05
4 *4870:D *335:46 9.82494e-05
5 *4870:D *776:8 0
6 *4870:D *1006:8 3.22707e-05
*RES
1 *4669:X *4870:D 30.4214
*END
*D_NET *267 0.00219441
*CONN
*I *4871:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4674:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *4871:D 0.000439507
2 *4674:Y 0.000439507
3 *4871:D *4403:B1 2.62421e-05
4 *4871:D *4404:A 0.000116986
5 *4871:D *4464:A1 4.95311e-05
6 *4871:D *324:14 0
7 *4871:D *330:106 8.19494e-05
8 *4871:D *338:96 0.000311261
9 *4871:D *345:19 0.000260374
10 *4871:D *345:33 0
11 *4871:D *412:65 1.06967e-05
12 *4871:D *433:7 4.73518e-05
13 *4871:D *619:15 0
14 *4871:D *1000:9 0.000411006
*RES
1 *4674:Y *4871:D 42.0919
*END
*D_NET *268 0.00466033
*CONN
*I *4872:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4677:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4872:D 0
2 *4677:X 0.00138182
3 *268:17 0.00138182
4 *268:17 *4677:A 0.00130014
5 *268:17 *4691:A 0.000387357
6 *268:17 *413:9 0.000102978
7 *268:17 *434:17 9.54357e-06
8 *268:17 *457:28 4.02027e-06
9 *268:17 *457:34 2.00606e-05
10 *268:17 *623:24 0
11 *268:17 *826:13 7.25778e-05
*RES
1 *4677:X *268:17 44.2413
2 *268:17 *4872:D 9.24915
*END
*D_NET *269 0.0052524
*CONN
*I *4701:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4873:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4679:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4701:A2 0.000487228
2 *4873:D 0
3 *4679:X 0.000532628
4 *269:9 0.00101986
5 *4701:A2 *4701:A3 6.50727e-05
6 *4701:A2 *4845:CLK 6.50586e-05
7 *4701:A2 *332:41 7.09666e-06
8 *4701:A2 *341:70 0.000165143
9 *4701:A2 *442:38 0.000114773
10 *4701:A2 *633:15 2.93651e-05
11 *4701:A2 *996:8 0.000352983
12 *269:9 *4679:A 0.000679147
13 *269:9 *4689:A 0.000208658
14 *269:9 *4689:B 0.000311235
15 *269:9 *4690:A 0.000271608
16 *269:9 *4696:C 0.000460421
17 *269:9 *633:15 0.000393076
18 *269:9 *996:17 8.90486e-05
*RES
1 *4679:X *269:9 31.8734
2 *269:9 *4873:D 9.24915
3 *269:9 *4701:A2 33.2673
*END
*D_NET *270 0.0010327
*CONN
*I *4874:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4682:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4874:D 0.00023081
2 *4682:X 0.00023081
3 *4874:D *4683:A 0.000137511
4 *4874:D *341:72 0.000414812
5 *4874:D *825:55 1.87611e-05
6 *4874:D *891:8 0
*RES
1 *4682:X *4874:D 35.3669
*END
*D_NET *271 0.00285467
*CONN
*I *4875:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4684:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4875:D 0.000984529
2 *4684:X 0.000984529
3 *4875:D *4358:A 0
4 *4875:D *4502:B 5.56461e-05
5 *4875:D *4683:B 0.000615369
6 *4875:D *4850:CLK 0.000106635
7 *4875:D *360:12 0
8 *4875:D *819:9 0.000107959
9 *4875:D *993:18 0
*RES
1 *4684:X *4875:D 45.3521
*END
*D_NET *272 0.000844558
*CONN
*I *4876:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4686:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4876:D 0.000279055
2 *4686:X 0.000279055
3 *4876:D *4681:B 0
4 *4876:D *4683:B 0
5 *4876:D *4686:A 3.34802e-05
6 *4876:D *4876:CLK 1.41531e-05
7 *4876:D *475:22 4.09467e-05
8 *4876:D *825:47 0.000196653
9 *4876:D *995:8 1.21461e-06
*RES
1 *4686:X *4876:D 35.0938
*END
*D_NET *273 0.00158461
*CONN
*I *4877:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4688:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4877:D 0.000425074
2 *4688:X 0.000425074
3 *4877:D *4687:A 0.000571034
4 *4877:D *4688:A 6.04131e-05
5 *4877:D *4877:CLK 4.17399e-05
6 *4877:D *442:41 6.12744e-05
*RES
1 *4688:X *4877:D 31.1336
*END
*D_NET *274 0.00257212
*CONN
*I *4878:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4690:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4878:D 0.000968086
2 *4690:X 0.000968086
3 *4878:D *4504:B 7.97098e-06
4 *4878:D *4680:A 7.47979e-05
5 *4878:D *4689:B 0.000141924
6 *4878:D *4690:A 8.50305e-05
7 *4878:D *344:69 5.09188e-05
8 *4878:D *477:19 0.000217937
9 *4878:D *624:7 4.27442e-06
10 *4878:D *825:16 5.30967e-05
*RES
1 *4690:X *4878:D 44.6908
*END
*D_NET *275 0.0045431
*CONN
*I *4879:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4693:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4879:D 0
2 *4693:X 0.00173844
3 *275:11 0.00173844
4 *275:11 *4504:A 7.02539e-05
5 *275:11 *4548:A 6.85963e-05
6 *275:11 *4699:A 0.000113025
7 *275:11 *4884:CLK 3.82228e-05
8 *275:11 *4884:D 0.000229431
9 *275:11 *344:69 0
10 *275:11 *413:23 3.16547e-06
11 *275:11 *457:46 8.91782e-05
12 *275:11 *621:7 8.84929e-06
13 *275:11 *623:24 8.72256e-06
14 *275:11 *825:16 0
15 *275:11 *825:18 0
16 *275:11 *825:27 2.57071e-05
17 *275:11 *826:13 0.000176749
18 *275:11 *891:8 0
19 *275:11 *995:23 0.000234309
*RES
1 *4693:X *275:11 49.8446
2 *275:11 *4879:D 9.24915
*END
*D_NET *276 0.0220027
*CONN
*I *4818:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4880:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4695:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4818:B2 0.000306615
2 *4880:D 1.09476e-05
3 *4695:X 0.00299648
4 *276:26 0.00331404
5 *4818:B2 *4615:A_N 0.000228466
6 *4818:B2 *4615:B 1.41291e-05
7 *4818:B2 *4818:B1 3.20069e-06
8 *4818:B2 *338:77 0.000135406
9 *4818:B2 *569:10 5.04829e-06
10 *4818:B2 *573:15 0.00023418
11 *4818:B2 *598:34 1.89836e-05
12 *4818:B2 *952:25 0.000187026
13 *4818:B2 *954:12 2.073e-05
14 *4818:B2 *1004:23 0.000466387
15 *4880:D *573:15 4.5128e-05
16 *4880:D *603:47 4.5128e-05
17 *276:26 *4333:A 0.000621269
18 *276:26 *4615:A_N 0.000116788
19 *276:26 *4617:A 0.000198877
20 *276:26 *4638:A 0.000169872
21 *276:26 *4638:B 6.50586e-05
22 *276:26 *4653:A 2.41483e-05
23 *276:26 *4653:B 5.76913e-05
24 *276:26 *4695:A 0.000260374
25 *276:26 *4738:A 4.69495e-06
26 *276:26 *4880:CLK 0
27 *276:26 *314:32 0.000982157
28 *276:26 *328:19 2.33103e-06
29 *276:26 *332:12 6.55666e-06
30 *276:26 *332:25 7.13295e-05
31 *276:26 *334:31 7.61596e-06
32 *276:26 *512:23 0.000891396
33 *276:26 *573:15 2.69685e-05
34 *276:26 *579:49 2.39139e-05
35 *276:26 *590:26 0.00477717
36 *276:26 *603:31 0.000418017
37 *276:26 *608:40 0.000410612
38 *276:26 *643:19 0.000147849
39 *276:26 *718:45 0.00351203
40 *276:26 *806:19 0.000383066
41 *276:26 *832:47 0.000279299
42 *276:26 *917:24 0
43 *276:26 *954:22 1.5714e-05
44 *276:26 *1002:41 0.000435658
45 *276:26 *1004:67 6.03122e-05
*RES
1 *4695:X *276:26 43.3829
2 *276:26 *4880:D 14.2857
3 *276:26 *4818:B2 35.3106
*END
*D_NET *277 0.000702121
*CONN
*I *4881:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4701:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4881:D 0.000141269
2 *4701:X 0.000141269
3 *4881:D *4504:A 5.73392e-05
4 *4881:D *4845:CLK 3.22707e-05
5 *4881:D *341:70 0
6 *4881:D *490:16 0.000238197
7 *4881:D *1016:54 9.17771e-05
*RES
1 *4701:X *4881:D 32.0852
*END
*D_NET *278 0.00396442
*CONN
*I *4882:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4703:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4882:D 0.000833563
2 *4703:X 0.000833563
3 *4882:D *4377:A 6.08467e-05
4 *4882:D *4575:A 6.08467e-05
5 *4882:D *4628:A 0.000253916
6 *4882:D *4630:A 0.000107496
7 *4882:D *4668:B 7.65348e-05
8 *4882:D *4703:A 0.000118792
9 *4882:D *4882:CLK 0.000146934
10 *4882:D *346:70 0.000111708
11 *4882:D *378:20 0.00050655
12 *4882:D *535:41 6.08467e-05
13 *4882:D *537:17 0.000200794
14 *4882:D *612:15 0.00059203
*RES
1 *4703:X *4882:D 44.5707
*END
*D_NET *279 0.00142011
*CONN
*I *4883:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4705:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4883:D 0.00026687
2 *4705:X 0.00026687
3 *4883:D *4449:A 0.000316287
4 *4883:D *4449:B 3.58208e-05
5 *4883:D *4550:A 0.000107496
6 *4883:D *344:66 2.27135e-05
7 *4883:D *402:14 4.67385e-05
8 *4883:D *565:17 0.000118277
9 *249:25 *4883:D 0.000239038
*RES
1 *4705:X *4883:D 37.7511
*END
*D_NET *280 0.00199414
*CONN
*I *4884:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4707:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4884:D 0.000567807
2 *4707:X 0.000567807
3 *4884:D *4884:CLK 0.000111708
4 *4884:D *330:16 0.000127194
5 *4884:D *561:10 0
6 *4884:D *621:7 0.000390194
7 *275:11 *4884:D 0.000229431
*RES
1 *4707:X *4884:D 43.8518
*END
*D_NET *281 0.00424052
*CONN
*I *4885:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4709:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4885:D 0
2 *4709:X 0.000951894
3 *281:11 0.000951894
4 *281:11 *4705:A 5.4225e-05
5 *281:11 *4885:CLK 0.000164843
6 *281:11 *5148:A 0.000172308
7 *281:11 *433:45 0.000703831
8 *281:11 *438:19 0.000525651
9 *281:11 *621:39 4.42121e-05
10 *281:11 *630:30 0.000117341
11 *281:11 *640:13 3.34025e-05
12 *281:11 *826:6 2.55661e-06
13 *281:11 *826:111 0.000171273
14 *281:11 *983:11 6.22259e-05
15 *223:9 *281:11 3.0418e-05
16 *226:15 *281:11 0.000254445
*RES
1 *4709:X *281:11 44.971
2 *281:11 *4885:D 9.24915
*END
*D_NET *282 0.00300398
*CONN
*I *4886:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4711:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4886:D 0.000879095
2 *4711:X 0.000879095
3 *4886:D *4579:A 0.000111708
4 *4886:D *4715:A 0.000113968
5 *4886:D *4719:A 0.000107496
6 *4886:D *4886:CLK 0.000151038
7 *4886:D *283:15 1.19856e-05
8 *4886:D *285:14 7.02172e-06
9 *4886:D *382:23 6.50727e-05
10 *4886:D *402:14 6.08467e-05
11 *4886:D *561:10 0.000104731
12 *4886:D *580:60 0.000101133
13 *4886:D *902:11 0.000410789
*RES
1 *4711:X *4886:D 49.3442
*END
*D_NET *283 0.0050949
*CONN
*I *4887:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4715:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4887:D 0
2 *4715:X 0.000500737
3 *283:15 0.000500737
4 *283:15 *4621:A2 7.09666e-06
5 *283:15 *285:14 0.00154439
6 *283:15 *520:26 2.57465e-05
7 *283:15 *575:5 0.00037664
8 *283:15 *621:39 8.85216e-05
9 *283:15 *902:11 0.0014848
10 *283:15 *1000:55 0.000554243
11 *4886:D *283:15 1.19856e-05
*RES
1 *4715:X *283:15 45.1642
2 *283:15 *4887:D 9.24915
*END
*D_NET *284 0.00953514
*CONN
*I *4888:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4717:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4888:D 0
2 *4717:X 0.00125297
3 *284:17 0.00125297
4 *284:17 *4458:B 5.97576e-05
5 *284:17 *4558:B1 0.000170139
6 *284:17 *4608:A 0.000221541
7 *284:17 *4673:A 0.000307037
8 *284:17 *4715:A 8.181e-05
9 *284:17 *4717:A 1.62206e-05
10 *284:17 *4719:A 5.54963e-05
11 *284:17 *4854:CLK 1.28732e-05
12 *284:17 *402:14 4.39898e-05
13 *284:17 *647:26 0.000203185
14 *284:17 *696:20 6.14756e-06
15 *284:17 *818:33 0.000112059
16 *284:17 *988:11 8.97416e-05
17 *284:17 *1001:78 5.36085e-05
18 *284:17 *1010:16 0.00270343
19 *284:17 *1015:27 0.00272734
20 *229:20 *284:17 0.000164829
*RES
1 *4717:X *284:17 46.1618
2 *284:17 *4888:D 9.24915
*END
*D_NET *285 0.00706191
*CONN
*I *4889:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4719:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4889:D 0.000150971
2 *4719:X 0.00163269
3 *285:14 0.00178366
4 *4889:D *4711:A 0.000360159
5 *4889:D *522:13 0.000499295
6 *4889:D *645:20 0.000265296
7 *4889:D *829:53 0.000408915
8 *4889:D *1001:78 0.000119034
9 *285:14 *4886:CLK 3.24105e-05
10 *285:14 *4887:CLK 1.05272e-06
11 *285:14 *401:17 3.88213e-05
12 *285:14 *535:41 0
13 *285:14 *621:39 5.68326e-05
14 *285:14 *818:33 2.51591e-05
15 *285:14 *902:11 0.000136197
16 *4886:D *285:14 7.02172e-06
17 *283:15 *285:14 0.00154439
*RES
1 *4719:X *285:14 46.5233
2 *285:14 *4889:D 19.2139
*END
*D_NET *286 0.00152454
*CONN
*I *4890:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4725:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4890:D 0.000383495
2 *4725:X 0.000383495
3 *4890:D *4449:B 0.000128153
4 *4890:D *4853:CLK 9.12416e-06
5 *4890:D *433:45 0.000464113
6 *4890:D *826:48 0.000131615
7 *227:19 *4890:D 3.18826e-06
8 *249:25 *4890:D 2.13584e-05
*RES
1 *4725:X *4890:D 35.5441
*END
*D_NET *287 0.00726872
*CONN
*I *4891:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4727:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4891:D 0.000962682
2 *4727:X 0.00135418
3 *287:10 0.00231686
4 *4891:D *4547:A 7.12198e-05
5 *4891:D *4554:A 3.65422e-06
6 *4891:D *4613:A 2.16915e-05
7 *4891:D *4756:A 6.39153e-06
8 *4891:D *4756:B 7.36639e-05
9 *4891:D *346:62 0
10 *4891:D *512:23 0.000274248
11 *4891:D *676:32 0
12 *4891:D *699:51 0
13 *4891:D *742:36 7.18816e-06
14 *4891:D *806:19 7.70947e-05
15 *4891:D *830:76 1.02264e-05
16 *287:10 *4346:A 0.000381305
17 *287:10 *4776:A 0.000951724
18 *287:10 *308:27 0.000115934
19 *287:10 *319:28 6.99929e-05
20 *287:10 *331:68 8.05411e-05
21 *287:10 *669:22 2.6958e-05
22 *287:10 *688:41 1.51956e-05
23 *287:10 *807:17 0
24 *248:19 *4891:D 4.19379e-05
25 *258:21 *4891:D 0.000406037
*RES
1 *4727:X *287:10 37.9905
2 *287:10 *4891:D 33.923
*END
*D_NET *288 0.00603926
*CONN
*I *4892:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4729:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4892:D 8.45077e-05
2 *4729:X 0.00151848
3 *288:14 0.00160298
4 *4892:D *527:30 0.000491806
5 *4892:D *654:17 0.000512826
6 *288:14 *4400:A 1.65872e-05
7 *288:14 *4569:B 4.79321e-06
8 *288:14 *4597:A 0.000868802
9 *288:14 *4597:B 3.14978e-05
10 *288:14 *4599:A 4.89898e-06
11 *288:14 *400:20 0.00054454
12 *288:14 *457:46 0.000113916
13 *288:14 *582:35 8.5449e-05
14 *288:14 *621:39 4.64665e-05
15 *288:14 *980:8 0.000111708
*RES
1 *4729:X *288:14 49.5581
2 *288:14 *4892:D 15.3558
*END
*D_NET *289 0.00668118
*CONN
*I *4893:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4731:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4893:D 0
2 *4731:X 0.00107344
3 *289:21 0.00107344
4 *289:21 *4581:A1 3.73224e-05
5 *289:21 *4582:A 0.000100807
6 *289:21 *4589:B 2.83934e-05
7 *289:21 *4641:B 0
8 *289:21 *4664:B 0.000409397
9 *289:21 *4892:CLK 4.06085e-06
10 *289:21 *4893:CLK 2.65757e-05
11 *289:21 *532:19 0.00134254
12 *289:21 *537:35 0.000161243
13 *289:21 *542:31 6.84193e-05
14 *289:21 *549:23 1.19005e-05
15 *289:21 *561:10 0.000103778
16 *289:21 *576:65 6.29237e-05
17 *289:21 *583:20 0.000403458
18 *289:21 *583:52 5.84153e-05
19 *289:21 *610:14 0.000125695
20 *289:21 *615:36 2.50646e-05
21 *289:21 *616:7 0.000159939
22 *289:21 *616:30 7.15768e-06
23 *289:21 *696:45 3.38973e-05
24 *289:21 *1013:29 0.00136332
*RES
1 *4731:X *289:21 48.3273
2 *289:21 *4893:D 9.24915
*END
*D_NET *290 0.00502339
*CONN
*I *4894:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4734:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4894:D 0
2 *4734:X 0.000750571
3 *290:23 0.000750571
4 *290:23 *4641:A 6.50586e-05
5 *290:23 *4641:B 0.000111722
6 *290:23 *4737:A 4.39233e-05
7 *290:23 *4738:A 6.50586e-05
8 *290:23 *4894:CLK 0.000211478
9 *290:23 *4895:CLK 5.5038e-05
10 *290:23 *4896:CLK 4.7372e-05
11 *290:23 *292:15 9.82494e-05
12 *290:23 *587:66 0.000115813
13 *290:23 *592:18 6.92705e-05
14 *290:23 *643:19 0.000453443
15 *290:23 *656:17 0.000404073
16 *290:23 *656:37 0.000360145
17 *290:23 *656:52 0.000309968
18 *290:23 *658:11 0.000904559
19 *290:23 *1005:54 0.000190278
20 *248:19 *290:23 1.67988e-05
*RES
1 *4734:X *290:23 42.1938
2 *290:23 *4894:D 9.24915
*END
*D_NET *291 0.00392465
*CONN
*I *4895:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4736:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4895:D 6.78461e-05
2 *4736:X 0.000540031
3 *291:22 0.000607877
4 *4895:D *4617:A 6.08467e-05
5 *4895:D *571:21 0.000199244
6 *4895:D *1024:37 0.000278374
7 *291:22 *4617:A 2.43314e-05
8 *291:22 *4650:A 6.50586e-05
9 *291:22 *4653:A 0.000122378
10 *291:22 *4695:A 1.5714e-05
11 *291:22 *4703:A 3.90891e-05
12 *291:22 *4797:A 0.00103729
13 *291:22 *314:14 9.71278e-05
14 *291:22 *328:19 1.74351e-05
15 *291:22 *330:14 1.9101e-05
16 *291:22 *587:66 8.41511e-06
17 *291:22 *600:17 1.19856e-05
18 *291:22 *603:31 0.000356962
19 *291:22 *643:19 0.000355542
*RES
1 *4736:X *291:22 49.7602
2 *291:22 *4895:D 12.5587
*END
*D_NET *292 0.00289243
*CONN
*I *4896:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4738:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4896:D 0
2 *4738:X 0.000615785
3 *292:15 0.000615785
4 *292:15 *4737:A 0.000102447
5 *292:15 *344:59 0.000218455
6 *292:15 *348:18 0.000157562
7 *292:15 *348:20 5.42724e-05
8 *292:15 *573:112 4.42142e-05
9 *292:15 *608:40 8.91584e-05
10 *292:15 *638:19 0.000154145
11 *292:15 *689:31 0.000158357
12 *292:15 *824:25 0.000477298
13 *292:15 *830:85 0.000106696
14 *290:23 *292:15 9.82494e-05
*RES
1 *4738:X *292:15 45.0487
2 *292:15 *4896:D 9.24915
*END
*D_NET *293 0.00291579
*CONN
*I *4897:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4740:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4897:D 0.000532754
2 *4740:X 0.000532754
3 *4897:D *4742:A 0.000512342
4 *4897:D *4898:CLK 0.000164843
5 *4897:D *5151:A 0.000164829
6 *4897:D *523:44 6.63616e-05
7 *4897:D *557:17 0.00038965
8 *4897:D *696:62 0.000163958
9 *4897:D *829:19 0.000203001
10 *4897:D *829:37 0.000185292
*RES
1 *4740:X *4897:D 40.7367
*END
*D_NET *294 0.00421618
*CONN
*I *4898:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4742:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4898:D 0.000878038
2 *4742:X 0.000878038
3 *4898:D *4567:B 0.00030685
4 *4898:D *4569:B 0.000191548
5 *4898:D *4599:A 1.09893e-05
6 *4898:D *4713:A 5.76707e-05
7 *4898:D *4742:A 0.000572381
8 *4898:D *4898:CLK 0.000101418
9 *4898:D *344:66 3.29488e-05
10 *4898:D *400:20 0.000107174
11 *4898:D *582:42 0.000648475
12 *4898:D *588:29 0.00031199
13 *4898:D *644:9 0.000118657
14 *4898:D *855:14 0
*RES
1 *4742:X *4898:D 47.474
*END
*D_NET *295 0.00147283
*CONN
*I *4899:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4748:X O *D sky130_fd_sc_hd__a41o_1
*CAP
1 *4899:D 0.000213575
2 *4748:X 0.000213575
3 *4899:D *4378:A 6.83768e-05
4 *4899:D *4733:A 0.000266707
5 *4899:D *4748:A1 1.52352e-05
6 *4899:D *4748:A4 0.000114523
7 *4899:D *4748:B1 0.000113691
8 *4899:D *4899:CLK 0.000136095
9 *4899:D *529:26 0.00016352
10 *4899:D *823:48 6.50586e-05
11 *4899:D *1012:33 0.000102472
*RES
1 *4748:X *4899:D 28.7705
*END
*D_NET *296 0.00415893
*CONN
*I *4900:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4750:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4900:D 0
2 *4750:X 0.000652622
3 *296:13 0.000652622
4 *296:13 *5069:A 3.99086e-06
5 *296:13 *307:22 0.000691009
6 *296:13 *307:32 1.87611e-05
7 *296:13 *336:38 0.000110505
8 *296:13 *667:13 0.000193536
9 *296:13 *683:15 5.68237e-06
10 *296:13 *698:35 9.80747e-05
11 *296:13 *824:32 0.000998931
12 *296:13 *858:22 0.000167306
13 *296:13 *945:17 0.000557492
14 io_oeb[23] *296:13 8.39941e-06
*RES
1 *4750:X *296:13 43.5117
2 *296:13 *4900:D 9.24915
*END
*D_NET *297 0.00423197
*CONN
*I *4901:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4753:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4901:D 0.00113304
2 *4753:X 0.00113304
3 *4901:D *4761:A 0.00152705
4 *4901:D *331:68 0.000277702
5 *4901:D *701:30 0.000161132
*RES
1 *4753:X *4901:D 39.8144
*END
*D_NET *298 0.00267936
*CONN
*I *4902:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4755:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4902:D 0.000327086
2 *4755:X 0.000327086
3 *4902:D *4738:A 0.000247443
4 *4902:D *4902:CLK 0.000160617
5 *4902:D *300:15 8.90311e-06
6 *4902:D *311:15 7.77309e-06
7 *4902:D *330:14 0.000156593
8 *4902:D *336:76 8.29171e-05
9 *4902:D *513:73 0.00019404
10 *4902:D *600:49 0.000112224
11 *4902:D *607:65 0.000666561
12 *4902:D *672:19 7.25925e-05
13 *4902:D *698:17 0.000315527
*RES
1 *4755:X *4902:D 42.7592
*END
*D_NET *299 0.00449022
*CONN
*I *4903:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4757:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4903:D 0.00125017
2 *4757:X 0.00125017
3 *4903:D *4757:A 0.00147815
4 *4903:D *4812:A 7.68538e-06
5 *4903:D *668:27 0.000100285
6 *4903:D *676:32 0.000297565
7 *4903:D *699:51 2.39581e-05
8 *4903:D *742:36 1.71784e-05
9 *4903:D *833:15 6.50586e-05
*RES
1 *4757:X *4903:D 41.9665
*END
*D_NET *300 0.0045196
*CONN
*I *4904:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4759:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4904:D 0
2 *4759:X 0.00144827
3 *300:15 0.00144827
4 *300:15 *4346:A 4.31703e-05
5 *300:15 *4904:CLK 6.77992e-05
6 *300:15 *330:45 0.000111722
7 *300:15 *336:76 5.04829e-06
8 *300:15 *344:59 5.99979e-05
9 *300:15 *346:53 0.000651581
10 *300:15 *346:62 0.000171288
11 *300:15 *670:18 4.15661e-05
12 *300:15 *672:19 0.000120828
13 *300:15 *675:36 1.53438e-05
14 *300:15 *699:38 0.000111708
15 *300:15 *824:25 0.000214104
16 *4902:D *300:15 8.90311e-06
*RES
1 *4759:X *300:15 43.9845
2 *300:15 *4904:D 9.24915
*END
*D_NET *301 0.00824408
*CONN
*I *4905:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4761:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4905:D 5.56854e-06
2 *4761:X 0.000904814
3 *301:16 0.000910383
4 *4905:D *687:36 7.37172e-06
5 *4905:D *700:35 2.43387e-05
6 *301:16 *302:23 0.00179616
7 *301:16 *310:24 0.00143698
8 *301:16 *331:68 0.000378831
9 *301:16 *341:25 3.88213e-05
10 *301:16 *687:36 0.000754687
11 *301:16 *700:49 0.00190445
12 *301:16 *882:14 3.92985e-05
13 *301:16 *968:8 4.23622e-05
*RES
1 *4761:X *301:16 49.9197
2 *301:16 *4905:D 9.5385
*END
*D_NET *302 0.00932032
*CONN
*I *4906:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4764:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4906:D 0.000362276
2 *4764:X 0.000949473
3 *302:23 0.00131175
4 *4906:D *315:19 0.000537495
5 *4906:D *316:26 0.000420258
6 *4906:D *346:53 0.00066142
7 *4906:D *687:36 2.86215e-05
8 *4906:D *830:158 0.000107329
9 *4906:D *830:162 0.000149096
10 *4906:D *965:10 0
11 *302:23 *4753:A 0.000111708
12 *302:23 *4761:A 0.000547483
13 *302:23 *4799:A 0.000129558
14 *302:23 *4900:CLK 3.20069e-06
15 *302:23 *331:68 7.65608e-05
16 *302:23 *338:50 0.000404533
17 *302:23 *621:78 0
18 *302:23 *669:22 2.1203e-06
19 *302:23 *687:36 0.000837977
20 *302:23 *700:49 3.58208e-05
21 *302:23 *807:17 9.17372e-06
22 *302:23 *858:13 1.55995e-05
23 *302:23 *882:14 0.000822704
24 *301:16 *302:23 0.00179616
*RES
1 *4764:X *302:23 48.0567
2 *302:23 *4906:D 32.0735
*END
*D_NET *303 0.0126851
*CONN
*I *4907:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4766:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4907:D 0
2 *4766:X 0.00156452
3 *303:19 0.00156452
4 *303:19 *4765:B 2.01428e-05
5 *303:19 *4812:A 0.00350529
6 *303:19 *315:19 0.0036374
7 *303:19 *316:26 0.000138907
8 *303:19 *320:52 7.08433e-05
9 *303:19 *346:40 0.000974696
10 *303:19 *675:20 4.58003e-05
11 *303:19 *687:14 1.26614e-05
12 *303:19 *703:15 4.20184e-06
13 *303:19 *742:36 0.000528668
14 *303:19 *830:162 0.000393863
15 *303:19 *830:192 6.08467e-05
16 *303:19 *830:203 0.000136182
17 *303:19 *882:14 2.66133e-05
*RES
1 *4766:X *303:19 40.9922
2 *303:19 *4907:D 9.24915
*END
*D_NET *304 0.00149682
*CONN
*I *4908:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4772:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4908:D 0.000429977
2 *4772:X 0.000429977
3 *4908:D *4298:A 1.27831e-06
4 *4908:D *4772:A3 6.08467e-05
5 *4908:D *4863:CLK 9.66809e-05
6 *4908:D *4908:CLK 3.55027e-05
7 *4908:D *341:56 1.56949e-05
8 *4908:D *518:42 9.82494e-05
9 *4908:D *679:17 8.44495e-06
10 *4908:D *782:19 0.000222132
11 *4908:D *1024:26 0
12 *258:21 *4908:D 9.80389e-05
*RES
1 *4772:X *4908:D 37.1782
*END
*D_NET *305 0.00911499
*CONN
*I *4909:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4774:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4909:D 0.000386436
2 *4774:X 0.000153427
3 *305:24 0.00274382
4 *305:8 0.00251081
5 *4909:D *320:52 1.87611e-05
6 *4909:D *341:25 4.96403e-05
7 *4909:D *683:15 5.68237e-06
8 *4909:D *698:46 1.34901e-05
9 *4909:D *767:21 0.000211464
10 *305:8 *340:53 5.7706e-05
11 *305:8 *703:15 0.000241266
12 *305:8 *860:10 1.79024e-05
13 *305:24 *306:16 0.00212631
14 *305:24 *329:69 1.82632e-05
15 *305:24 *341:25 2.47892e-05
16 *305:24 *674:16 0.000264392
17 *305:24 *883:9 0.000227875
18 la1_data_out[14] *305:24 7.366e-06
19 *3:16 *4909:D 3.55968e-05
*RES
1 *4774:X *305:8 22.9879
2 *305:8 *305:24 47.0822
3 *305:24 *4909:D 29.6829
*END
*D_NET *306 0.0113011
*CONN
*I *4910:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4776:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4910:D 0.000143983
2 *4776:X 0.000788469
3 *306:16 0.000900266
4 *306:10 0.00154475
5 *4910:D *5120:TE_B 0.000695964
6 *4910:D *336:25 0.000457655
7 *4910:D *337:95 4.41295e-05
8 *306:10 *344:41 1.67675e-05
9 *306:10 *345:58 0.000137472
10 *306:10 *513:73 0.000537546
11 *306:10 *592:30 2.94061e-05
12 *306:10 *653:11 0.000207839
13 *306:10 *945:17 0.000561665
14 *306:16 *319:36 0.000184392
15 *306:16 *324:103 7.60356e-05
16 *306:16 *698:46 2.57465e-05
17 *306:16 *883:9 0.0027421
18 *306:16 *908:15 1.91246e-05
19 *3:16 *306:16 6.14506e-05
20 *305:24 *306:16 0.00212631
*RES
1 *4776:X *306:10 36.3166
2 *306:10 *306:16 45.1019
3 *306:16 *4910:D 17.0618
*END
*D_NET *307 0.0140304
*CONN
*I *4911:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4778:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4911:D 0.000571124
2 *4778:X 0.000853766
3 *307:32 0.00130174
4 *307:22 0.00158438
5 *4911:D *4911:CLK 1.67271e-05
6 *4911:D *5154:A 5.0553e-05
7 *4911:D *336:25 0.00015776
8 *4911:D *338:28 0.00118146
9 *4911:D *690:19 0
10 *4911:D *743:11 2.27118e-06
11 *4911:D *824:32 0.000160617
12 *4911:D *906:14 3.46213e-05
13 *4911:D *908:15 0.00136992
14 *307:22 *4778:A 0.000437845
15 *307:22 *326:99 0.000207883
16 *307:22 *344:59 2.86293e-05
17 *307:22 *347:22 5.01835e-05
18 *307:22 *592:30 5.36085e-05
19 *307:22 *653:11 5.01835e-05
20 *307:22 *703:15 5.4678e-05
21 *307:22 *824:25 7.60356e-05
22 *307:22 *870:13 0.000435838
23 *307:32 *4910:CLK 5.46889e-05
24 *307:32 *5054:A 0.00036437
25 *307:32 *5089:TE_B 5.74614e-05
26 *307:32 *308:33 0.00168212
27 *307:32 *320:52 7.09666e-06
28 *307:32 *328:75 6.50727e-05
29 *307:32 *336:25 0.000164815
30 *307:32 *513:60 5.01835e-05
31 *307:32 *683:15 2.29191e-05
32 *307:32 *697:27 0.00051358
33 *307:32 *698:35 0.000573004
34 *307:32 *698:46 0.000350367
35 *307:32 *767:21 0.000711909
36 *307:32 *824:32 1.96579e-05
37 *307:32 *858:22 3.60933e-06
38 *296:13 *307:22 0.000691009
39 *296:13 *307:32 1.87611e-05
*RES
1 *4778:X *307:22 49.1427
2 *307:22 *307:32 48.073
3 *307:32 *4911:D 29.0523
*END
*D_NET *308 0.0118455
*CONN
*I *4912:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4780:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4912:D 0
2 *4780:X 0.000804264
3 *308:33 0.00221478
4 *308:27 0.00301904
5 *308:27 *4228:A 6.08467e-05
6 *308:27 *4776:A 0.000865117
7 *308:27 *319:14 9.09267e-05
8 *308:27 *328:9 3.04819e-05
9 *308:27 *513:73 1.69923e-05
10 *308:27 *592:30 1.69923e-05
11 *308:27 *698:35 1.41976e-05
12 *308:27 *703:15 1.78358e-05
13 *308:27 *945:17 0.000396879
14 *308:33 *4910:CLK 0.00106507
15 *308:33 *320:52 0.00064298
16 *308:33 *697:27 1.37531e-05
17 *308:33 *698:35 7.68538e-06
18 *308:33 *830:213 0.00015759
19 *308:33 *955:22 0.000612013
20 *287:10 *308:27 0.000115934
21 *307:32 *308:33 0.00168212
*RES
1 *4780:X *308:27 48.3498
2 *308:27 *308:33 43.9885
3 *308:33 *4912:D 9.24915
*END
*D_NET *309 0.0141507
*CONN
*I *4913:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4783:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4913:D 0
2 *4783:X 0.00145005
3 *309:15 0.00145005
4 *309:15 *4777:B 0.000275256
5 *309:15 *4921:D 0.00483961
6 *309:15 *310:24 7.95153e-05
7 *309:15 *318:23 1.00981e-05
8 *309:15 *340:77 0.000714824
9 *309:15 *347:49 1.25032e-05
10 *309:15 *674:33 4.12977e-05
11 *309:15 *688:41 0.00186784
12 *309:15 *699:16 7.52574e-06
13 *309:15 *700:35 0.000592638
14 *309:15 *700:49 1.91391e-05
15 *309:15 *701:22 0.000698867
16 *309:15 *807:17 0.00207737
17 *309:15 *956:21 1.41689e-05
*RES
1 *4783:X *309:15 44.5688
2 *309:15 *4913:D 9.24915
*END
*D_NET *310 0.0153215
*CONN
*I *4914:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4785:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4914:D 0.000293404
2 *4785:X 0.00200429
3 *310:24 0.00229769
4 *4914:D *331:76 1.87611e-05
5 *4914:D *346:40 0.000202488
6 *4914:D *742:36 0.000843801
7 *4914:D *882:14 0.000231721
8 *4914:D *971:19 3.13593e-05
9 *310:24 *4765:B 0.000106281
10 *310:24 *4766:A 0.000398089
11 *310:24 *4785:A 1.5962e-05
12 *310:24 *331:15 0.000162663
13 *310:24 *331:68 0.000500941
14 *310:24 *338:50 0.000852849
15 *310:24 *340:77 0.000770046
16 *310:24 *513:73 2.57465e-06
17 *310:24 *592:18 3.37554e-05
18 *310:24 *592:30 3.08236e-05
19 *310:24 *669:22 0.000104115
20 *310:24 *674:33 4.69495e-06
21 *310:24 *675:20 6.22259e-05
22 *310:24 *675:36 0.000167879
23 *310:24 *687:36 0.000108236
24 *310:24 *688:41 0.00124238
25 *310:24 *699:16 3.77755e-05
26 *310:24 *782:19 0.00110882
27 *310:24 *807:17 0.00205112
28 *310:24 *1004:67 0.000120237
29 *301:16 *310:24 0.00143698
30 *309:15 *310:24 7.95153e-05
*RES
1 *4785:X *310:24 49.5529
2 *310:24 *4914:D 20.0861
*END
*D_NET *311 0.0115433
*CONN
*I *4915:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4787:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4915:D 0
2 *4787:X 0.00141304
3 *311:15 0.00141304
4 *311:15 *4612:B 6.50586e-05
5 *311:15 *4772:A1 5.01835e-05
6 *311:15 *4772:B1 0.000111722
7 *311:15 *4787:A 2.01974e-05
8 *311:15 *316:26 0.000165759
9 *311:15 *328:19 4.44838e-05
10 *311:15 *330:14 3.36528e-05
11 *311:15 *332:12 0.000225467
12 *311:15 *513:73 4.11147e-05
13 *311:15 *592:40 5.01835e-05
14 *311:15 *600:49 9.21034e-05
15 *311:15 *600:60 9.58844e-05
16 *311:15 *607:47 0.00096863
17 *311:15 *608:40 0.000217396
18 *311:15 *672:19 0.00171814
19 *311:15 *686:13 0.000183148
20 *311:15 *704:25 0.000563103
21 *311:15 *833:36 0.0030637
22 *311:15 *916:29 0.000999494
23 *4902:D *311:15 7.77309e-06
*RES
1 *4787:X *311:15 46.3446
2 *311:15 *4915:D 9.24915
*END
*D_NET *312 0.0123582
*CONN
*I *4916:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4789:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4916:D 0.00154582
2 *4789:X 0.00154582
3 *4916:D *4333:A 7.18816e-06
4 *4916:D *4789:A 0.000109235
5 *4916:D *4916:CLK 0.000489932
6 *4916:D *334:31 1.66626e-05
7 *4916:D *592:18 7.12632e-06
8 *4916:D *603:31 2.47705e-05
9 *4916:D *609:23 0.000734634
10 *4916:D *686:13 0.00128407
11 *4916:D *689:17 2.20702e-05
12 *4916:D *837:14 0.00396402
13 *259:17 *4916:D 0.00260689
*RES
1 *4789:X *4916:D 49.4274
*END
*D_NET *313 0.000511562
*CONN
*I *4917:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4795:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4917:D 6.82347e-05
2 *4795:X 6.82347e-05
3 *4917:D *4794:B 0.000118532
4 *4917:D *331:89 3.77804e-05
5 *4917:D *351:97 9.60366e-05
6 *4917:D *525:59 0.000122744
*RES
1 *4795:X *4917:D 30.6625
*END
*D_NET *314 0.0149541
*CONN
*I *4918:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1059:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4797:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4918:D 0.000126726
2 *1059:DIODE 0
3 *4797:X 0.00159146
4 *314:37 0.00145678
5 *314:32 0.0019545
6 *314:14 0.00221591
7 *4918:D *4858:CLK 0.000158371
8 *4918:D *571:35 0.000114924
9 *4918:D *1024:26 0.000144481
10 *314:14 *4653:A 9.97879e-05
11 *314:14 *4797:A 0.000706643
12 *314:14 *4895:CLK 0.000113968
13 *314:14 *346:62 1.89633e-05
14 *314:14 *571:21 0.000163622
15 *314:14 *643:20 0
16 *314:14 *917:24 0.000113222
17 *314:14 *977:8 7.09666e-06
18 *314:32 *4735:A 9.81385e-05
19 *314:32 *4744:C 4.23155e-05
20 *314:32 *4908:CLK 2.76631e-05
21 *314:32 *350:98 0.000378358
22 *314:32 *571:21 0.000283154
23 *314:32 *571:32 4.42033e-05
24 *314:32 *603:31 0.000963887
25 *314:32 *608:40 3.55701e-05
26 *314:32 *657:18 0.00017187
27 *314:32 *823:48 0
28 *314:32 *1027:26 0.000353686
29 *314:37 *4551:B 0.000568954
30 *314:37 *4571:B1 1.92172e-05
31 *314:37 *4786:A 7.35866e-05
32 *314:37 *350:59 0.000667825
33 *314:37 *350:61 4.37382e-05
34 *314:37 *350:98 0.000119035
35 *314:37 *515:7 0.000612779
36 *314:37 *571:35 0.000364952
37 *314:37 *1024:26 7.54269e-06
38 *248:19 *314:14 1.19058e-05
39 *276:26 *314:32 0.000982157
40 *291:22 *314:14 9.71278e-05
*RES
1 *4797:X *314:14 49.4212
2 *314:14 *314:32 35.7232
3 *314:32 *314:37 26.4281
4 *314:37 *1059:DIODE 9.24915
5 *314:37 *4918:D 14.5058
*END
*D_NET *315 0.0177357
*CONN
*I *4919:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4799:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4919:D 0.000925493
2 *4799:X 0.0019524
3 *315:19 0.0028779
4 *4919:D *4784:B 7.07558e-05
5 *4919:D *4790:B 0.000260388
6 *4919:D *4919:CLK 7.48797e-05
7 *4919:D *687:14 6.26367e-05
8 *4919:D *693:11 0.000934315
9 *4919:D *759:28 1.67414e-05
10 *4919:D *830:162 0.000654941
11 *4919:D *830:192 1.15389e-05
12 *4919:D *830:203 0.000494711
13 *4919:D *959:13 5.08751e-05
14 *4919:D *970:8 0.000493634
15 *4919:D *971:19 0.000213725
16 *315:19 *4799:A 6.11359e-06
17 *315:19 *316:26 8.26385e-06
18 *315:19 *321:16 0
19 *315:19 *331:14 2.21765e-05
20 *315:19 *341:25 3.29488e-05
21 *315:19 *347:52 0.000277502
22 *315:19 *675:36 8.48283e-06
23 *315:19 *830:14 3.63593e-05
24 *315:19 *830:162 1.97745e-05
25 *315:19 *882:14 0.00405428
26 *4906:D *315:19 0.000537495
27 *303:19 *315:19 0.0036374
*RES
1 *4799:X *315:19 45.1071
2 *315:19 *4919:D 39.5655
*END
*D_NET *316 0.014862
*CONN
*I *4920:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4802:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4920:D 0
2 *4802:X 0.00205111
3 *316:47 0.00162929
4 *316:26 0.0036804
5 *316:26 *4758:B 1.32841e-05
6 *316:26 *4760:B 5.88009e-05
7 *316:26 *4812:A 0.000532399
8 *316:26 *346:53 2.29454e-05
9 *316:26 *600:49 0.000356647
10 *316:26 *668:9 0.000910652
11 *316:26 *668:22 0.000540009
12 *316:26 *668:39 8.17829e-06
13 *316:26 *686:13 6.12559e-06
14 *316:26 *742:36 0.00069129
15 *316:26 *833:36 0.000309197
16 *316:26 *837:14 0
17 *316:26 *964:25 6.31082e-05
18 *316:26 *965:10 9.32704e-05
19 *316:26 *965:20 0.00011466
20 *316:26 *1004:67 0.000113478
21 *316:47 *4772:B1 3.91685e-05
22 *316:47 *4914:CLK 0.00013978
23 *316:47 *4920:CLK 0.000111722
24 *316:47 *331:76 3.37941e-05
25 *316:47 *331:86 9.06232e-05
26 *316:47 *513:60 1.17108e-05
27 *316:47 *607:33 0.00031011
28 *316:47 *609:23 0.000268021
29 *316:47 *676:32 0.000217951
30 *316:47 *742:36 0.000954998
31 *316:47 *833:36 0.000450932
32 *316:47 *960:8 0.000160617
33 *316:47 *971:19 0.000144501
34 *4906:D *316:26 0.000420258
35 *303:19 *316:26 0.000138907
36 *311:15 *316:26 0.000165759
37 *315:19 *316:26 8.26385e-06
*RES
1 *4802:X *316:26 48.4986
2 *316:26 *316:47 41.4136
3 *316:47 *4920:D 9.24915
*END
*D_NET *317 0.0183128
*CONN
*I *4921:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4804:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4921:D 0.00231265
2 *4804:X 0.00231265
3 *4921:D *4795:A3 4.75721e-06
4 *4921:D *321:16 0
5 *4921:D *334:16 8.69399e-05
6 *4921:D *340:77 0.000637927
7 *4921:D *347:49 2.8041e-05
8 *4921:D *700:21 0.000104483
9 *4921:D *700:49 0
10 *4921:D *832:96 0.000100285
11 *4921:D *882:14 0
12 *4921:D *919:14 0.00788548
13 *309:15 *4921:D 0.00483961
*RES
1 *4804:X *4921:D 47.258
*END
*D_NET *318 0.0181975
*CONN
*I *4922:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4806:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4922:D 0.000234468
2 *4806:X 0.00137541
3 *318:23 0.00251321
4 *318:16 0.00365415
5 *4922:D *346:15 0.000297552
6 *4922:D *700:21 7.00115e-05
7 *4922:D *832:96 0.000301846
8 *4922:D *832:105 0.000132737
9 *4922:D *949:7 0.000107496
10 *4922:D *949:8 2.55136e-05
11 *318:16 *4780:A 5.11466e-05
12 *318:16 *4806:A 0.000213949
13 *318:16 *321:16 0
14 *318:16 *329:20 0.00208031
15 *318:16 *336:73 0.000372893
16 *318:16 *337:95 0.000197511
17 *318:16 *767:21 6.52046e-06
18 *318:16 *919:14 0.000195389
19 *318:16 *922:14 0.00319654
20 *318:16 *964:12 7.22263e-05
21 *318:16 *1004:67 9.73637e-05
22 *318:23 *4760:A 0.000213739
23 *318:23 *4770:A 1.65872e-05
24 *318:23 *4770:C 0.000213739
25 *318:23 *4771:A 4.34402e-05
26 *318:23 *4772:B1 6.23875e-05
27 *318:23 *4777:B 5.99856e-05
28 *318:23 *679:17 3.31745e-05
29 *318:23 *688:41 0.000748075
30 *318:23 *701:22 0.000328409
31 *318:23 *762:16 0.000268824
32 *318:23 *832:14 0.00011971
33 *318:23 *832:92 2.65831e-05
34 *318:23 *832:96 0.000124615
35 *318:23 *956:21 0.000373047
36 *318:23 *968:19 0.000358863
37 *309:15 *318:23 1.00981e-05
*RES
1 *4806:X *318:16 38.576
2 *318:16 *318:23 49.7455
3 *318:23 *4922:D 27.0264
*END
*D_NET *319 0.0239932
*CONN
*I *4923:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4808:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4923:D 0.000843817
2 *4808:X 0.000638906
3 *319:37 0.00157505
4 *319:36 0.00177919
5 *319:28 0.00196351
6 *319:14 0.00155447
7 *4923:D *4803:A 0.000303138
8 *4923:D *4805:A 7.04952e-05
9 *4923:D *4809:A 0
10 *4923:D *4814:D 8.62625e-06
11 *4923:D *5091:A 2.05867e-05
12 *4923:D *324:82 0.000154794
13 *4923:D *329:93 4.69495e-06
14 *4923:D *347:9 0.000406027
15 *4923:D *573:15 0
16 *4923:D *703:8 8.94814e-06
17 *4923:D *759:37 0.000410225
18 *4923:D *881:25 4.96366e-05
19 *319:14 *4778:A 3.09374e-06
20 *319:14 *4780:A 4.58989e-05
21 *319:14 *4808:A 0
22 *319:14 *321:16 7.50722e-05
23 *319:14 *326:99 0.000568184
24 *319:28 *4346:A 3.04832e-05
25 *319:28 *4773:A 0.000400335
26 *319:28 *4780:A 0.0016312
27 *319:28 *4783:A 2.07365e-05
28 *319:28 *4806:A 7.39819e-05
29 *319:28 *5125:TE_B 0.000134575
30 *319:28 *5126:TE_B 0.000115313
31 *319:28 *324:118 7.09666e-06
32 *319:28 *329:20 3.29488e-05
33 *319:28 *338:34 0.000353466
34 *319:28 *697:27 0.00148041
35 *319:28 *769:16 3.43356e-05
36 *319:28 *869:13 0.000404547
37 *319:36 *5126:TE_B 0.000167076
38 *319:36 *324:103 0.000801377
39 *319:36 *328:75 2.88941e-05
40 *319:36 *329:69 3.31745e-05
41 *319:36 *698:46 0.000104324
42 *319:36 *769:19 4.9278e-05
43 *319:37 *326:92 0.000101365
44 *319:37 *330:60 0.00027469
45 *319:37 *719:9 0.00168786
46 *319:37 *762:19 0.00334217
47 *319:37 *856:9 3.70615e-05
48 *319:37 *883:9 0.00179557
49 *5156:A *319:14 2.12377e-05
50 *287:10 *319:28 6.99929e-05
51 *306:16 *319:36 0.000184392
52 *308:27 *319:14 9.09267e-05
*RES
1 *4808:X *319:14 40.0893
2 *319:14 *319:28 49.4492
3 *319:28 *319:36 32.5513
4 *319:36 *319:37 46.7555
5 *319:37 *4923:D 41.5252
*END
*D_NET *320 0.0244567
*CONN
*I *4924:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4810:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4924:D 0.000296642
2 *4810:X 0.00290255
3 *320:52 0.00206986
4 *320:33 0.00467577
5 *4924:D *323:13 0.000383703
6 *4924:D *607:33 0.000776313
7 *4924:D *709:11 0.000176522
8 *4924:D *832:110 6.50586e-05
9 *4924:D *832:122 0.000134977
10 *4924:D *948:10 1.84293e-05
11 *320:33 *4789:A 0
12 *320:33 *5126:TE_B 0.001901
13 *320:33 *332:12 0
14 *320:33 *336:38 0.000257104
15 *320:33 *337:95 1.2272e-05
16 *320:33 *643:45 1.91246e-05
17 *320:33 *674:16 0.000498881
18 *320:33 *683:15 0.000293918
19 *320:33 *697:27 0.000315549
20 *320:33 *767:21 2.95855e-05
21 *320:33 *837:14 2.95956e-05
22 *320:33 *858:22 1.39119e-05
23 *320:33 *906:14 2.08864e-05
24 *320:33 *908:15 0.00253831
25 *320:52 *4765:B 5.5447e-05
26 *320:52 *4772:B1 0.000344892
27 *320:52 *4910:CLK 0.00021569
28 *320:52 *5054:TE_B 0
29 *320:52 *331:113 0.000728042
30 *320:52 *513:60 3.29488e-05
31 *320:52 *592:40 3.1714e-05
32 *320:52 *594:11 4.23622e-05
33 *320:52 *600:60 0.00267338
34 *320:52 *674:22 9.12416e-06
35 *320:52 *674:31 5.27831e-05
36 *320:52 *674:33 4.22534e-05
37 *320:52 *683:15 0.000172048
38 *320:52 *697:16 2.43314e-05
39 *320:52 *697:27 6.08467e-05
40 *320:52 *742:36 0.00142006
41 *320:52 *833:36 7.92408e-05
42 *320:52 *880:37 1.85963e-05
43 *320:52 *946:8 3.88358e-05
44 *320:52 *955:7 0.00021569
45 *4909:D *320:52 1.87611e-05
46 *3:16 *320:33 2.87885e-05
47 *303:19 *320:52 7.08433e-05
48 *307:32 *320:52 7.09666e-06
49 *308:33 *320:52 0.00064298
*RES
1 *4810:X *320:33 49.7835
2 *320:33 *320:52 49.3004
3 *320:52 *4924:D 27.8554
*END
*D_NET *321 0.0278008
*CONN
*I *4925:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4812:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4925:D 0
2 *4812:X 0.00133412
3 *321:33 0.000799117
4 *321:23 0.00196114
5 *321:21 0.00136335
6 *321:16 0.00153545
7 *321:16 *4780:A 0
8 *321:16 *4808:A 0
9 *321:16 *329:20 0.00016996
10 *321:16 *347:49 0
11 *321:16 *675:36 0
12 *321:16 *833:15 0.000122378
13 *321:16 *871:8 0.000362073
14 *321:16 *882:14 1.91391e-05
15 *321:16 *900:15 0
16 *321:21 *341:18 0.000176002
17 *321:21 *871:11 0
18 *321:23 *338:34 0.00223761
19 *321:23 *340:54 0.000108598
20 *321:23 *341:18 0
21 *321:23 *345:46 0.00880876
22 *321:23 *573:79 0.00419189
23 *321:23 *856:9 0.00124934
24 *321:23 *860:11 0.000171426
25 *321:23 *871:11 2.71024e-05
26 *321:33 *5101:TE_B 0.00078376
27 *321:33 *330:82 0.000218411
28 *321:33 *348:8 0.00103345
29 *321:33 *572:28 0.000705922
30 *321:33 *832:132 1.54602e-05
31 *321:33 *880:18 0.000308335
32 *4921:D *321:16 0
33 *5156:A *321:16 2.29666e-05
34 *315:19 *321:16 0
35 *318:16 *321:16 0
36 *319:14 *321:16 7.50722e-05
*RES
1 *4812:X *321:16 46.4886
2 *321:16 *321:21 11.0467
3 *321:21 *321:23 106.375
4 *321:23 *321:33 41.8626
5 *321:33 *4925:D 9.24915
*END
*D_NET *322 0.0013606
*CONN
*I *4926:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4818:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4926:D 0.000258485
2 *4818:X 0.000258485
3 *4926:D *4795:B1 0.000112059
4 *4926:D *607:15 0.00021714
5 *4926:D *704:11 0.000271396
6 *4926:D *916:33 2.50997e-05
7 *4926:D *954:12 0.000217937
*RES
1 *4818:X *4926:D 28.1496
*END
*D_NET *323 0.00777576
*CONN
*I *4332:A I *D sky130_fd_sc_hd__buf_6
*I *4326:A I *D sky130_fd_sc_hd__buf_6
*I *4320:A I *D sky130_fd_sc_hd__buf_6
*I *4214:A I *D sky130_fd_sc_hd__buf_4
*I *4338:A I *D sky130_fd_sc_hd__buf_6
*I *4213:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4332:A 0.000134934
2 *4326:A 8.35616e-05
3 *4320:A 0
4 *4214:A 1.36375e-05
5 *4338:A 0.000177454
6 *4213:X 0.000462914
7 *323:37 0.000390958
8 *323:32 0.000312702
9 *323:14 0.000207145
10 *323:13 0.000619207
11 *4214:A *601:21 6.08467e-05
12 *4326:A *324:76 0.000208447
13 *4326:A *345:45 0.000208447
14 *4332:A *5064:TE_B 0.000112149
15 *4332:A *345:7 4.88955e-05
16 *4338:A *708:14 0.000347614
17 *4338:A *731:10 0.000347614
18 *323:13 *573:15 4.65991e-05
19 *323:13 *601:21 2.21021e-05
20 *323:13 *607:15 0.00128152
21 *323:13 *607:33 0
22 *323:13 *832:110 7.09666e-06
23 *323:13 *916:33 0.00142156
24 *323:14 *708:14 4.70104e-05
25 *323:14 *731:10 5.56367e-05
26 *323:32 *4258:A 2.39535e-05
27 *323:32 *708:14 0.000251546
28 *323:32 *731:10 0.00031228
29 *323:37 *5064:TE_B 0.000121159
30 *323:37 *345:7 6.50727e-05
31 *4924:D *323:13 0.000383703
*RES
1 *4213:X *323:13 45.5756
2 *323:13 *323:14 1.00149
3 *323:14 *4338:A 20.5642
4 *323:14 *4214:A 14.4725
5 *323:13 *323:32 10.4845
6 *323:32 *4320:A 9.24915
7 *323:32 *323:37 4.05102
8 *323:37 *4326:A 22.1574
9 *323:37 *4332:A 12.7456
*END
*D_NET *324 0.0591892
*CONN
*I *4346:A I *D sky130_fd_sc_hd__inv_2
*I *4344:A I *D sky130_fd_sc_hd__inv_2
*I *4221:A I *D sky130_fd_sc_hd__buf_8
*I *4345:A I *D sky130_fd_sc_hd__inv_2
*I *4215:A I *D sky130_fd_sc_hd__buf_4
*I *4214:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4346:A 0.000809965
2 *4344:A 0
3 *4221:A 0.000490566
4 *4345:A 0.000720111
5 *4215:A 7.6628e-05
6 *4214:X 7.00665e-05
7 *324:118 0.00193681
8 *324:103 0.00226039
9 *324:89 0.00313839
10 *324:82 0.00243339
11 *324:76 0.00108299
12 *324:54 0.000595797
13 *324:46 0.00147683
14 *324:24 0.0012774
15 *324:16 0.000549279
16 *324:14 0.00537387
17 *324:13 0.00605826
18 *324:7 0.00140891
19 *4215:A *4327:A 0
20 *4215:A *5036:A 6.37152e-05
21 *4215:A *325:10 6.31958e-05
22 *4221:A *5060:A 8.96178e-05
23 *4221:A *5093:A 0.000174175
24 *4221:A *5118:TE_B 0.000183145
25 *4221:A *5133:TE_B 0
26 *4221:A *326:11 0.000428134
27 *4221:A *340:24 9.82307e-05
28 *4221:A *343:43 0.000379505
29 *4221:A *343:55 0.000472818
30 *4221:A *784:10 0.000137277
31 *4345:A *328:41 0.00113228
32 *4346:A *4757:A 0.000113968
33 *4346:A *5125:TE_B 2.16655e-05
34 *4346:A *330:45 2.77564e-05
35 *4346:A *348:18 0.000801014
36 *4346:A *675:36 0.000107496
37 *4346:A *699:51 1.92336e-05
38 *4346:A *742:36 6.92705e-05
39 *4346:A *824:25 3.53861e-05
40 *324:7 *601:21 4.03381e-05
41 *324:7 *602:10 0.000200794
42 *324:13 *4636:A 3.5709e-05
43 *324:13 *4642:B 0.000118485
44 *324:13 *4647:A1 0.000207266
45 *324:13 *330:82 0.000205666
46 *324:13 *345:13 0.000115004
47 *324:13 *345:19 1.25055e-05
48 *324:13 *348:8 0.000328998
49 *324:13 *574:81 2.78219e-06
50 *324:13 *578:11 0.000372828
51 *324:13 *588:47 0.000256061
52 *324:13 *589:24 4.19883e-05
53 *324:13 *777:30 0.000211478
54 *324:14 *4403:A2 5.13937e-05
55 *324:14 *4403:B1 0.000167212
56 *324:14 *4405:B2 6.0519e-05
57 *324:14 *4407:B2 9.31155e-05
58 *324:14 *4407:C1 0.000138988
59 *324:14 *4417:A1 7.50872e-05
60 *324:14 *4417:A2 4.50903e-05
61 *324:14 *4418:B1 1.78514e-05
62 *324:14 *4419:A2 0.000135406
63 *324:14 *4421:B 1.87125e-05
64 *324:14 *4425:A 7.77309e-06
65 *324:14 *4433:B 0
66 *324:14 *4445:A1 0
67 *324:14 *4459:B1 9.61123e-05
68 *324:14 *4464:A2 0.000118485
69 *324:14 *4476:A2 0.000221185
70 *324:14 *5135:A 0.000707973
71 *324:14 *330:106 2.40447e-05
72 *324:14 *330:121 0.000143702
73 *324:14 *345:19 0.0010029
74 *324:14 *345:33 0
75 *324:14 *346:108 0
76 *324:14 *375:10 0.000218563
77 *324:14 *400:67 0.000405027
78 *324:14 *414:20 4.2779e-05
79 *324:14 *414:60 2.37089e-05
80 *324:14 *420:13 0.00101628
81 *324:14 *431:62 0.000134145
82 *324:14 *450:23 1.91391e-05
83 *324:14 *450:29 0.00019928
84 *324:14 *454:70 7.12909e-05
85 *324:14 *573:49 0.000133917
86 *324:14 *828:26 0
87 *324:14 *839:10 0
88 *324:14 *1030:8 5.79544e-05
89 *324:14 *1049:11 0.000353039
90 *324:14 *1049:48 0.000179054
91 *324:24 *4327:A 0
92 *324:24 *5077:A 2.41274e-06
93 *324:24 *5077:TE_B 0.000101148
94 *324:24 *5103:A 0.000144798
95 *324:24 *5135:A 0.000303605
96 *324:24 *340:24 3.82228e-05
97 *324:46 *4327:A 0
98 *324:46 *5103:A 0.000153492
99 *324:46 *328:41 0.00193525
100 *324:46 *836:13 0
101 *324:54 *5077:A 6.85021e-05
102 *324:54 *340:24 0.000231442
103 *324:54 *343:43 8.14875e-05
104 *324:76 *345:13 0.000150137
105 *324:76 *345:45 0.000584274
106 *324:76 *348:8 0.00151451
107 *324:82 *4643:B1 0.000458216
108 *324:82 *5091:TE_B 4.00824e-05
109 *324:82 *329:93 0.00189308
110 *324:82 *881:25 0.000151253
111 *324:89 *4779:A 0.000146779
112 *324:89 *5065:A 4.15661e-05
113 *324:89 *5120:TE_B 0.00143857
114 *324:89 *337:82 0.000491798
115 *324:89 *956:10 0.00011818
116 *324:103 *5120:TE_B 0.001192
117 *324:103 *5129:A 0.000124751
118 *324:103 *329:69 0.000396003
119 *324:103 *762:16 3.21097e-05
120 *324:103 *769:19 2.42273e-05
121 *324:118 *4773:A 2.15348e-05
122 *324:118 *4773:B 2.6512e-05
123 *324:118 *5125:TE_B 0.000227618
124 *324:118 *5126:TE_B 7.5909e-06
125 *324:118 *348:18 5.35882e-05
126 *324:118 *769:16 9.06916e-05
127 *324:118 *769:19 0.0005247
128 *324:118 *835:14 8.02893e-06
129 *324:118 *897:15 5.42014e-06
130 io_oeb[23] *324:118 8.6297e-06
131 io_oeb[24] *324:118 4.49767e-05
132 io_oeb[33] *4221:A 8.85525e-05
133 io_out[27] *324:82 0.000164829
134 *4326:A *324:76 0.000208447
135 *4835:D *324:14 0
136 *4871:D *324:14 0
137 *4923:D *324:82 0.000154794
138 *3:16 *324:103 7.94462e-05
139 *174:7 *4221:A 0.000375027
140 *231:8 *324:14 0.00159428
141 *237:35 *324:14 0
142 *287:10 *4346:A 0.000381305
143 *300:15 *4346:A 4.31703e-05
144 *306:16 *324:103 7.60356e-05
145 *319:28 *4346:A 3.04832e-05
146 *319:28 *324:118 7.09666e-06
147 *319:36 *324:103 0.000801377
*RES
1 *4214:X *324:7 16.1364
2 *324:7 *324:13 31.4903
3 *324:13 *324:14 162.119
4 *324:14 *324:16 4.5
5 *324:16 *324:24 17.4417
6 *324:24 *4215:A 15.9457
7 *324:24 *324:46 31.6827
8 *324:46 *4345:A 35.7616
9 *324:16 *324:54 5.71483
10 *324:54 *4221:A 42.613
11 *324:54 *4344:A 9.24915
12 *324:7 *324:76 32.4929
13 *324:76 *324:82 32.7669
14 *324:82 *324:89 46.1096
15 *324:89 *324:103 48.6857
16 *324:103 *324:118 37.7053
17 *324:118 *4346:A 43.8083
*END
*D_NET *325 0.0301621
*CONN
*I *4220:A I *D sky130_fd_sc_hd__inv_2
*I *4218:A I *D sky130_fd_sc_hd__inv_2
*I *4216:A I *D sky130_fd_sc_hd__inv_2
*I *4217:A I *D sky130_fd_sc_hd__inv_2
*I *4219:A I *D sky130_fd_sc_hd__inv_2
*I *4215:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4220:A 3.5247e-05
2 *4218:A 0.000150931
3 *4216:A 0
4 *4217:A 0.000236042
5 *4219:A 0
6 *4215:X 0.000140988
7 *325:34 0.00125228
8 *325:33 0.00217554
9 *325:23 0.00119455
10 *325:21 0.0040273
11 *325:19 0.00642047
12 *325:13 0.00469777
13 *325:10 0.00259652
14 *4217:A *4274:A 7.52324e-05
15 *4217:A *5035:TE_B 5.53934e-05
16 *4217:A *332:94 0
17 *4218:A *5034:TE_B 0.00020172
18 *4218:A *348:22 0
19 *4220:A *4297:A 0
20 *325:10 *4327:A 9.60366e-05
21 *325:10 *5036:A 0.000173288
22 *325:10 *326:11 0.000468351
23 *325:13 *4297:A 7.09148e-05
24 *325:13 *5086:TE_B 0.000423729
25 *325:13 *5103:TE_B 8.28869e-05
26 *325:13 *337:31 1.40434e-05
27 *325:13 *337:39 0.0016258
28 *325:13 *337:41 0.000301854
29 *325:19 *5102:A 0.000532383
30 *325:19 *5102:TE_B 0.000206583
31 *325:19 *337:41 0.000144734
32 *325:19 *341:81 5.04054e-06
33 *325:21 *5032:TE_B 0.000477641
34 *325:21 *5047:A 0.000430366
35 *325:21 *5047:TE_B 0.000100961
36 *325:21 *5092:TE_B 0.000153358
37 *325:21 *348:37 9.37411e-05
38 *325:33 *4340:A 0.000957705
39 *325:33 *331:31 7.97787e-05
40 *325:33 *852:11 0.000128902
41 *325:34 *4274:A 0.000133505
42 *325:34 *5037:TE_B 0
43 *325:34 *5039:A 0
44 *325:34 *5039:TE_B 0
45 *325:34 *331:31 0
46 *325:34 *332:94 0
47 io_out[11] *325:34 0
48 io_out[12] *325:34 9.61451e-05
49 la1_data_out[0] *325:21 4.11567e-05
50 la1_data_out[2] *4218:A 0
51 la1_data_out[5] *325:34 0
52 *4215:A *325:10 6.31958e-05
*RES
1 *4215:X *325:10 25.2414
2 *325:10 *325:13 42.6201
3 *325:13 *325:19 38.9066
4 *325:19 *325:21 61.1752
5 *325:21 *325:23 0.988641
6 *325:23 *325:33 28.5891
7 *325:33 *325:34 24.2556
8 *325:34 *4219:A 13.7491
9 *325:34 *4217:A 20.5642
10 *325:23 *4216:A 9.24915
11 *325:19 *4218:A 22.9879
12 *325:10 *4220:A 10.2378
*END
*D_NET *326 0.0929236
*CONN
*I *4223:A I *D sky130_fd_sc_hd__inv_2
*I *4226:A I *D sky130_fd_sc_hd__inv_2
*I *4225:A I *D sky130_fd_sc_hd__inv_2
*I *4222:A I *D sky130_fd_sc_hd__inv_2
*I *4224:A I *D sky130_fd_sc_hd__inv_2
*I *4221:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4223:A 0
2 *4226:A 0
3 *4225:A 0
4 *4222:A 0
5 *4224:A 0.000627295
6 *4221:X 0
7 *326:106 0.0017273
8 *326:99 0.00360785
9 *326:92 0.00547208
10 *326:77 0.00411801
11 *326:72 0.00177598
12 *326:69 0.00240788
13 *326:63 0.0019196
14 *326:54 0.00146142
15 *326:44 0.00171819
16 *326:43 0.00101799
17 *326:23 0.00237727
18 *326:19 0.00274948
19 *326:13 0.00243606
20 *326:11 0.00383968
21 *326:4 0.00240312
22 *4224:A *4307:A 6.50586e-05
23 *4224:A *5037:TE_B 0.000258087
24 *4224:A *5039:TE_B 6.50586e-05
25 *4224:A *331:31 0.000148192
26 *4224:A *894:13 0
27 *326:11 *4300:A 0.000243918
28 *326:11 *5036:A 0.000104271
29 *326:11 *343:31 3.07645e-05
30 *326:11 *784:10 8.37825e-05
31 *326:11 *836:13 1.40978e-05
32 *326:13 *4262:A 2.16355e-05
33 *326:13 *4299:A 4.58003e-05
34 *326:13 *5043:A 0.000280276
35 *326:13 *5072:TE_B 6.11359e-06
36 *326:13 *5086:A 0.000195621
37 *326:13 *5088:TE_B 0.000135345
38 *326:13 *5105:TE_B 9.18559e-06
39 *326:13 *341:75 0.000214838
40 *326:13 *343:31 0.00726092
41 *326:19 *5092:A 0.000445944
42 *326:19 *343:31 3.65764e-05
43 *326:19 *939:13 6.24655e-05
44 *326:23 *5037:TE_B 6.92705e-05
45 *326:23 *5055:A 0.000118804
46 *326:23 *5119:TE_B 0.000171273
47 *326:23 *343:29 0.000487686
48 *326:23 *343:31 0.000133045
49 *326:23 *348:43 0.000187583
50 *326:23 *874:10 0.000403511
51 *326:23 *939:13 0.000436825
52 *326:44 *4233:A 8.40586e-05
53 *326:44 *5042:TE_B 0.000294241
54 *326:44 *5058:TE_B 0.000101133
55 *326:44 *328:48 0.00120573
56 *326:44 *343:56 0.00266112
57 *326:44 *738:9 0.000172414
58 *326:54 *4233:A 0.00109755
59 *326:54 *5048:TE_B 0.000127179
60 *326:54 *5056:TE_B 0.000162673
61 *326:54 *5115:TE_B 0.000299338
62 *326:54 *332:56 0.000973444
63 *326:54 *343:56 0.000517905
64 *326:63 *4662:A 5.22654e-06
65 *326:63 *396:6 0.00139719
66 *326:63 *436:26 1.41761e-05
67 *326:63 *455:7 3.99086e-06
68 *326:63 *760:7 6.08467e-05
69 *326:63 *760:8 0.00143699
70 *326:63 *1006:27 0.000158371
71 *326:69 *4410:A2 0.000257441
72 *326:69 *4411:C1 0.000315176
73 *326:69 *4478:A1 0.00125467
74 *326:69 *5131:TE_B 4.53737e-05
75 *326:69 *338:116 0.000109532
76 *326:69 *396:6 4.60375e-07
77 *326:69 *452:14 0.000931628
78 *326:69 *452:27 7.65861e-05
79 *326:69 *760:8 2.95757e-05
80 *326:69 *1006:20 0.000131991
81 *326:69 *1036:46 0.000291919
82 *326:72 *4408:B1 1.77537e-06
83 *326:72 *4410:C1 0.000102343
84 *326:72 *4450:A 1.66771e-05
85 *326:72 *4869:CLK 0.00014159
86 *326:72 *329:108 9.98334e-05
87 *326:72 *329:116 0.000472503
88 *326:72 *335:32 0
89 *326:72 *346:102 0
90 *326:72 *397:29 0.000141777
91 *326:72 *574:29 5.09247e-06
92 *326:72 *596:11 0.000840356
93 *326:72 *601:62 0
94 *326:72 *606:8 0.000534226
95 *326:72 *777:30 0.000112148
96 *326:72 *806:19 0.000134016
97 *326:72 *1005:29 9.38345e-06
98 *326:72 *1006:57 0.000338762
99 *326:72 *1007:25 0
100 *326:77 *4649:B 7.83796e-05
101 *326:77 *4658:A1 0
102 *326:77 *4869:CLK 0.000195845
103 *326:77 *5053:A 3.31733e-05
104 *326:77 *5053:TE_B 0.000100493
105 *326:77 *606:8 0.00031177
106 *326:77 *759:37 0.000253916
107 *326:92 *4657:A 0.000151741
108 *326:92 *4657:B 4.72992e-05
109 *326:92 *5044:A 0
110 *326:92 *5084:A 6.45188e-05
111 *326:92 *329:75 0.00276084
112 *326:92 *329:93 0.00185265
113 *326:92 *329:108 0.000101308
114 *326:92 *576:15 0.000721174
115 *326:92 *593:37 0
116 *326:92 *762:19 0.000110257
117 *326:92 *881:25 0.0052188
118 *326:92 *897:15 0.001151
119 *326:99 *5069:A 1.01044e-05
120 *326:99 *5121:A 0.000489932
121 *326:99 *5126:TE_B 3.95014e-05
122 *326:99 *347:22 0.000266547
123 *326:99 *592:30 2.0456e-06
124 *326:99 *674:16 0.000103865
125 *326:99 *862:25 0.00198585
126 *326:99 *888:12 7.18447e-05
127 *326:99 *908:15 0.00312284
128 *326:99 *940:23 0.000934971
129 *326:106 *5045:TE_B 7.0954e-05
130 *326:106 *5050:TE_B 1.78514e-05
131 *326:106 *5109:A 6.3657e-05
132 *326:106 *5136:A 0.00078923
133 *326:106 *866:9 0.000224899
134 io_oeb[19] *326:99 6.44576e-05
135 io_out[11] *4224:A 0.000192528
136 io_out[24] *326:13 2.81717e-05
137 io_out[26] *4224:A 5.33564e-05
138 la1_data_out[14] *326:92 0.00019754
139 la1_data_out[18] *326:106 6.50727e-05
140 la1_data_out[23] *326:23 2.65831e-05
141 *4221:A *326:11 0.000428134
142 *3:16 *326:99 0.000421437
143 *174:7 *326:11 0.000189753
144 *307:22 *326:99 0.000207883
145 *319:14 *326:99 0.000568184
146 *319:37 *326:92 0.000101365
147 *325:10 *326:11 0.000468351
*RES
1 *4221:X *326:4 9.24915
2 *326:4 *326:11 44.3201
3 *326:11 *326:13 76.7041
4 *326:13 *326:19 17.5303
5 *326:19 *326:23 48.4434
6 *326:23 *4224:A 37.2119
7 *326:23 *4222:A 9.24915
8 *326:4 *326:43 4.5
9 *326:43 *326:44 55.0453
10 *326:44 *326:54 41.9582
11 *326:54 *326:63 45.9942
12 *326:63 *326:69 49.2152
13 *326:69 *326:72 41.3909
14 *326:72 *326:77 21.5237
15 *326:77 *326:92 49.5344
16 *326:92 *326:99 49.2685
17 *326:99 *326:106 47.1341
18 *326:106 *4225:A 13.7491
19 *326:77 *4226:A 9.24915
20 *326:54 *4223:A 9.24915
*END
*D_NET *327 0.0046279
*CONN
*I *4228:A I *D sky130_fd_sc_hd__buf_6
*I *4234:A I *D sky130_fd_sc_hd__buf_6
*I *4246:A I *D sky130_fd_sc_hd__buf_8
*I *4252:A I *D sky130_fd_sc_hd__buf_8
*I *4240:A I *D sky130_fd_sc_hd__buf_6
*I *4227:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4228:A 0.000197463
2 *4234:A 0.000164731
3 *4246:A 0
4 *4252:A 0
5 *4240:A 0.000203133
6 *4227:X 0.000336769
7 *327:26 0.000164731
8 *327:24 0.000239111
9 *327:8 0.000302672
10 *327:7 0.000477956
11 *4228:A *4257:A 4.8464e-05
12 *4228:A *336:73 0.000156496
13 *4228:A *945:17 6.08467e-05
14 *4234:A *4806:A 0.00044418
15 *4234:A *697:27 0.000748342
16 *4240:A *4257:A 7.5909e-06
17 *4240:A *330:14 6.50727e-05
18 *4240:A *332:12 4.11944e-05
19 *4240:A *336:73 0.000165634
20 *4240:A *675:36 6.08467e-05
21 *4240:A *698:17 7.09666e-06
22 *327:7 *4806:A 0.00050655
23 *327:8 *4257:A 3.02981e-05
24 *327:8 *336:73 8.75567e-05
25 *327:24 *4257:A 1.24189e-05
26 *327:24 *336:73 3.78945e-05
27 *308:27 *4228:A 6.08467e-05
*RES
1 *4227:X *327:7 19.464
2 *327:7 *327:8 2.24725
3 *327:8 *4240:A 18.3808
4 *327:8 *4252:A 13.7491
5 *327:7 *327:24 1.00149
6 *327:24 *327:26 4.5
7 *327:26 *4246:A 9.24915
8 *327:26 *4234:A 17.737
9 *327:24 *4228:A 18.3808
*END
*D_NET *328 0.0583376
*CONN
*I *4229:A I *D sky130_fd_sc_hd__inv_2
*I *4233:A I *D sky130_fd_sc_hd__inv_2
*I *4232:A I *D sky130_fd_sc_hd__inv_2
*I *4230:A I *D sky130_fd_sc_hd__inv_2
*I *4231:A I *D sky130_fd_sc_hd__inv_2
*I *4228:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4229:A 0
2 *4233:A 0.000413874
3 *4232:A 0
4 *4230:A 2.06324e-05
5 *4231:A 0
6 *4228:X 0.00020381
7 *328:75 0.00190605
8 *328:48 0.00192842
9 *328:47 0.00302797
10 *328:41 0.00412381
11 *328:39 0.00263102
12 *328:31 0.000617116
13 *328:22 0.000575851
14 *328:20 0.00550333
15 *328:19 0.00688178
16 *328:9 0.00348831
17 *4233:A *5042:TE_B 0.000294241
18 *4233:A *332:56 0.00109755
19 *4233:A *332:63 0.000208346
20 *328:9 *945:17 0.000160617
21 *328:19 *4568:B 0.000133572
22 *328:19 *4617:A 4.96941e-06
23 *328:19 *4638:B 0.000404663
24 *328:19 *4787:A 6.50465e-05
25 *328:19 *4789:A 1.79503e-05
26 *328:19 *336:73 0.000787702
27 *328:19 *513:73 7.83998e-06
28 *328:19 *556:28 0.000137815
29 *328:19 *587:66 0
30 *328:19 *590:26 2.26334e-05
31 *328:19 *607:65 1.45049e-05
32 *328:19 *696:62 0.000215028
33 *328:19 *698:17 4.55061e-05
34 *328:19 *838:16 0.000747098
35 *328:20 *4376:A 0
36 *328:20 *4379:A 3.59584e-05
37 *328:20 *4458:B 0.000146501
38 *328:20 *4597:A 4.79289e-05
39 *328:20 *4628:A 0.000534004
40 *328:20 *4638:B 0.000284555
41 *328:20 *4673:B 0.000291192
42 *328:20 *4709:A 0.000135974
43 *328:20 *5072:A 0.000135825
44 *328:20 *330:16 0.00121787
45 *328:20 *330:20 0.00202786
46 *328:20 *332:25 0.000504806
47 *328:20 *334:56 0.000938242
48 *328:20 *380:13 0.000100369
49 *328:20 *535:41 0.000115585
50 *328:20 *554:21 2.02035e-05
51 *328:20 *661:10 0
52 *328:31 *5034:TE_B 4.81015e-05
53 *328:31 *348:27 0.000603496
54 *328:31 *725:10 6.50727e-05
55 *328:41 *5043:TE_B 6.92705e-05
56 *328:41 *811:7 0.0004259
57 *328:41 *891:7 0.00048111
58 *328:47 *5130:A 8.80289e-05
59 *328:47 *805:9 0.000952764
60 *328:47 *811:7 2.52287e-06
61 *328:47 *836:13 9.27159e-05
62 *328:48 *5058:TE_B 0.000101133
63 *328:48 *332:63 0.000790002
64 *328:48 *337:26 0
65 *328:48 *738:9 0
66 *328:75 *4257:A 3.63593e-05
67 *328:75 *5054:A 0.000468459
68 *328:75 *5069:A 9.66954e-05
69 *328:75 *5069:TE_B 0.000100106
70 *328:75 *330:45 0.00208284
71 *328:75 *336:73 1.9101e-05
72 *328:75 *345:46 0.00010238
73 *328:75 *345:51 8.26973e-05
74 *328:75 *347:17 8.91118e-05
75 *328:75 *513:73 0.000273023
76 *328:75 *592:30 0
77 *328:75 *674:19 0.000960588
78 *328:75 *698:46 0.000374418
79 *328:75 *824:32 3.14978e-05
80 *328:75 *835:14 0.00153962
81 *328:75 *838:16 0.000156585
82 *328:75 *900:15 0.000242762
83 *328:75 *945:17 1.93495e-05
84 io_oeb[25] *328:48 0
85 io_oeb[28] *328:47 4.6284e-05
86 io_oeb[28] *328:48 0
87 io_out[28] *328:20 0
88 io_out[8] *328:20 0
89 *4345:A *328:41 0.00113228
90 *5159:A *328:41 2.81181e-05
91 *87:8 *328:48 0
92 *276:26 *328:19 2.33103e-06
93 *291:22 *328:19 1.74351e-05
94 *307:32 *328:75 6.50727e-05
95 *308:27 *328:9 3.04819e-05
96 *311:15 *328:19 4.44838e-05
97 *319:36 *328:75 2.88941e-05
98 *324:46 *328:41 0.00193525
99 *326:44 *4233:A 8.40586e-05
100 *326:44 *328:48 0.00120573
101 *326:54 *4233:A 0.00109755
*RES
1 *4228:X *328:9 17.2697
2 *328:9 *328:19 41.0278
3 *328:19 *328:20 184.335
4 *328:20 *328:22 4.5
5 *328:22 *4231:A 9.24915
6 *328:22 *328:31 17.5544
7 *328:31 *4230:A 9.82786
8 *328:31 *328:39 0.578717
9 *328:39 *328:41 77.2587
10 *328:41 *328:47 30.3252
11 *328:47 *328:48 54.1538
12 *328:48 *4232:A 13.7491
13 *328:48 *4233:A 40.4963
14 *328:9 *328:75 43.8867
15 *328:75 *4229:A 9.24915
*END
*D_NET *329 0.0738707
*CONN
*I *4236:A I *D sky130_fd_sc_hd__inv_2
*I *4238:A I *D sky130_fd_sc_hd__inv_2
*I *4235:A I *D sky130_fd_sc_hd__inv_2
*I *4237:A I *D sky130_fd_sc_hd__inv_2
*I *4239:A I *D sky130_fd_sc_hd__inv_2
*I *4234:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4236:A 4.17153e-05
2 *4238:A 0
3 *4235:A 9.30489e-05
4 *4237:A 0.000452125
5 *4239:A 7.72177e-05
6 *4234:X 7.53284e-05
7 *329:122 0.000969665
8 *329:116 0.00191514
9 *329:108 0.00283692
10 *329:93 0.00402596
11 *329:75 0.00268288
12 *329:69 0.00178058
13 *329:28 0.00383056
14 *329:27 0.00468437
15 *329:23 0.00194786
16 *329:20 0.00223442
17 *329:6 0.00212434
18 *4235:A *719:8 1.91246e-05
19 *4237:A *4251:A 0
20 *4237:A *5050:TE_B 1.84293e-05
21 *4237:A *343:8 0
22 *4239:A *5052:A 0.000271044
23 *329:6 *673:18 3.5534e-06
24 *329:6 *769:16 1.99996e-05
25 *329:20 *4780:A 0.000260388
26 *329:20 *338:34 1.5714e-05
27 *329:20 *338:38 5.1493e-06
28 *329:20 *697:27 0.000264586
29 *329:20 *882:14 3.20069e-06
30 *329:20 *919:14 0.00267044
31 *329:20 *922:14 0.00022389
32 *329:23 *331:15 0.00444858
33 *329:23 *331:21 1.09551e-05
34 *329:23 *858:13 6.92705e-05
35 *329:27 *5096:A 2.16355e-05
36 *329:27 *331:15 1.41689e-05
37 *329:27 *331:21 0.00047703
38 *329:28 *4251:A 0
39 *329:28 *4261:A 0.000997862
40 *329:28 *4286:A 3.30973e-05
41 *329:28 *5096:A 0.00063111
42 *329:28 *5096:TE_B 0
43 *329:28 *331:22 0
44 *329:28 *332:92 9.25748e-05
45 *329:28 *332:94 0.00411858
46 *329:28 *338:54 0.0035916
47 *329:28 *711:6 0.000222929
48 *329:69 *4900:CLK 7.58067e-06
49 *329:69 *5046:TE_B 5.62125e-05
50 *329:69 *5129:A 0.000682371
51 *329:69 *336:38 6.43476e-05
52 *329:69 *336:73 0.000154591
53 *329:69 *337:95 8.33746e-06
54 *329:69 *621:94 7.62552e-05
55 *329:69 *653:11 2.16355e-05
56 *329:69 *685:16 0.000167714
57 *329:69 *698:35 5.56766e-05
58 *329:69 *769:16 1.70854e-05
59 *329:69 *798:11 0.000473996
60 *329:69 *862:25 5.69728e-05
61 *329:75 *5054:A 7.19237e-05
62 *329:75 *340:69 1.00009e-05
63 *329:75 *897:15 0.00276036
64 *329:93 *4643:B1 2.6269e-05
65 *329:93 *5063:TE_B 0.000212208
66 *329:93 *5091:TE_B 2.20556e-05
67 *329:93 *331:113 5.07314e-05
68 *329:93 *703:8 1.91246e-05
69 *329:93 *897:15 0.00182727
70 *329:108 *4644:B 5.67796e-06
71 *329:108 *4656:A 2.02035e-05
72 *329:108 *5044:A 0.000117741
73 *329:108 *5053:A 0
74 *329:108 *397:29 4.31485e-06
75 *329:108 *490:55 6.97627e-05
76 *329:108 *576:15 0.00137177
77 *329:108 *579:90 0.000156005
78 *329:108 *606:8 0.00080877
79 *329:108 *607:15 6.21488e-06
80 *329:108 *718:45 6.23101e-05
81 *329:108 *777:30 0.000115573
82 *329:108 *806:19 3.70027e-06
83 *329:108 *888:12 0
84 *329:116 *4459:A2 0.000408151
85 *329:116 *4481:A2 1.8078e-05
86 *329:116 *4481:B1 0.000211478
87 *329:116 *397:29 0.000155128
88 *329:116 *443:25 3.58315e-06
89 *329:116 *612:73 0.000457655
90 *329:116 *614:29 0.000122925
91 *329:116 *615:22 2.81717e-05
92 *329:116 *1037:24 0.00037856
93 *329:116 *1037:36 3.31733e-05
94 *329:122 *4256:A 0.000422625
95 *329:122 *4266:A 1.2693e-05
96 *329:122 *4411:A2 0.000279872
97 *329:122 *4459:A2 0.000969764
98 *329:122 *5051:TE_B 0.000346333
99 *329:122 *332:56 0.00057742
100 *329:122 *335:46 0
101 *329:122 *335:48 0.000304308
102 *329:122 *395:12 0.000281444
103 *329:122 *395:23 0
104 *329:122 *1037:17 0.000325369
105 *329:122 *1037:24 0.000234961
106 io_oeb[32] *329:28 7.00991e-05
107 io_out[32] *4237:A 0
108 la1_data_out[14] *329:69 3.30161e-05
109 *4923:D *329:93 4.69495e-06
110 *5155:A *329:28 0
111 *5156:A *329:20 0.000418504
112 *305:24 *329:69 1.82632e-05
113 *318:16 *329:20 0.00208031
114 *319:28 *329:20 3.29488e-05
115 *319:36 *329:69 3.31745e-05
116 *321:16 *329:20 0.00016996
117 *324:82 *329:93 0.00189308
118 *324:103 *329:69 0.000396003
119 *326:72 *329:108 9.98334e-05
120 *326:72 *329:116 0.000472503
121 *326:92 *329:75 0.00276084
122 *326:92 *329:93 0.00185265
123 *326:92 *329:108 0.000101308
*RES
1 *4234:X *329:6 15.1659
2 *329:6 *329:20 35.0561
3 *329:20 *329:23 47.8888
4 *329:23 *329:27 12.4332
5 *329:27 *329:28 170.424
6 *329:28 *4239:A 16.691
7 *329:27 *4237:A 23.924
8 *329:6 *329:69 46.7332
9 *329:69 *329:75 13.1381
10 *329:75 *4235:A 18.7423
11 *329:75 *329:93 45.8405
12 *329:93 *329:108 47.651
13 *329:108 *329:116 38.6008
14 *329:116 *329:122 45.7999
15 *329:122 *4238:A 13.7491
16 *329:116 *4236:A 14.4725
*END
*D_NET *330 0.0747365
*CONN
*I *4241:A I *D sky130_fd_sc_hd__inv_2
*I *4244:A I *D sky130_fd_sc_hd__inv_2
*I *4245:A I *D sky130_fd_sc_hd__inv_2
*I *4242:A I *D sky130_fd_sc_hd__inv_2
*I *4243:A I *D sky130_fd_sc_hd__inv_2
*I *4240:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4241:A 0
2 *4244:A 8.53096e-05
3 *4245:A 0.000425567
4 *4242:A 0
5 *4243:A 0.000598169
6 *4240:X 0
7 *330:125 0.00123063
8 *330:121 0.00354046
9 *330:106 0.00433973
10 *330:82 0.0022945
11 *330:66 0.00169713
12 *330:60 0.00137007
13 *330:57 0.000610112
14 *330:48 0.000244521
15 *330:45 0.00284319
16 *330:20 0.00409874
17 *330:18 0.00352635
18 *330:16 0.00219968
19 *330:14 0.00312947
20 *330:4 0.00371593
21 *4243:A *768:5 0.000934374
22 *4244:A *4303:A 0
23 *4244:A *932:7 0.000158371
24 *4245:A *5062:TE_B 4.31539e-05
25 *4245:A *332:56 0.0011984
26 *4245:A *337:26 0.00054861
27 *330:14 *4400:A 6.99044e-06
28 *330:14 *4695:A 7.96613e-05
29 *330:14 *4751:A 0.000353672
30 *330:14 *4812:A 3.34965e-05
31 *330:14 *513:73 1.62891e-05
32 *330:14 *592:18 0.000422586
33 *330:14 *600:17 0.000305622
34 *330:14 *675:36 0.000128831
35 *330:14 *698:17 0.000110306
36 *330:14 *1002:62 1.22756e-05
37 *330:16 *4400:A 0.000469756
38 *330:16 *4595:B 0.000325947
39 *330:16 *4597:A 5.13902e-05
40 *330:16 *4603:A 9.33514e-05
41 *330:16 *4628:A 0.000152476
42 *330:16 *4638:B 1.4639e-05
43 *330:16 *4673:B 0.000294805
44 *330:16 *4695:A 6.31996e-05
45 *330:16 *4715:A 0.000101723
46 *330:16 *4731:A 0.00035992
47 *330:16 *554:21 2.37478e-05
48 *330:16 *561:10 0
49 *330:16 *1002:62 5.36536e-06
50 *330:16 *1009:44 0
51 *330:20 *610:14 0
52 *330:45 *4643:A1 0.00159663
53 *330:45 *4773:A 3.11931e-05
54 *330:45 *5084:A 0.000295225
55 *330:45 *338:50 3.29488e-05
56 *330:45 *348:18 0.00110498
57 *330:45 *675:36 5.99527e-05
58 *330:45 *698:17 0.000161172
59 *330:45 *779:9 0.00010238
60 *330:45 *798:11 6.22259e-05
61 *330:45 *824:25 0.000217908
62 *330:45 *900:15 0.00208284
63 *330:45 *908:15 0.000226692
64 *330:45 *940:23 0.00262836
65 *330:48 *4643:A1 2.35827e-05
66 *330:48 *347:17 4.42742e-06
67 *330:57 *347:17 3.24894e-05
68 *330:60 *719:9 0.00335288
69 *330:60 *856:9 0.00177242
70 *330:60 *881:25 0.000166538
71 *330:66 *4258:A 0.000319854
72 *330:66 *5064:TE_B 0.000205006
73 *330:66 *5101:TE_B 6.82231e-05
74 *330:66 *572:28 0.000257152
75 *330:66 *731:10 9.60366e-05
76 *330:66 *919:14 0.000160088
77 *330:66 *922:14 0.000203341
78 *330:82 *4645:A 7.5032e-05
79 *330:82 *4661:B2 6.85321e-05
80 *330:82 *348:8 0.000771122
81 *330:82 *572:28 0.000100642
82 *330:82 *589:24 0.000120548
83 *330:82 *608:45 0
84 *330:82 *609:94 0.000561472
85 *330:82 *708:14 5.04829e-06
86 *330:82 *731:10 6.50727e-05
87 *330:106 *4403:A1 3.09613e-05
88 *330:106 *4405:B1 1.66626e-05
89 *330:106 *4405:B2 1.55462e-05
90 *330:106 *397:15 0.000154952
91 *330:106 *401:23 3.28316e-05
92 *330:106 *573:49 0.000813168
93 *330:106 *598:20 0.000293748
94 *330:106 *614:29 0
95 *330:106 *616:49 9.71793e-05
96 *330:106 *619:15 0
97 *330:106 *777:30 0
98 *330:106 *840:15 0.000328338
99 *330:106 *855:14 2.66879e-05
100 *330:106 *1000:14 0
101 *330:106 *1000:83 0
102 *330:106 *1001:12 0
103 *330:106 *1002:25 4.4421e-05
104 *330:121 *4425:A 0.000104509
105 *330:121 *4432:B1 0.000337952
106 *330:121 *4620:A 0.00216527
107 *330:121 *5056:A 2.33334e-05
108 *330:121 *346:104 0
109 *330:121 *414:60 0.000308359
110 *330:121 *419:62 0.000953761
111 *330:121 *450:23 0.000543509
112 *330:121 *454:70 1.60156e-05
113 *330:121 *886:12 6.77244e-05
114 *330:121 *924:8 0.000122098
115 *330:121 *932:7 0.000742553
116 *330:121 *1050:38 0.000188202
117 *330:125 *5108:TE_B 4.0752e-05
118 *330:125 *332:56 0.000118485
119 *330:125 *337:26 4.52469e-05
120 *330:125 *342:34 0.000706077
121 io_oeb[2] *330:66 0
122 io_out[1] *330:48 9.28861e-05
123 io_out[1] *330:57 0.000172524
124 io_out[5] *330:45 0
125 *4240:A *330:14 6.50727e-05
126 *4346:A *330:45 2.77564e-05
127 *4864:D *330:106 0
128 *4871:D *330:106 8.19494e-05
129 *4884:D *330:16 0.000127194
130 *4902:D *330:14 0.000156593
131 *38:17 *330:106 6.84074e-06
132 *38:29 *330:16 1.72799e-05
133 *291:22 *330:14 1.9101e-05
134 *300:15 *330:45 0.000111722
135 *311:15 *330:14 3.36528e-05
136 *319:37 *330:60 0.00027469
137 *321:33 *330:82 0.000218411
138 *324:13 *330:82 0.000205666
139 *324:14 *330:106 2.40447e-05
140 *324:14 *330:121 0.000143702
141 *328:20 *330:16 0.00121787
142 *328:20 *330:20 0.00202786
143 *328:75 *330:45 0.00208284
*RES
1 *4240:X *330:4 9.24915
2 *330:4 *330:14 30.7087
3 *330:14 *330:16 66.9411
4 *330:16 *330:18 0.732798
5 *330:18 *330:20 109.883
6 *330:20 *4243:A 35.5475
7 *330:4 *330:45 47.3895
8 *330:45 *330:48 5.40841
9 *330:48 *4242:A 13.7491
10 *330:48 *330:57 8.40826
11 *330:57 *330:60 46.8187
12 *330:60 *330:66 33.9818
13 *330:66 *330:82 30.9082
14 *330:82 *330:106 45.5832
15 *330:106 *330:121 49.3495
16 *330:121 *330:125 17.4535
17 *330:125 *4245:A 35.5455
18 *330:125 *4244:A 16.1605
19 *330:82 *4241:A 9.24915
*END
*D_NET *331 0.0852205
*CONN
*I *4248:A I *D sky130_fd_sc_hd__inv_2
*I *4249:A I *D sky130_fd_sc_hd__inv_2
*I *4250:A I *D sky130_fd_sc_hd__inv_2
*I *4251:A I *D sky130_fd_sc_hd__inv_2
*I *4247:A I *D sky130_fd_sc_hd__inv_2
*I *4246:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4248:A 0
2 *4249:A 3.5247e-05
3 *4250:A 2.78005e-05
4 *4251:A 0.000372606
5 *4247:A 0
6 *4246:X 0.000182798
7 *331:113 0.0015959
8 *331:94 0.00263968
9 *331:93 0.00260443
10 *331:91 0.00621114
11 *331:89 0.00712228
12 *331:86 0.00263909
13 *331:76 0.000878183
14 *331:68 0.00170713
15 *331:31 0.000843889
16 *331:22 0.00629448
17 *331:21 0.00596834
18 *331:15 0.0014375
19 *331:14 0.00179699
20 *331:8 0.00167623
21 *4251:A *5059:A 0.000324151
22 *4251:A *5059:TE_B 8.47748e-05
23 *331:8 *698:35 0.000213529
24 *331:8 *824:25 0.000213529
25 *331:14 *347:49 0.000143855
26 *331:14 *675:36 5.49916e-05
27 *331:14 *687:36 0.00112981
28 *331:14 *688:41 3.10409e-06
29 *331:14 *882:14 0.00162035
30 *331:15 *4296:A 6.08467e-05
31 *331:15 *4785:A 6.64392e-05
32 *331:15 *339:11 0.000160617
33 *331:22 *5067:TE_B 0.000101133
34 *331:22 *5096:A 0.000187522
35 *331:22 *5137:A 0.000101133
36 *331:22 *5138:A 0.000205332
37 *331:22 *332:92 5.26188e-05
38 *331:22 *332:94 0.00422698
39 *331:22 *343:8 0
40 *331:31 *4340:A 0.000330665
41 *331:31 *5037:TE_B 9.60216e-05
42 *331:31 *5094:TE_B 5.3371e-05
43 *331:31 *5116:TE_B 0.00012407
44 *331:31 *332:94 0.000966731
45 *331:31 *852:11 0.00115452
46 *331:31 *894:13 0
47 *331:68 *4761:A 6.50727e-05
48 *331:68 *4900:CLK 2.55661e-06
49 *331:68 *346:40 0.000204088
50 *331:68 *669:22 1.05272e-06
51 *331:68 *687:36 0.000845174
52 *331:68 *700:35 0.000318331
53 *331:68 *700:49 0.000159838
54 *331:68 *701:30 1.77912e-05
55 *331:68 *782:19 0.00127829
56 *331:68 *807:17 1.22858e-05
57 *331:68 *830:25 0.000549649
58 *331:68 *830:34 0.000111708
59 *331:68 *882:14 0.000442469
60 *331:68 *1004:67 0.00167395
61 *331:76 *334:31 3.6729e-05
62 *331:76 *609:23 0.000566769
63 *331:76 *687:14 1.81263e-05
64 *331:76 *690:19 2.74313e-05
65 *331:76 *743:11 0.000675012
66 *331:76 *830:165 0.00010814
67 *331:76 *971:19 0.000259943
68 *331:86 *4920:CLK 6.08467e-05
69 *331:86 *743:11 6.64392e-05
70 *331:89 *340:77 4.18944e-06
71 *331:89 *351:23 2.18648e-05
72 *331:89 *351:97 0.000125431
73 *331:89 *759:28 6.18126e-05
74 *331:89 *832:16 2.43314e-05
75 *331:89 *832:18 0.000497634
76 *331:89 *832:20 5.01835e-05
77 *331:89 *832:24 9.72199e-05
78 *331:91 *4492:A 2.02035e-05
79 *331:91 *4503:A 0.000341587
80 *331:91 *4505:A1 0
81 *331:91 *4509:B1 0.00023022
82 *331:91 *4515:B 0
83 *331:91 *4525:A 3.55968e-05
84 *331:91 *4526:A2 0
85 *331:91 *4528:A 0.000102707
86 *331:91 *4543:B 9.00546e-05
87 *331:91 *4570:C1 0
88 *331:91 *4582:A 0
89 *331:91 *4583:B 4.19328e-05
90 *331:91 *4588:B 9.76797e-05
91 *331:91 *4590:A1 0
92 *331:91 *4596:A2 4.65704e-05
93 *331:91 *4596:B1 9.47963e-05
94 *331:91 *4831:CLK 3.31743e-05
95 *331:91 *340:77 0.000731984
96 *331:91 *350:32 5.71863e-05
97 *331:91 *351:23 0
98 *331:91 *400:54 6.09999e-05
99 *331:91 *434:17 0
100 *331:91 *441:21 0.00024598
101 *331:91 *457:14 7.99851e-05
102 *331:91 *470:34 0.000180134
103 *331:91 *471:20 0
104 *331:91 *479:16 0
105 *331:91 *492:16 0.000447355
106 *331:91 *492:34 0.000346583
107 *331:91 *497:8 8.93512e-06
108 *331:91 *523:83 7.00663e-05
109 *331:91 *539:66 3.88655e-06
110 *331:91 *547:8 0.000259995
111 *331:91 *547:10 0.000118659
112 *331:91 *553:11 9.18014e-05
113 *331:91 *610:10 0
114 *331:91 *616:30 1.5714e-05
115 *331:91 *620:45 1.30978e-05
116 *331:91 *1001:56 0.000162413
117 *331:91 *1016:12 9.75356e-05
118 *331:91 *1016:14 0.000353629
119 *331:91 *1016:24 0.000150612
120 *331:91 *1041:8 0
121 *331:91 *1042:25 0.000715816
122 *331:94 *5093:A 0.000642796
123 *331:94 *5106:A 0.000236357
124 *331:113 *4258:A 0.00205235
125 *331:113 *4643:B1 9.91802e-05
126 *331:113 *334:84 0.000236917
127 *331:113 *337:11 2.50675e-05
128 *331:113 *337:70 0.000233263
129 *331:113 *345:13 8.26073e-05
130 *331:113 *345:45 0.000940818
131 *331:113 *346:15 0.000169078
132 *331:113 *351:97 7.52574e-06
133 *331:113 *579:77 6.04056e-05
134 *331:113 *600:60 0.000436428
135 *331:113 *702:8 0.000141264
136 *331:113 *742:36 0.000933349
137 *331:113 *832:16 3.54541e-05
138 *331:113 *882:14 0.000315976
139 io_oeb[10] *331:22 0.000107143
140 io_oeb[36] *331:22 7.90257e-05
141 io_out[11] *331:31 2.70556e-05
142 io_out[19] *331:22 4.40103e-05
143 io_out[26] *331:31 0
144 io_out[32] *4251:A 0.000118485
145 io_out[32] *331:22 3.31733e-05
146 io_out[3] *331:22 1.91246e-05
147 io_out[7] *331:22 7.00732e-05
148 io_out[9] *331:22 2.02035e-05
149 la1_data_out[1] *331:22 0.000107128
150 la1_data_out[20] *331:22 0.00028149
151 la1_data_out[3] *331:22 9.61192e-05
152 la1_data_out[5] *331:31 5.07314e-05
153 *4224:A *331:31 0.000148192
154 *4237:A *4251:A 0
155 *4859:D *331:91 0
156 *4901:D *331:68 0.000277702
157 *4914:D *331:76 1.87611e-05
158 *4917:D *331:89 3.77804e-05
159 *5156:A *331:15 0.000224381
160 *214:30 *331:91 0
161 *229:20 *331:91 0.000214596
162 *287:10 *331:68 8.05411e-05
163 *301:16 *331:68 0.000378831
164 *302:23 *331:68 7.65608e-05
165 *310:24 *331:15 0.000162663
166 *310:24 *331:68 0.000500941
167 *315:19 *331:14 2.21765e-05
168 *316:47 *331:76 3.37941e-05
169 *316:47 *331:86 9.06232e-05
170 *320:52 *331:113 0.000728042
171 *325:33 *331:31 7.97787e-05
172 *325:34 *331:31 0
173 *329:23 *331:15 0.00444858
174 *329:23 *331:21 1.09551e-05
175 *329:27 *331:15 1.41689e-05
176 *329:27 *331:21 0.00047703
177 *329:28 *4251:A 0
178 *329:28 *331:22 0
179 *329:93 *331:113 5.07314e-05
*RES
1 *4246:X *331:8 22.0643
2 *331:8 *331:14 11.346
3 *331:14 *331:15 53.4107
4 *331:15 *331:21 10.2389
5 *331:21 *331:22 179.572
6 *331:22 *331:31 41.5945
7 *331:31 *4247:A 9.24915
8 *331:21 *4251:A 24.3637
9 *331:8 *331:68 45.7308
10 *331:68 *331:76 23.8125
11 *331:76 *4250:A 9.82786
12 *331:76 *331:86 7.99641
13 *331:86 *331:89 19.9443
14 *331:89 *331:91 164.403
15 *331:91 *331:93 4.5
16 *331:93 *331:94 60.066
17 *331:94 *4249:A 10.2378
18 *331:86 *331:113 41.5263
19 *331:113 *4248:A 9.24915
*END
*D_NET *332 0.0744312
*CONN
*I *4257:A I *D sky130_fd_sc_hd__inv_2
*I *4255:A I *D sky130_fd_sc_hd__inv_2
*I *4253:A I *D sky130_fd_sc_hd__inv_2
*I *4256:A I *D sky130_fd_sc_hd__inv_2
*I *4254:A I *D sky130_fd_sc_hd__inv_2
*I *4252:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4257:A 0.000650842
2 *4255:A 0
3 *4253:A 0.000495487
4 *4256:A 0.000216371
5 *4254:A 0
6 *4252:X 0
7 *332:94 0.00461052
8 *332:92 0.00546256
9 *332:87 0.00310853
10 *332:63 0.000928315
11 *332:56 0.00233665
12 *332:55 0.00331082
13 *332:41 0.00511827
14 *332:25 0.00635905
15 *332:12 0.00551611
16 *332:5 0.00147907
17 *4253:A *4341:A 9.33202e-05
18 *4253:A *5075:TE_B 0.000663646
19 *4253:A *878:13 0.000217937
20 *4256:A *395:12 0.000417113
21 *4256:A *1007:25 0.000260374
22 *4257:A *336:73 1.50389e-06
23 *4257:A *573:89 0.000141524
24 *4257:A *667:13 1.5714e-05
25 *4257:A *945:17 0.000119629
26 *332:12 *4738:A 1.91391e-05
27 *332:12 *4789:A 5.96098e-05
28 *332:12 *573:89 0.000142627
29 *332:12 *608:40 0
30 *332:12 *668:9 1.91391e-05
31 *332:12 *686:13 0.000219772
32 *332:12 *698:17 0.000217095
33 *332:25 *4379:A 3.17436e-05
34 *332:25 *4458:B 0.00113577
35 *332:25 *4575:A 0.000266321
36 *332:25 *4628:A 0.000122316
37 *332:25 *4709:A 0.00013142
38 *332:25 *4719:A 1.29018e-05
39 *332:25 *440:50 5.04829e-06
40 *332:25 *554:21 9.00714e-07
41 *332:41 *4458:B 0
42 *332:41 *4488:B 0.000160617
43 *332:41 *4494:B1 8.35409e-05
44 *332:41 *4700:C1 0.000313986
45 *332:41 *341:70 1.5714e-05
46 *332:41 *437:39 0.00121469
47 *332:41 *440:34 0.00160342
48 *332:41 *441:43 0.000301132
49 *332:41 *460:8 8.81102e-05
50 *332:41 *490:16 0.000893015
51 *332:41 *565:17 0.00020285
52 *332:41 *633:15 4.0752e-05
53 *332:41 *819:8 3.0347e-05
54 *332:41 *996:8 2.57465e-06
55 *332:41 *1045:31 3.50469e-05
56 *332:55 *4415:A 1.83307e-05
57 *332:55 *4418:A1 0.000154145
58 *332:55 *4418:A2 5.35809e-05
59 *332:55 *4471:B 0
60 *332:55 *4620:A 0
61 *332:55 *374:13 5.36085e-05
62 *332:55 *375:28 0
63 *332:55 *394:43 5.49916e-05
64 *332:55 *436:26 1.28327e-05
65 *332:55 *437:39 0.00135881
66 *332:55 *441:43 0.000128087
67 *332:55 *574:20 5.04898e-05
68 *332:56 *4266:A 4.50646e-05
69 *332:56 *5038:TE_B 4.27003e-05
70 *332:56 *337:24 0
71 *332:56 *337:26 0.000343798
72 *332:56 *343:56 0
73 *332:56 *395:12 0.00145354
74 *332:63 *5097:TE_B 0.000101148
75 *332:63 *337:26 0.000949587
76 *332:87 *4653:A 5.39149e-05
77 *332:87 *4653:B 0.000638336
78 *332:87 *592:18 2.87136e-06
79 *332:87 *643:19 1.25094e-05
80 *332:87 *917:24 0.000241009
81 *332:87 *1004:67 0.000507501
82 *332:92 *5067:TE_B 0.000111473
83 *332:94 *4274:A 0
84 *332:94 *5137:A 0.000101133
85 *332:94 *5138:A 0.000205332
86 *332:94 *711:6 0
87 *4217:A *332:94 0
88 *4228:A *4257:A 4.8464e-05
89 *4233:A *332:56 0.00109755
90 *4233:A *332:63 0.000208346
91 *4240:A *4257:A 7.5909e-06
92 *4240:A *332:12 4.11944e-05
93 *4245:A *332:56 0.0011984
94 *4701:A2 *332:41 7.09666e-06
95 *4835:D *332:55 6.05861e-05
96 *4843:D *332:41 0.00294749
97 *276:26 *332:12 6.55666e-06
98 *276:26 *332:25 7.13295e-05
99 *311:15 *332:12 0.000225467
100 *320:33 *332:12 0
101 *325:34 *332:94 0
102 *326:54 *332:56 0.000973444
103 *327:8 *4257:A 3.02981e-05
104 *327:24 *4257:A 1.24189e-05
105 *328:20 *332:25 0.000504806
106 *328:48 *332:63 0.000790002
107 *328:75 *4257:A 3.63593e-05
108 *329:28 *332:92 9.25748e-05
109 *329:28 *332:94 0.00411858
110 *329:122 *4256:A 0.000422625
111 *329:122 *332:56 0.00057742
112 *330:125 *332:56 0.000118485
113 *331:22 *332:92 5.26188e-05
114 *331:22 *332:94 0.00422698
115 *331:31 *332:94 0.000966731
*RES
1 *4252:X *332:5 13.7491
2 *332:5 *332:12 15.2582
3 *332:12 *332:25 48.9692
4 *332:25 *332:41 49.6224
5 *332:41 *332:55 27.1551
6 *332:55 *332:56 68.4189
7 *332:56 *332:63 44.1155
8 *332:63 *4254:A 9.24915
9 *332:55 *4256:A 24.1289
10 *332:12 *332:87 48.7695
11 *332:87 *332:92 34.9207
12 *332:92 *332:94 179.145
13 *332:94 *4253:A 29.1334
14 *332:92 *4255:A 13.7491
15 *332:5 *4257:A 26.5975
*END
*D_NET *333 0.00532689
*CONN
*I *4271:A I *D sky130_fd_sc_hd__buf_8
*I *4277:A I *D sky130_fd_sc_hd__clkbuf_8
*I *4265:A I *D sky130_fd_sc_hd__clkbuf_4
*I *4259:A I *D sky130_fd_sc_hd__buf_6
*I *4283:A I *D sky130_fd_sc_hd__buf_6
*I *4258:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4271:A 9.80149e-05
2 *4277:A 0.000182582
3 *4265:A 4.6698e-05
4 *4259:A 0.000141926
5 *4283:A 0.000117811
6 *4258:X 0.000648375
7 *333:32 0.00022928
8 *333:21 0.000330308
9 *333:19 0.000425263
10 *333:8 0.000905053
11 *4259:A *4258:A 0
12 *4259:A *4807:A 0
13 *4259:A *334:16 0.000120962
14 *4259:A *335:10 4.90264e-05
15 *4259:A *759:37 0.000116764
16 *4265:A *346:15 0.000171273
17 *4271:A *336:11 6.50727e-05
18 *4271:A *748:15 8.67474e-05
19 *4277:A *346:15 0.000549712
20 *4283:A *5104:TE_B 0.000268798
21 *333:8 *4258:A 0.000313495
22 *333:8 *4643:B1 0.000141562
23 *333:8 *4807:A 0
24 *333:8 *336:11 0
25 *333:8 *338:9 2.09547e-05
26 *333:19 *4258:A 0
27 *333:19 *336:11 0
28 *333:21 *4258:A 0
29 *333:21 *4807:A 0
30 io_oeb[2] *333:8 0.000297205
31 io_out[20] *333:8 0
*RES
1 *4258:X *333:8 27.1123
2 *333:8 *4283:A 17.4238
3 *333:8 *333:19 3.07775
4 *333:19 *333:21 3.90826
5 *333:21 *4259:A 18.1049
6 *333:21 *333:32 4.5
7 *333:32 *4265:A 11.0817
8 *333:32 *4277:A 15.5186
9 *333:19 *4271:A 16.691
*END
*D_NET *334 0.0553246
*CONN
*I *4264:A I *D sky130_fd_sc_hd__inv_2
*I *4260:A I *D sky130_fd_sc_hd__inv_2
*I *4262:A I *D sky130_fd_sc_hd__inv_2
*I *4261:A I *D sky130_fd_sc_hd__inv_2
*I *4263:A I *D sky130_fd_sc_hd__inv_2
*I *4259:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4264:A 0.000547448
2 *4260:A 0
3 *4262:A 2.81272e-05
4 *4261:A 0.000328718
5 *4263:A 8.64857e-05
6 *4259:X 0
7 *334:91 0.00156843
8 *334:84 0.00234844
9 *334:56 0.0017361
10 *334:55 0.00170798
11 *334:37 0.00354866
12 *334:35 0.00480153
13 *334:32 0.00568197
14 *334:31 0.00671071
15 *334:16 0.00468211
16 *334:4 0.00331275
17 *4261:A *5071:TE_B 2.65667e-05
18 *4261:A *5139:A 3.024e-05
19 *4261:A *338:54 0.000997862
20 *4262:A *5072:TE_B 7.34948e-06
21 *4263:A *5073:TE_B 2.65667e-05
22 *4264:A *4408:A1 6.08467e-05
23 *4264:A *4408:A2 9.9028e-05
24 *4264:A *4408:B1 5.51483e-06
25 *4264:A *4411:A2 0.000375013
26 *4264:A *4411:B1 1.41853e-05
27 *4264:A *4411:B2 0.000253916
28 *4264:A *4464:B1 0.000364342
29 *4264:A *338:96 4.41404e-05
30 *4264:A *338:116 4.55972e-05
31 *4264:A *752:11 0.000466359
32 *334:16 *338:77 1.66626e-05
33 *334:16 *340:77 0.000607459
34 *334:16 *346:40 0.000441698
35 *334:16 *690:19 1.40356e-05
36 *334:16 *759:37 0.000294011
37 *334:16 *782:19 0.000625289
38 *334:16 *882:14 0.000620058
39 *334:16 *919:14 0.000217368
40 *334:16 *951:8 4.31703e-05
41 *334:31 *4589:B 5.11419e-05
42 *334:31 *4916:CLK 0.000166028
43 *334:31 *346:40 8.67162e-05
44 *334:31 *534:16 4.20184e-06
45 *334:31 *535:41 5.60804e-05
46 *334:31 *600:60 0.000136458
47 *334:31 *603:31 1.74351e-05
48 *334:31 *615:22 6.23101e-05
49 *334:31 *687:14 0
50 *334:31 *688:17 0.000373061
51 *334:31 *690:19 0
52 *334:31 *699:16 6.80617e-05
53 *334:31 *700:35 0.000224395
54 *334:31 *806:19 0.000226707
55 *334:31 *830:165 0.000160452
56 *334:31 *830:167 0.000140395
57 *334:31 *880:37 3.16897e-05
58 *334:31 *956:21 6.50586e-05
59 *334:31 *1002:41 0.000205069
60 *334:31 *1004:67 1.03966e-05
61 *334:31 *1026:6 5.69201e-05
62 *334:32 *4358:A 0
63 *334:32 *4385:A1 4.94496e-05
64 *334:32 *4385:A2 9.96332e-05
65 *334:32 *4386:B2 0.000112819
66 *334:32 *4440:B1 0
67 *334:32 *4443:C 0.000406674
68 *334:32 *4455:A 0
69 *334:32 *4456:A 0.000122604
70 *334:32 *4483:A 0
71 *334:32 *4488:B 0.000102413
72 *334:32 *4494:B1 0
73 *334:32 *4500:A 0
74 *334:32 *4502:A 0
75 *334:32 *4502:B 0.000644519
76 *334:32 *4525:A 1.91246e-05
77 *334:32 *4525:B 0
78 *334:32 *4827:CLK 0.000175195
79 *334:32 *4850:CLK 9.13485e-05
80 *334:32 *349:31 0
81 *334:32 *432:12 0
82 *334:32 *435:15 0.000239574
83 *334:32 *440:6 1.57662e-05
84 *334:32 *440:8 7.25134e-05
85 *334:32 *534:33 0
86 *334:32 *560:48 0.000149783
87 *334:32 *826:64 0.000151441
88 *334:32 *840:15 2.0138e-05
89 *334:32 *999:17 1.74106e-05
90 *334:32 *1016:54 0.000182639
91 *334:56 *5072:A 0.000139435
92 *334:84 *5053:TE_B 0.000440512
93 *334:84 *337:11 8.62625e-06
94 *334:84 *345:13 0.000165634
95 *334:84 *490:55 9.12416e-06
96 *334:84 *574:81 0.00048851
97 *334:84 *578:14 3.48104e-05
98 *334:84 *578:19 4.76283e-05
99 *334:84 *579:10 5.45571e-05
100 *334:84 *579:77 0.000885934
101 *334:84 *580:16 3.88655e-06
102 *334:84 *587:82 1.01315e-05
103 *334:84 *589:24 8.62321e-06
104 *334:84 *593:37 2.59488e-05
105 *334:84 *601:21 9.24241e-05
106 *334:84 *759:37 2.77625e-06
107 *334:84 *832:145 0.000132046
108 *334:84 *1002:25 9.15115e-06
109 *334:91 *4406:A_N 6.34651e-06
110 *334:91 *4408:A1 1.48316e-05
111 *334:91 *4408:B2 3.14978e-05
112 *334:91 *4445:B1 8.26073e-05
113 *334:91 *4464:B1 2.52287e-06
114 *334:91 *4644:A 9.07329e-05
115 *334:91 *4648:B1 0.000120583
116 *334:91 *346:102 0.00019852
117 *334:91 *490:55 0.000125921
118 *334:91 *580:87 5.01835e-05
119 *334:91 *580:100 0.000821923
120 *334:91 *592:64 1.91391e-05
121 *334:91 *617:11 0
122 *334:91 *1002:25 9.17705e-05
123 *334:91 *1003:59 0.00081333
124 *4259:A *334:16 0.000120962
125 *4827:D *334:32 7.39022e-06
126 *4828:D *334:32 3.26668e-05
127 *4831:D *334:32 0
128 *4916:D *334:31 1.66626e-05
129 *4921:D *334:16 8.69399e-05
130 *240:13 *334:32 0.000188976
131 *276:26 *334:31 7.61596e-06
132 *326:13 *4262:A 2.16355e-05
133 *328:20 *334:56 0.000938242
134 *329:28 *4261:A 0.000997862
135 *331:76 *334:31 3.6729e-05
136 *331:113 *334:84 0.000236917
*RES
1 *4259:X *334:4 9.24915
2 *334:4 *334:16 31.2802
3 *334:16 *334:31 38.7331
4 *334:31 *334:32 102.946
5 *334:32 *334:35 44.0456
6 *334:35 *334:37 73.3765
7 *334:37 *4263:A 11.0817
8 *334:37 *4261:A 37.2781
9 *334:35 *334:55 4.5
10 *334:55 *334:56 53.3233
11 *334:56 *4262:A 14.4725
12 *334:16 *4260:A 9.24915
13 *334:4 *334:84 42.9214
14 *334:84 *334:91 37.0328
15 *334:91 *4264:A 27.5993
*END
*D_NET *335 0.0278358
*CONN
*I *4268:A I *D sky130_fd_sc_hd__inv_2
*I *4267:A I *D sky130_fd_sc_hd__inv_2
*I *4270:A I *D sky130_fd_sc_hd__clkinv_2
*I *4266:A I *D sky130_fd_sc_hd__inv_2
*I *4269:A I *D sky130_fd_sc_hd__inv_2
*I *4265:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *4268:A 1.47608e-05
2 *4267:A 0.000288794
3 *4270:A 3.58004e-05
4 *4266:A 0.000311037
5 *4269:A 0
6 *4265:X 0.00109247
7 *335:74 0.00113289
8 *335:48 0.000786323
9 *335:46 0.00132111
10 *335:32 0.0012529
11 *335:29 0.00208172
12 *335:10 0.00363224
13 *4266:A *4395:A 8.59811e-06
14 *4266:A *5051:TE_B 0.000105193
15 *4266:A *5080:TE_B 2.65667e-05
16 *4266:A *343:56 7.09666e-06
17 *4266:A *791:11 0.000268901
18 *4267:A *4591:A2 3.3239e-06
19 *4267:A *527:94 6.92705e-05
20 *4267:A *539:52 1.8543e-05
21 *4267:A *549:23 7.47596e-05
22 *4267:A *588:29 0.000171825
23 *4267:A *1009:78 0.000257999
24 *4268:A *4562:A2 6.08467e-05
25 *4268:A *1012:33 6.08467e-05
26 *335:10 *4258:A 0
27 *335:10 *4625:A1 0
28 *335:10 *4625:B1 0.000299402
29 *335:10 *4634:B1 6.35563e-05
30 *335:10 *4807:A 1.77537e-06
31 *335:10 *4813:B 7.4235e-06
32 *335:10 *4816:A 0
33 *335:10 *4816:D 4.70652e-05
34 *335:10 *4817:B 4.15661e-05
35 *335:10 *338:77 0
36 *335:10 *571:36 6.39153e-06
37 *335:10 *571:57 8.80405e-06
38 *335:10 *573:24 2.08881e-05
39 *335:10 *582:10 0
40 *335:10 *598:20 2.21282e-05
41 *335:10 *601:32 0.000263892
42 *335:10 *607:15 0
43 *335:10 *832:132 0
44 *335:10 *880:18 3.65986e-05
45 *335:10 *950:8 5.84583e-05
46 *335:10 *953:11 2.99353e-05
47 *335:29 *4459:B1 0.000226348
48 *335:29 *4634:A2 0.00013115
49 *335:29 *4634:B1 1.02918e-05
50 *335:29 *4635:A1 7.50872e-05
51 *335:29 *4637:A 0.000455774
52 *335:29 *4637:B 1.27337e-05
53 *335:29 *4817:B 3.29488e-05
54 *335:29 *4818:A1 0.000107792
55 *335:29 *571:36 1.84495e-05
56 *335:29 *582:10 5.29535e-05
57 *335:29 *582:35 1.69932e-05
58 *335:29 *588:47 3.82228e-05
59 *335:29 *589:24 2.61147e-05
60 *335:29 *598:20 0.000304777
61 *335:29 *614:29 3.09827e-05
62 *335:29 *1002:25 0.000104202
63 *335:32 *4666:A1 1.04743e-05
64 *335:32 *4666:A3 0.000222115
65 *335:32 *4667:B 5.64311e-05
66 *335:32 *574:29 7.65861e-05
67 *335:32 *596:11 2.81627e-06
68 *335:32 *601:62 0.000222115
69 *335:32 *614:29 2.22198e-05
70 *335:32 *776:8 8.80212e-05
71 *335:46 *4459:A2 0.00111192
72 *335:46 *4671:A 0.000304745
73 *335:46 *4870:CLK 0.000163928
74 *335:46 *5049:TE_B 0.000120681
75 *335:46 *1004:109 0.000719982
76 *335:46 *1006:8 0.00010996
77 *335:48 *5051:TE_B 6.28598e-05
78 *335:48 *5068:TE_B 9.46009e-05
79 *335:48 *791:11 0.000927142
80 *335:48 *1004:109 0
81 *335:74 *4562:A2 0.0001214
82 *335:74 *4571:B1 2.57604e-05
83 *335:74 *4817:B 0.00159399
84 *335:74 *524:8 3.34723e-05
85 *335:74 *571:32 0.000155429
86 *335:74 *588:29 3.44695e-05
87 *335:74 *590:26 0.00174024
88 *335:74 *632:18 6.14756e-06
89 *335:74 *718:45 3.33882e-05
90 *335:74 *1005:29 0.00358245
91 io_oeb[29] *335:46 2.37478e-05
92 io_out[4] *335:46 1.07248e-05
93 io_out[4] *335:48 4.46284e-06
94 *4259:A *335:10 4.90264e-05
95 *4858:D *4267:A 5.11322e-06
96 *4858:D *335:74 2.55661e-06
97 *4870:D *335:46 9.82494e-05
98 *326:72 *335:32 0
99 *329:122 *4266:A 1.2693e-05
100 *329:122 *335:46 0
101 *329:122 *335:48 0.000304308
102 *332:56 *4266:A 4.50646e-05
*RES
1 *4265:X *335:10 39.1162
2 *335:10 *335:29 43.5418
3 *335:29 *335:32 15.8828
4 *335:32 *4269:A 9.24915
5 *335:32 *335:46 41.8707
6 *335:46 *335:48 20.3107
7 *335:48 *4266:A 24.4098
8 *335:48 *4270:A 14.4725
9 *335:10 *335:74 16.061
10 *335:74 *4267:A 21.2876
11 *335:74 *4268:A 14.4725
*END
*D_NET *336 0.0766845
*CONN
*I *4272:A I *D sky130_fd_sc_hd__inv_2
*I *4276:A I *D sky130_fd_sc_hd__inv_2
*I *4273:A I *D sky130_fd_sc_hd__inv_2
*I *4274:A I *D sky130_fd_sc_hd__inv_2
*I *4275:A I *D sky130_fd_sc_hd__inv_2
*I *4271:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4272:A 0.000282263
2 *4276:A 0
3 *4273:A 0
4 *4274:A 0.00114231
5 *4275:A 0.000342981
6 *4271:X 0.000263721
7 *336:129 0.00514402
8 *336:127 0.00489414
9 *336:125 0.00224305
10 *336:124 0.00221066
11 *336:99 0.00219679
12 *336:91 0.00115075
13 *336:89 0.00196171
14 *336:85 0.00274867
15 *336:81 0.00181451
16 *336:80 0.00311607
17 *336:76 0.00383147
18 *336:73 0.00273817
19 *336:38 0.00221415
20 *336:25 0.00204408
21 *336:11 0.00152812
22 *4272:A *340:24 0.000480592
23 *4274:A *4336:A 0.000105853
24 *4274:A *5035:A 0.000145584
25 *4274:A *5035:TE_B 0.000130777
26 *4274:A *5039:A 6.32454e-05
27 *4274:A *5052:A 3.84458e-05
28 *4274:A *5052:TE_B 2.56676e-05
29 *4274:A *5076:TE_B 0.000120075
30 *4274:A *5095:A 2.08076e-05
31 *4274:A *5138:TE_B 0.000104731
32 *4274:A *711:6 0
33 *4275:A *4900:CLK 4.19401e-06
34 *4275:A *337:95 4.69495e-06
35 *4275:A *673:18 0.000111738
36 *4275:A *688:41 0.00016542
37 *4275:A *697:27 0.000211464
38 *4275:A *767:21 6.37496e-05
39 *4275:A *1004:67 6.63077e-05
40 *336:11 *4807:A 0.000217571
41 *336:11 *338:9 0.000189022
42 *336:11 *338:28 0.000392581
43 *336:11 *748:15 6.92705e-05
44 *336:11 *870:13 8.26812e-05
45 *336:25 *5046:TE_B 0.000557397
46 *336:25 *5054:A 1.92172e-05
47 *336:25 *5089:TE_B 0.00119842
48 *336:25 *5120:TE_B 5.24081e-05
49 *336:25 *337:95 7.39264e-05
50 *336:25 *338:28 0.00371943
51 *336:25 *340:69 0.000128977
52 *336:25 *690:19 1.27831e-06
53 *336:25 *798:11 2.99978e-05
54 *336:25 *824:32 0.000130756
55 *336:25 *870:13 0.00027632
56 *336:25 *906:14 0.00205463
57 *336:25 *908:15 2.62977e-05
58 *336:38 *4900:CLK 5.11322e-06
59 *336:38 *5084:A 4.69495e-06
60 *336:38 *337:95 2.1203e-06
61 *336:38 *621:78 0.000206778
62 *336:38 *621:94 0.000138877
63 *336:38 *674:16 0.000137079
64 *336:38 *698:35 4.42742e-06
65 *336:38 *830:34 0
66 *336:38 *908:15 0.000815105
67 *336:73 *4751:A 0.00010322
68 *336:73 *4778:A 0.00125502
69 *336:73 *698:17 0.00015252
70 *336:73 *769:16 0.000122556
71 *336:73 *870:13 0.00126325
72 *336:73 *919:14 0.0003947
73 *336:73 *945:17 9.84424e-06
74 *336:76 *4800:A 5.14926e-05
75 *336:76 *513:73 0.000107496
76 *336:76 *643:45 0.000311249
77 *336:76 *643:54 0.000118166
78 *336:76 *698:17 2.74378e-05
79 *336:76 *838:7 0.000460974
80 *336:80 *5033:TE_B 2.54062e-05
81 *336:81 *5033:A 0
82 *336:81 *5067:A 0
83 *336:81 *5085:A 4.73688e-05
84 *336:81 *5085:TE_B 0.000127179
85 *336:81 *338:54 0
86 *336:81 *711:6 0.000406941
87 *336:85 *711:6 0.000518266
88 *336:89 *5083:A 6.77426e-05
89 *336:89 *5107:A 1.98255e-05
90 *336:89 *5107:TE_B 9.83892e-05
91 *336:89 *5134:A 0.000182869
92 *336:89 *5134:TE_B 3.36294e-05
93 *336:89 *711:6 0
94 *336:91 *5083:A 4.46284e-06
95 *336:91 *5083:TE_B 0.000127179
96 *336:91 *347:62 3.67708e-05
97 *336:91 *711:6 6.20396e-05
98 *336:99 *4336:A 0.00094408
99 *336:99 *5073:A 0.000287737
100 *336:99 *5073:TE_B 0.000104731
101 *336:99 *5095:A 1.86389e-05
102 *336:99 *5095:TE_B 9.34919e-05
103 *336:99 *5112:TE_B 4.6012e-05
104 *336:99 *5137:TE_B 0.000115573
105 *336:99 *5139:TE_B 9.8511e-05
106 *336:99 *347:62 0.000359642
107 *336:99 *347:70 0.000958825
108 *336:99 *711:6 0.000237487
109 *336:125 *4391:A1 4.16066e-05
110 *336:125 *4394:B1 4.42742e-06
111 *336:125 *4602:B 0.000376565
112 *336:125 *4647:A1 0.000128987
113 *336:125 *4647:B1 2.59935e-05
114 *336:125 *4807:B 1.59078e-05
115 *336:125 *4818:A2 0.000340779
116 *336:125 *4818:A3 0.000291706
117 *336:125 *5079:A 0.000127655
118 *336:125 *338:77 0
119 *336:125 *338:81 0
120 *336:125 *351:44 0.000773243
121 *336:125 *351:54 0.000382096
122 *336:125 *568:11 9.4218e-05
123 *336:125 *568:42 0.000138625
124 *336:125 *573:15 0
125 *336:125 *616:49 0.000156394
126 *336:125 *698:74 6.6468e-05
127 *336:125 *701:11 0.000550383
128 *336:125 *742:36 1.37385e-05
129 *336:125 *777:14 6.0895e-05
130 *336:125 *952:25 0.000656978
131 *336:125 *954:12 3.52562e-05
132 *336:125 *1024:10 7.14746e-05
133 *336:125 *1046:44 2.78219e-06
134 *336:129 *4356:A2 0.000376992
135 *336:129 *4356:B2 0.000171165
136 *336:129 *4364:B2 4.58447e-05
137 *336:129 *4367:A2 0.00013257
138 *336:129 *4367:B1 0.000647773
139 *336:129 *4391:A1 2.02035e-05
140 *336:129 *4394:B1 1.26179e-05
141 *336:129 *4433:A 0.000200221
142 *336:129 *4434:A1 0.000278373
143 *336:129 *4700:C1 0.000181844
144 *336:129 *4836:CLK 0.000401444
145 *336:129 *351:54 0.000179249
146 *336:129 *351:62 0.00061147
147 *336:129 *352:18 0
148 *336:129 *354:12 0
149 *336:129 *360:12 1.76071e-05
150 *336:129 *363:12 0
151 *336:129 *363:31 0
152 *336:129 *424:16 0.000616142
153 *336:129 *428:68 0
154 *336:129 *439:36 4.01573e-05
155 *336:129 *809:10 1.72799e-05
156 *336:129 *828:71 0.000143306
157 *336:129 *1019:8 0.000492346
158 *336:129 *1055:15 0.000315206
159 *336:129 *1055:24 0.000175973
160 io_oeb[37] *336:99 1.86464e-05
161 io_oeb[5] *336:89 1.93781e-05
162 io_out[12] *4274:A 4.40325e-05
163 io_out[21] *336:81 1.27071e-05
164 la1_data_out[14] *336:38 9.12416e-06
165 la1_data_out[22] *336:25 6.08467e-05
166 *4217:A *4274:A 7.52324e-05
167 *4228:A *336:73 0.000156496
168 *4240:A *336:73 0.000165634
169 *4257:A *336:73 1.50389e-06
170 *4271:A *336:11 6.50727e-05
171 *4902:D *336:76 8.29171e-05
172 *4910:D *336:25 0.000457655
173 *4911:D *336:25 0.00015776
174 *3:16 *336:38 6.23949e-05
175 *296:13 *336:38 0.000110505
176 *300:15 *336:76 5.04829e-06
177 *307:32 *336:25 0.000164815
178 *318:16 *336:73 0.000372893
179 *320:33 *336:38 0.000257104
180 *325:34 *4274:A 0.000133505
181 *327:8 *336:73 8.75567e-05
182 *327:24 *336:73 3.78945e-05
183 *328:19 *336:73 0.000787702
184 *328:75 *336:73 1.9101e-05
185 *329:69 *336:38 6.43476e-05
186 *329:69 *336:73 0.000154591
187 *332:94 *4274:A 0
188 *333:8 *336:11 0
189 *333:19 *336:11 0
*RES
1 *4271:X *336:11 22.6955
2 *336:11 *336:25 46.7458
3 *336:25 *336:38 22.6786
4 *336:38 *4275:A 30.404
5 *336:38 *336:73 41.5288
6 *336:73 *336:76 45.803
7 *336:76 *336:80 35.4493
8 *336:80 *336:81 27.9929
9 *336:81 *336:85 28.2738
10 *336:85 *336:89 49.3173
11 *336:89 *336:91 4.11588
12 *336:91 *336:99 44.3709
13 *336:99 *4274:A 48.9751
14 *336:91 *4273:A 13.7491
15 *336:81 *4276:A 13.7491
16 *336:11 *336:124 3.36879
17 *336:124 *336:125 63.2893
18 *336:125 *336:127 0.732798
19 *336:127 *336:129 139.903
20 *336:129 *4272:A 25.01
*END
*D_NET *337 0.0542902
*CONN
*I *4281:A I *D sky130_fd_sc_hd__inv_2
*I *4279:A I *D sky130_fd_sc_hd__inv_2
*I *4278:A I *D sky130_fd_sc_hd__inv_2
*I *4280:A I *D sky130_fd_sc_hd__inv_2
*I *4282:A I *D sky130_fd_sc_hd__clkinv_2
*I *4277:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *4281:A 0
2 *4279:A 0
3 *4278:A 0
4 *4280:A 0
5 *4282:A 0.000608786
6 *4277:X 0
7 *337:95 0.0018876
8 *337:82 0.00315214
9 *337:70 0.00262638
10 *337:41 0.000727786
11 *337:39 0.000596937
12 *337:31 0.00140685
13 *337:26 0.00385284
14 *337:24 0.00321631
15 *337:17 0.000603841
16 *337:12 0.00304586
17 *337:11 0.00426179
18 *337:5 0.00288923
19 *4282:A *5088:A 7.09148e-05
20 *4282:A *5088:TE_B 9.60216e-05
21 *337:11 *5053:TE_B 0.0010031
22 *337:11 *346:15 0.000165495
23 *337:11 *579:77 0.000237038
24 *337:12 *4657:C 0
25 *337:12 *4658:A2 0
26 *337:12 *5049:TE_B 4.86647e-05
27 *337:12 *893:8 0.00116952
28 *337:12 *932:8 0.000189113
29 *337:12 *1004:99 0
30 *337:12 *1004:109 0.00355115
31 *337:12 *1037:36 0
32 *337:17 *4374:A 0.000189753
33 *337:24 *4374:A 6.50727e-05
34 *337:24 *5087:TE_B 4.27148e-05
35 *337:24 *5122:TE_B 0.000101133
36 *337:24 *395:12 9.71863e-05
37 *337:24 *1006:27 0.000257879
38 *337:26 *4294:A 2.6777e-05
39 *337:26 *5042:A 0.000372379
40 *337:26 *5093:TE_B 4.87343e-05
41 *337:26 *5097:TE_B 0.000101148
42 *337:26 *5108:TE_B 0.000195139
43 *337:26 *5114:TE_B 0.000127194
44 *337:26 *342:42 0.000169803
45 *337:26 *452:33 0
46 *337:26 *760:8 0
47 *337:26 *1006:27 9.84111e-05
48 *337:31 *4297:A 0.0002817
49 *337:31 *5036:TE_B 7.25614e-05
50 *337:31 *5060:A 0.000122378
51 *337:31 *5103:TE_B 0.000791208
52 *337:31 *5130:TE_B 0.00121186
53 *337:31 *738:9 9.65989e-05
54 *337:39 *5086:TE_B 0.000114873
55 *337:39 *341:81 0.00143361
56 *337:41 *5102:TE_B 2.65667e-05
57 *337:41 *341:81 0.000191364
58 *337:70 *4619:A 1.75155e-06
59 *337:70 *4623:A 3.21865e-05
60 *337:70 *4807:B 0.00148112
61 *337:70 *579:77 0.000536745
62 *337:82 *4619:A 3.73573e-05
63 *337:82 *4779:A 0.000205762
64 *337:82 *5120:TE_B 0.00102141
65 *337:82 *743:11 6.50586e-05
66 *337:82 *957:9 0.000683027
67 *337:95 *5046:TE_B 0.000871211
68 *337:95 *5120:TE_B 4.59348e-05
69 *337:95 *621:78 0.000107872
70 *337:95 *621:94 3.88976e-05
71 *337:95 *697:27 0.00011162
72 *337:95 *767:21 0.000252923
73 *337:95 *862:25 0.000117446
74 *337:95 *919:14 0.00020951
75 io_oeb[26] *337:12 7.86825e-06
76 io_out[14] *337:12 7.86825e-06
77 io_out[1] *337:82 6.92705e-05
78 io_out[24] *4282:A 0
79 io_out[34] *337:12 3.63632e-05
80 io_out[35] *337:12 3.63512e-05
81 la1_data_out[17] *337:12 7.86825e-06
82 la1_data_out[8] *337:12 3.13173e-05
83 *4245:A *337:26 0.00054861
84 *4275:A *337:95 4.69495e-06
85 *4910:D *337:95 4.41295e-05
86 *64:11 *337:26 0.0010222
87 *64:11 *337:31 0.000532383
88 *87:8 *337:26 0
89 *318:16 *337:95 0.000197511
90 *320:33 *337:95 1.2272e-05
91 *324:89 *337:82 0.000491798
92 *325:13 *337:31 1.40434e-05
93 *325:13 *337:39 0.0016258
94 *325:13 *337:41 0.000301854
95 *325:19 *337:41 0.000144734
96 *328:48 *337:26 0
97 *329:69 *337:95 8.33746e-06
98 *330:125 *337:26 4.52469e-05
99 *331:113 *337:11 2.50675e-05
100 *331:113 *337:70 0.000233263
101 *332:56 *337:24 0
102 *332:56 *337:26 0.000343798
103 *332:63 *337:26 0.000949587
104 *334:84 *337:11 8.62625e-06
105 *336:25 *337:95 7.39264e-05
106 *336:38 *337:95 2.1203e-06
*RES
1 *4277:X *337:5 13.7491
2 *337:5 *337:11 35.6764
3 *337:11 *337:12 96.0942
4 *337:12 *337:17 11.9028
5 *337:17 *337:24 15.678
6 *337:24 *337:26 100.662
7 *337:26 *337:31 38.789
8 *337:31 *337:39 30.455
9 *337:39 *337:41 8.48785
10 *337:41 *4282:A 32.9974
11 *337:41 *4280:A 9.24915
12 *337:39 *4278:A 9.24915
13 *337:17 *4279:A 9.24915
14 *337:5 *337:70 33.9652
15 *337:70 *337:82 42.4392
16 *337:82 *337:95 47.2928
17 *337:95 *4281:A 9.24915
*END
*D_NET *338 0.0834571
*CONN
*I *4285:A I *D sky130_fd_sc_hd__inv_2
*I *4287:A I *D sky130_fd_sc_hd__inv_2
*I *4284:A I *D sky130_fd_sc_hd__inv_2
*I *4286:A I *D sky130_fd_sc_hd__inv_2
*I *4288:A I *D sky130_fd_sc_hd__inv_2
*I *4283:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4285:A 0.000850393
2 *4287:A 2.06324e-05
3 *4284:A 0
4 *4286:A 5.40006e-05
5 *4288:A 4.36951e-05
6 *4283:X 0.000121496
7 *338:117 0.00250132
8 *338:116 0.00276565
9 *338:96 0.00232325
10 *338:81 0.002668
11 *338:77 0.00249896
12 *338:54 0.00271209
13 *338:53 0.0027224
14 *338:51 0.00419125
15 *338:50 0.00482465
16 *338:38 0.000818149
17 *338:34 0.00118387
18 *338:28 0.00238835
19 *338:9 0.00252959
20 *4285:A *760:8 0
21 *4285:A *924:7 0.000159586
22 *4285:A *924:8 0
23 *4288:A *5095:A 0.000169041
24 *4288:A *5095:TE_B 3.14978e-05
25 *338:9 *4807:A 1.65175e-05
26 *338:9 *870:13 6.85238e-06
27 *338:9 *881:25 5.59855e-05
28 *338:28 *4910:CLK 0.000134942
29 *338:28 *5084:A 0.000191344
30 *338:28 *5089:TE_B 8.4101e-05
31 *338:28 *340:69 0.00012056
32 *338:28 *513:60 3.50319e-05
33 *338:28 *573:85 7.09666e-06
34 *338:28 *690:19 1.91246e-05
35 *338:28 *743:11 1.84334e-05
36 *338:28 *824:32 0.000109628
37 *338:28 *881:25 8.68557e-05
38 *338:28 *906:14 0.00126064
39 *338:28 *908:15 0.0030456
40 *338:34 *4295:A 0.000608566
41 *338:34 *5125:TE_B 3.70433e-05
42 *338:34 *769:16 1.69846e-05
43 *338:34 *779:8 1.02986e-05
44 *338:34 *856:9 0.00222472
45 *338:38 *688:41 8.94611e-05
46 *338:38 *769:16 0.0001869
47 *338:50 *4227:A 2.87198e-05
48 *338:50 *4761:A 0.00040678
49 *338:50 *4762:A 4.43174e-05
50 *338:50 *592:18 8.26375e-05
51 *338:50 *592:30 1.02986e-05
52 *338:50 *643:54 0.000171033
53 *338:50 *674:16 0.000188591
54 *338:50 *687:36 0.00106331
55 *338:50 *688:41 8.85969e-05
56 *338:50 *824:25 3.63738e-05
57 *338:50 *833:36 3.4002e-05
58 *338:51 *4812:A 0.000265053
59 *338:51 *5059:A 1.84293e-05
60 *338:51 *699:51 0.000164829
61 *338:51 *833:7 0.000519481
62 *338:51 *833:15 0.000932234
63 *338:54 *711:6 0.00874527
64 *338:77 *4807:A 0.000200371
65 *338:77 *4813:D 0
66 *338:77 *4816:A 0.000114679
67 *338:77 *4816:D 1.90817e-05
68 *338:77 *4818:A1 0.00017629
69 *338:77 *4818:A2 0
70 *338:77 *4818:B1 2.28894e-05
71 *338:77 *701:11 0
72 *338:77 *950:8 7.34861e-05
73 *338:77 *951:8 6.64609e-05
74 *338:81 *4629:A2 5.50754e-05
75 *338:81 *4629:C1 0.000202717
76 *338:81 *4818:A1 0.000760396
77 *338:81 *394:8 3.13563e-05
78 *338:81 *402:23 0.00017987
79 *338:81 *412:65 3.73224e-05
80 *338:81 *419:10 0.000271169
81 *338:81 *454:23 2.95757e-05
82 *338:81 *560:8 0.000903252
83 *338:81 *587:41 1.5714e-05
84 *338:81 *597:16 0.000231473
85 *338:81 *1046:28 8.03385e-06
86 *338:81 *1046:44 2.21282e-05
87 *338:96 *4408:A2 6.50586e-05
88 *338:96 *4408:B1 2.62278e-05
89 *338:96 *4409:B1 0.000148144
90 *338:96 *4409:B2 0.000253916
91 *338:96 *4409:C1 0.00012568
92 *338:96 *4445:A2 0.000544575
93 *338:96 *4445:B1 6.08467e-05
94 *338:96 *4464:A1 7.71476e-05
95 *338:96 *404:21 1.65872e-05
96 *338:96 *433:7 1.04271e-05
97 *338:96 *454:23 6.08467e-05
98 *338:96 *576:47 2.77625e-06
99 *338:96 *620:45 0.000351426
100 *338:96 *1035:53 0
101 *338:116 *4410:A1 5.30254e-05
102 *338:116 *4411:B2 2.16355e-05
103 *338:116 *4411:C1 3.31733e-05
104 *338:116 *4463:A1 0
105 *338:116 *4478:A1 0.00124551
106 *338:116 *4620:A 9.74409e-06
107 *338:116 *5068:A 3.52699e-05
108 *338:116 *375:28 6.83244e-06
109 *338:116 *396:6 0.00148764
110 *338:116 *436:26 4.93166e-05
111 *338:116 *574:20 6.21488e-06
112 *338:116 *776:7 0.000111722
113 *338:117 *4475:A 5.61454e-05
114 *338:117 *5038:A 0.000534345
115 *338:117 *5048:A 0.000242119
116 *338:117 *5062:A 0.000182869
117 *338:117 *5108:A 6.28743e-05
118 *338:117 *5114:A 0.000130777
119 *338:117 *393:15 0.00017419
120 *338:117 *436:26 0.000365346
121 *338:117 *453:10 0.000980094
122 *338:117 *453:18 0.000275396
123 *338:117 *760:8 0
124 *338:117 *828:8 0.00015607
125 *338:117 *924:8 0
126 *338:117 *1056:10 0.000340417
127 io_oeb[27] *338:28 6.94338e-05
128 io_oeb[32] *338:54 7.00991e-05
129 *4261:A *338:54 0.000997862
130 *4264:A *338:96 4.41404e-05
131 *4264:A *338:116 4.55972e-05
132 *4818:B2 *338:77 0.000135406
133 *4865:D *338:81 0.000143963
134 *4871:D *338:96 0.000311261
135 *4911:D *338:28 0.00118146
136 *5155:A *4286:A 0
137 *87:8 *4285:A 0
138 *214:27 *338:116 1.25094e-05
139 *302:23 *338:50 0.000404533
140 *310:24 *338:50 0.000852849
141 *319:28 *338:34 0.000353466
142 *321:23 *338:34 0.00223761
143 *326:69 *338:116 0.000109532
144 *329:20 *338:34 1.5714e-05
145 *329:20 *338:38 5.1493e-06
146 *329:28 *4286:A 3.30973e-05
147 *329:28 *338:54 0.0035916
148 *330:45 *338:50 3.29488e-05
149 *333:8 *338:9 2.09547e-05
150 *334:16 *338:77 1.66626e-05
151 *335:10 *338:77 0
152 *336:11 *338:9 0.000189022
153 *336:11 *338:28 0.000392581
154 *336:25 *338:28 0.00371943
155 *336:81 *338:54 0
156 *336:125 *338:77 0
157 *336:125 *338:81 0
*RES
1 *4283:X *338:9 18.6829
2 *338:9 *338:28 46.5436
3 *338:28 *338:34 46.4459
4 *338:34 *338:38 8.82351
5 *338:38 *338:50 30.0782
6 *338:50 *338:51 68.385
7 *338:51 *338:53 4.5
8 *338:53 *338:54 151.738
9 *338:54 *4288:A 15.5817
10 *338:53 *4286:A 15.1659
11 *338:38 *4284:A 9.24915
12 *338:9 *338:77 28.9801
13 *338:77 *338:81 47.0267
14 *338:81 *338:96 38.1736
15 *338:96 *4287:A 9.82786
16 *338:96 *338:116 43.3906
17 *338:116 *338:117 59.2834
18 *338:117 *4285:A 36.0719
*END
*D_NET *339 0.00520451
*CONN
*I *4296:A I *D sky130_fd_sc_hd__buf_6
*I *4314:A I *D sky130_fd_sc_hd__buf_6
*I *4290:A I *D sky130_fd_sc_hd__buf_8
*I *4308:A I *D sky130_fd_sc_hd__buf_8
*I *4302:A I *D sky130_fd_sc_hd__buf_6
*I *4289:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4296:A 2.3665e-05
2 *4314:A 0.000152885
3 *4290:A 0
4 *4308:A 0.000289188
5 *4302:A 0.000196986
6 *4289:X 0.000234899
7 *339:25 0.000528171
8 *339:14 0.000388219
9 *339:11 0.000363699
10 *4302:A *5059:A 6.08467e-05
11 *4308:A *4310:A 0.000387357
12 *4308:A *343:5 7.51206e-05
13 *4314:A *4310:A 0.000508663
14 *4314:A *340:8 6.08467e-05
15 *339:11 *343:8 0
16 *339:11 *345:83 7.12079e-05
17 *339:11 *345:85 1.0779e-05
18 *339:11 *833:15 0.000175485
19 *339:14 *5059:A 0.000191541
20 *339:14 *345:83 8.65002e-05
21 *339:25 *341:17 0
22 *339:25 *345:83 7.06329e-05
23 la1_data_out[27] *339:25 6.31665e-05
24 *39:14 *4308:A 0.000271044
25 *39:14 *4314:A 0.000772143
26 *331:15 *4296:A 6.08467e-05
27 *331:15 *339:11 0.000160617
*RES
1 *4289:X *339:11 24.1616
2 *339:11 *339:14 8.40826
3 *339:14 *4302:A 18.3548
4 *339:14 *339:25 7.57775
5 *339:25 *4308:A 20.0519
6 *339:25 *4290:A 9.24915
7 *339:25 *4314:A 18.8462
8 *339:11 *4296:A 9.97254
*END
*D_NET *340 0.0792849
*CONN
*I *4291:A I *D sky130_fd_sc_hd__inv_2
*I *4295:A I *D sky130_fd_sc_hd__inv_2
*I *4293:A I *D sky130_fd_sc_hd__inv_2
*I *4294:A I *D sky130_fd_sc_hd__inv_2
*I *4292:A I *D sky130_fd_sc_hd__inv_2
*I *4290:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4291:A 0
2 *4295:A 0.000535385
3 *4293:A 0
4 *4294:A 0.000131925
5 *4292:A 0.000375845
6 *4290:X 5.84889e-05
7 *340:77 0.00223184
8 *340:69 0.00341975
9 *340:54 0.00198228
10 *340:53 0.00152725
11 *340:38 0.00289336
12 *340:24 0.0115351
13 *340:23 0.0114032
14 *340:10 0.00574934
15 *340:8 0.00705709
16 *4292:A *4307:A 0.000287046
17 *4292:A *4343:A 0.000113968
18 *4292:A *5066:A 3.65842e-05
19 *4292:A *788:11 0.000122378
20 *4294:A *5093:TE_B 0.000268195
21 *4295:A *779:8 0.000598602
22 *340:8 *4310:A 6.08467e-05
23 *340:8 *4334:A 9.24241e-05
24 *340:8 *841:11 8.52968e-05
25 *340:10 *4307:A 0.000258938
26 *340:10 *4334:A 0.000100586
27 *340:10 *5076:A 4.27148e-05
28 *340:10 *5124:A 0
29 *340:10 *5124:TE_B 0
30 *340:10 *341:6 0.00122179
31 *340:10 *342:6 0
32 *340:10 *342:8 0
33 *340:10 *345:85 0
34 *340:10 *347:53 0.00684831
35 *340:10 *841:11 0.000443937
36 *340:24 *5090:A 2.09932e-05
37 *340:24 *5094:A 0.000266846
38 *340:24 *5118:TE_B 0.000579046
39 *340:24 *343:55 3.42853e-05
40 *340:24 *936:10 2.57986e-05
41 *340:24 *938:11 7.92757e-06
42 *340:38 *4304:A 0.000266832
43 *340:38 *5117:TE_B 0
44 *340:38 *5121:A 0.000150585
45 *340:38 *5136:A 5.07314e-05
46 *340:38 *344:8 0
47 *340:38 *347:52 0.000255213
48 *340:38 *869:12 0
49 *340:53 *5100:A 0.00033061
50 *340:53 *703:15 1.66673e-05
51 *340:53 *860:10 0.000235097
52 *340:53 *869:12 0
53 *340:53 *913:11 0.00102318
54 *340:54 *856:9 0.0013326
55 *340:54 *871:11 0.00178479
56 *340:69 *4770:C 1.54479e-05
57 *340:69 *4770:D 5.01835e-05
58 *340:69 *4910:CLK 2.50881e-05
59 *340:69 *5054:A 0
60 *340:69 *807:17 0.000224856
61 *340:69 *856:9 0.00206539
62 *340:69 *871:11 0.00183874
63 *340:69 *883:9 8.90486e-05
64 *340:77 *4583:B 0.000261457
65 *340:77 *4786:B 0
66 *340:77 *4790:C 0
67 *340:77 *4793:C 0
68 *340:77 *4794:B 6.96979e-05
69 *340:77 *4858:CLK 0.000266454
70 *340:77 *4917:CLK 4.67545e-05
71 *340:77 *379:42 1.3635e-05
72 *340:77 *527:94 1.5714e-05
73 *340:77 *609:10 0.000346034
74 *340:77 *609:78 3.82274e-05
75 *340:77 *718:45 0
76 *340:77 *759:28 0.00103817
77 *340:77 *782:19 0.00117705
78 *340:77 *832:14 5.19348e-05
79 *340:77 *1025:17 0
80 io_oeb[15] *340:38 0.000186626
81 io_oeb[15] *340:53 6.14128e-05
82 io_oeb[19] *340:38 0
83 io_oeb[22] *4292:A 0
84 io_oeb[22] *340:10 0
85 *4221:A *340:24 9.82307e-05
86 *4272:A *340:24 0.000480592
87 *4314:A *340:8 6.08467e-05
88 *4921:D *340:77 0.000637927
89 *87:8 *4294:A 0.000102348
90 *305:8 *340:53 5.7706e-05
91 *309:15 *340:77 0.000714824
92 *310:24 *340:77 0.000770046
93 *321:23 *340:54 0.000108598
94 *324:24 *340:24 3.82228e-05
95 *324:54 *340:24 0.000231442
96 *329:75 *340:69 1.00009e-05
97 *331:89 *340:77 4.18944e-06
98 *331:91 *340:77 0.000731984
99 *334:16 *340:77 0.000607459
100 *336:25 *340:69 0.000128977
101 *337:26 *4294:A 2.6777e-05
102 *338:28 *340:69 0.00012056
103 *338:34 *4295:A 0.000608566
*RES
1 *4290:X *340:8 16.3045
2 *340:8 *340:10 192.848
3 *340:10 *4292:A 25.1967
4 *340:10 *340:23 4.5
5 *340:23 *340:24 170.432
6 *340:24 *4294:A 24.2337
7 *340:8 *340:38 41.2085
8 *340:38 *4293:A 13.7491
9 *340:38 *340:53 49.9094
10 *340:53 *340:54 19.5799
11 *340:54 *4295:A 32.1235
12 *340:54 *340:69 49.7019
13 *340:69 *340:77 47.4694
14 *340:77 *4291:A 13.7491
*END
*D_NET *341 0.0608972
*CONN
*I *4299:A I *D sky130_fd_sc_hd__inv_2
*I *4300:A I *D sky130_fd_sc_hd__inv_2
*I *4297:A I *D sky130_fd_sc_hd__inv_2
*I *4298:A I *D sky130_fd_sc_hd__inv_2
*I *4301:A I *D sky130_fd_sc_hd__inv_2
*I *4296:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4299:A 4.34829e-05
2 *4300:A 0.000208637
3 *4297:A 6.00609e-05
4 *4298:A 0.000205439
5 *4301:A 0.000484583
6 *4296:X 0
7 *341:88 0.000390233
8 *341:81 0.00309193
9 *341:80 0.00311218
10 *341:75 0.000333931
11 *341:72 0.00450841
12 *341:70 0.00620389
13 *341:67 0.00274646
14 *341:56 0.00205929
15 *341:25 0.00321567
16 *341:18 0.00390456
17 *341:17 0.0030759
18 *341:6 0.00272155
19 *341:5 0.00326157
20 *4298:A *512:23 0.000119117
21 *4298:A *518:37 0.000192798
22 *4298:A *782:19 4.72014e-05
23 *4300:A *4328:A 6.94925e-05
24 *4300:A *5036:A 0.0002817
25 *4300:A *836:13 0.000115827
26 *4301:A *5107:TE_B 2.65667e-05
27 *341:6 *5059:A 0
28 *341:6 *345:85 0
29 *341:6 *841:11 7.93468e-05
30 *341:17 *4334:A 0
31 *341:17 *5045:A 5.04879e-05
32 *341:17 *5059:A 0
33 *341:17 *345:83 0
34 *341:17 *841:11 0.000234741
35 *341:17 *913:8 0
36 *341:18 *347:17 0.00020476
37 *341:18 *573:85 0.00221247
38 *341:18 *702:9 0.00373538
39 *341:18 *703:9 0.000433781
40 *341:18 *860:11 5.03285e-05
41 *341:25 *4758:B 4.11944e-05
42 *341:25 *4760:B 4.83562e-06
43 *341:25 *4909:CLK 5.85325e-05
44 *341:25 *5084:A 0.000482307
45 *341:25 *346:40 1.5714e-05
46 *341:25 *688:41 3.13286e-05
47 *341:25 *697:16 3.3239e-06
48 *341:25 *782:19 0.000159516
49 *341:25 *830:14 0.000237377
50 *341:25 *968:8 8.77229e-05
51 *341:25 *1026:49 9.66809e-05
52 *341:56 *4546:A 3.78945e-05
53 *341:56 *4728:A 2.01993e-05
54 *341:56 *4745:C 0.000111722
55 *341:56 *4863:CLK 0.000164815
56 *341:56 *4908:CLK 1.41429e-05
57 *341:56 *557:53 8.41174e-05
58 *341:56 *571:32 7.22263e-05
59 *341:56 *579:49 6.39153e-06
60 *341:56 *588:29 0.000297745
61 *341:56 *609:24 2.06387e-05
62 *341:56 *609:31 2.9113e-05
63 *341:56 *679:17 4.15661e-05
64 *341:56 *689:17 1.62266e-06
65 *341:56 *689:31 0.000355581
66 *341:56 *829:78 0.00017352
67 *341:56 *880:37 1.00981e-05
68 *341:56 *981:8 0.000231864
69 *341:56 *1005:29 1.29445e-05
70 *341:56 *1026:49 5.73392e-05
71 *341:67 *4720:A 0.000164843
72 *341:67 *4728:A 0.00022476
73 *341:67 *4728:B 3.28887e-05
74 *341:67 *401:17 0.000160617
75 *341:67 *644:52 0.00012774
76 *341:67 *829:78 0.000116818
77 *341:67 *865:47 0.000398145
78 *341:67 *987:16 9.59075e-05
79 *341:70 *4698:A 0
80 *341:70 *4699:B 6.1061e-06
81 *341:70 *4701:A3 0
82 *341:70 *4706:B 2.94129e-05
83 *341:70 *4720:D 7.94607e-05
84 *341:70 *4723:B 4.39738e-05
85 *341:70 *4724:A 0.000241024
86 *341:70 *4725:A2 0.000373167
87 *341:70 *4845:CLK 0
88 *341:70 *436:69 0.000216549
89 *341:70 *438:37 5.71881e-05
90 *341:70 *440:34 1.32841e-05
91 *341:70 *458:14 1.34199e-05
92 *341:70 *513:14 7.72394e-06
93 *341:70 *513:22 1.42855e-05
94 *341:70 *565:29 0
95 *341:70 *576:61 0
96 *341:70 *580:45 4.88378e-05
97 *341:70 *640:13 6.22259e-05
98 *341:70 *647:26 8.62625e-06
99 *341:70 *825:90 0
100 *341:70 *826:25 1.69251e-05
101 *341:70 *829:60 1.37163e-05
102 *341:70 *865:22 1.91168e-05
103 *341:70 *865:47 2.60935e-05
104 *341:70 *992:8 0
105 *341:70 *992:10 0
106 *341:70 *996:8 4.0605e-06
107 *341:70 *1007:62 1.66626e-05
108 *341:70 *1008:17 1.50389e-06
109 *341:72 *4682:A 0.000481288
110 *341:72 *4697:A 0
111 *341:72 *891:8 0
112 *341:80 *5105:A 0.00011048
113 *341:81 *5102:A 1.40978e-05
114 *341:81 *5102:TE_B 0.00132255
115 io_oeb[21] *341:17 0.000217804
116 la1_data_out[27] *341:17 0
117 *4220:A *4297:A 0
118 *4701:A2 *341:70 0.000165143
119 *4843:D *341:70 1.91391e-05
120 *4852:D *341:56 8.80105e-05
121 *4874:D *341:72 0.000414812
122 *4881:D *341:70 0
123 *4908:D *4298:A 1.27831e-06
124 *4908:D *341:56 1.56949e-05
125 *4909:D *341:25 4.96403e-05
126 *3:16 *341:25 0.000653678
127 *226:25 *341:70 1.02986e-05
128 *227:19 *341:70 3.91944e-05
129 *258:21 *4298:A 0.000217951
130 *301:16 *341:25 3.88213e-05
131 *305:24 *341:25 2.47892e-05
132 *315:19 *341:25 3.29488e-05
133 *321:21 *341:18 0.000176002
134 *321:23 *341:18 0
135 *325:13 *4297:A 7.09148e-05
136 *325:19 *341:81 5.04054e-06
137 *326:11 *4300:A 0.000243918
138 *326:13 *4299:A 4.58003e-05
139 *326:13 *341:75 0.000214838
140 *332:41 *341:70 1.5714e-05
141 *337:31 *4297:A 0.0002817
142 *337:39 *341:81 0.00143361
143 *337:41 *341:81 0.000191364
144 *339:25 *341:17 0
145 *340:10 *341:6 0.00122179
*RES
1 *4296:X *341:5 13.7491
2 *341:5 *341:6 69.5181
3 *341:6 *4301:A 25.01
4 *341:5 *341:17 32.9081
5 *341:17 *341:18 85.5777
6 *341:18 *341:25 44.9016
7 *341:25 *4298:A 19.6294
8 *341:25 *341:56 47.226
9 *341:56 *341:67 29.7022
10 *341:67 *341:70 41.6596
11 *341:70 *341:72 113.62
12 *341:72 *341:75 9.66022
13 *341:75 *341:80 13.3235
14 *341:80 *341:81 51.1923
15 *341:81 *341:88 6.96709
16 *341:88 *4297:A 17.2697
17 *341:88 *4300:A 22.957
18 *341:75 *4299:A 10.5271
*END
*D_NET *342 0.0456193
*CONN
*I *4304:A I *D sky130_fd_sc_hd__inv_2
*I *4303:A I *D sky130_fd_sc_hd__inv_2
*I *4305:A I *D sky130_fd_sc_hd__inv_2
*I *4306:A I *D sky130_fd_sc_hd__inv_2
*I *4307:A I *D sky130_fd_sc_hd__inv_2
*I *4302:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4304:A 0.000528106
2 *4303:A 4.25987e-05
3 *4305:A 0.000211099
4 *4306:A 0
5 *4307:A 0.000773216
6 *4302:X 0
7 *342:42 0.000484752
8 *342:37 0.000389912
9 *342:34 0.00167596
10 *342:32 0.00173703
11 *342:26 0.00766838
12 *342:25 0.00753365
13 *342:8 0.00328647
14 *342:6 0.00629515
15 *342:5 0.0037819
16 *342:4 0.000528106
17 *4303:A *932:7 6.50727e-05
18 *4304:A *5136:A 0.00036367
19 *4304:A *347:52 6.47133e-05
20 *4304:A *913:8 0
21 *4307:A *4343:A 0.000113968
22 *4307:A *5090:A 2.7961e-05
23 *4307:A *5094:A 8.44832e-05
24 *4307:A *5094:TE_B 7.6719e-06
25 *4307:A *5116:TE_B 6.92705e-05
26 *4307:A *788:11 2.82583e-05
27 *342:6 *347:53 0.00208945
28 *342:6 *913:8 0.000177787
29 *342:26 *610:11 0.00172826
30 *342:32 *4545:B1 0.000144695
31 *342:34 *4433:A 0.000175485
32 *342:34 *4433:B 0.00015542
33 *342:34 *4515:A 3.14978e-05
34 *342:34 *4545:B1 9.46038e-05
35 *342:34 *5038:A 1.55995e-05
36 *342:34 *5108:A 0.000158371
37 *342:34 *5108:TE_B 0.000264572
38 *342:34 *942:14 0.00121508
39 *342:37 *5108:TE_B 5.47736e-05
40 *342:37 *452:33 0.000130808
41 *342:42 *5108:TE_B 1.44467e-05
42 *342:42 *452:33 8.62625e-06
43 *342:42 *760:8 0.000268804
44 io_out[26] *4307:A 0.000856181
45 *4224:A *4307:A 6.50586e-05
46 *4244:A *4303:A 0
47 *4292:A *4307:A 0.000287046
48 *4851:D *342:32 0.000277488
49 *5161:A *4304:A 0
50 *5161:A *342:6 0.000242149
51 *330:125 *342:34 0.000706077
52 *337:26 *342:42 0.000169803
53 *340:10 *4307:A 0.000258938
54 *340:10 *342:6 0
55 *340:10 *342:8 0
56 *340:38 *4304:A 0.000266832
*RES
1 *4302:X *342:4 9.24915
2 *342:4 *342:5 4.5
3 *342:5 *342:6 119.348
4 *342:6 *342:8 63.7168
5 *342:8 *4307:A 43.1326
6 *342:6 *342:25 4.5
7 *342:25 *342:26 117.745
8 *342:26 *342:32 6.0283
9 *342:32 *342:34 46.7555
10 *342:34 *342:37 7.1625
11 *342:37 *342:42 15.3604
12 *342:42 *4306:A 9.24915
13 *342:42 *4305:A 13.3002
14 *342:37 *4303:A 15.0513
15 *342:4 *4304:A 33.8602
*END
*D_NET *343 0.0640283
*CONN
*I *4310:A I *D sky130_fd_sc_hd__inv_2
*I *4309:A I *D sky130_fd_sc_hd__inv_2
*I *4312:A I *D sky130_fd_sc_hd__inv_2
*I *4313:A I *D sky130_fd_sc_hd__inv_2
*I *4311:A I *D sky130_fd_sc_hd__inv_2
*I *4308:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4310:A 0.00141998
2 *4309:A 3.29045e-05
3 *4312:A 3.60663e-05
4 *4313:A 0
5 *4311:A 0.000132194
6 *4308:X 1.85108e-05
7 *343:56 0.00275551
8 *343:55 0.00336592
9 *343:43 0.00143353
10 *343:31 0.00744327
11 *343:29 0.00686432
12 *343:22 0.000175198
13 *343:20 0.00246689
14 *343:19 0.00372865
15 *343:10 0.00139395
16 *343:8 0.00569912
17 *343:7 0.00569912
18 *343:5 0.00143849
19 *4309:A *791:11 7.34948e-06
20 *4310:A *344:8 0.000640432
21 *4311:A *5112:TE_B 1.43848e-05
22 *4312:A *5106:A 5.41377e-05
23 *343:8 *345:83 0
24 *343:8 *345:85 0
25 *343:20 *5111:A 8.07794e-05
26 *343:20 *5111:TE_B 0.000122083
27 *343:20 *348:43 8.52652e-05
28 *343:31 *5055:A 0.000530123
29 *343:31 *5092:A 0.000154387
30 *343:31 *836:13 0.000383717
31 *343:31 *939:13 0.000169819
32 *343:43 *4327:A 0
33 *343:43 *4328:A 0.000326398
34 *343:43 *5077:A 0
35 *343:43 *5103:A 0.000574552
36 *343:43 *5135:A 0
37 *343:43 *346:111 0.000725585
38 *343:43 *346:113 0.000102567
39 *343:43 *839:10 0.000394828
40 *343:55 *5118:TE_B 5.51483e-06
41 *343:55 *938:11 0.000164843
42 *343:56 *4395:A 0.000343667
43 *343:56 *5038:TE_B 4.27003e-05
44 *343:56 *5048:TE_B 5.53934e-05
45 *343:56 *5056:TE_B 0.000372379
46 *343:56 *5058:A 0.000127194
47 *343:56 *5082:A 0.000351415
48 *343:56 *5097:A 0.000127179
49 *343:56 *5115:TE_B 0.000299338
50 *343:56 *738:9 8.07939e-05
51 *343:56 *893:8 0
52 *343:56 *1004:109 0
53 io_oeb[12] *343:56 8.13812e-06
54 io_oeb[13] *343:56 2.50531e-05
55 io_oeb[6] *343:56 8.13812e-06
56 io_oeb[9] *343:20 0
57 io_out[15] *343:8 0
58 io_out[19] *343:8 4.40103e-05
59 io_out[32] *343:8 5.20288e-05
60 io_out[33] *343:56 3.12399e-05
61 io_out[3] *343:8 4.19401e-06
62 io_out[7] *343:8 2.09547e-05
63 la1_data_out[10] *343:56 8.13812e-06
64 la1_data_out[16] *343:56 2.57006e-05
65 la1_data_out[1] *343:8 2.69459e-05
66 la1_data_out[24] *343:56 8.13812e-06
67 la1_data_out[30] *343:56 1.61138e-05
68 la1_data_out[6] *343:56 3.00257e-05
69 *4221:A *343:43 0.000379505
70 *4221:A *343:55 0.000472818
71 *4237:A *343:8 0
72 *4266:A *343:56 7.09666e-06
73 *4308:A *4310:A 0.000387357
74 *4308:A *343:5 7.51206e-05
75 *4314:A *4310:A 0.000508663
76 *5162:A *343:56 0.0001438
77 *324:54 *343:43 8.14875e-05
78 *326:11 *343:31 3.07645e-05
79 *326:13 *343:31 0.00726092
80 *326:19 *343:31 3.65764e-05
81 *326:23 *343:29 0.000487686
82 *326:23 *343:31 0.000133045
83 *326:44 *343:56 0.00266112
84 *326:54 *343:56 0.000517905
85 *331:22 *343:8 0
86 *332:56 *343:56 0
87 *339:11 *343:8 0
88 *340:8 *4310:A 6.08467e-05
89 *340:24 *343:55 3.42853e-05
*RES
1 *4308:X *343:5 10.2378
2 *343:5 *343:7 4.5
3 *343:7 *343:8 142.187
4 *343:8 *343:10 4.5
5 *343:10 *4311:A 12.191
6 *343:10 *343:19 34.0628
7 *343:19 *343:20 63.7046
8 *343:20 *343:22 4.5
9 *343:22 *4313:A 9.24915
10 *343:22 *343:29 6.55879
11 *343:29 *343:31 118.299
12 *343:31 *343:43 45.2865
13 *343:43 *4312:A 19.2506
14 *343:43 *343:55 19.6431
15 *343:55 *343:56 100.662
16 *343:56 *4309:A 14.4725
17 *343:5 *4310:A 36.6659
*END
*D_NET *344 0.067047
*CONN
*I *4319:A I *D sky130_fd_sc_hd__inv_2
*I *4316:A I *D sky130_fd_sc_hd__inv_2
*I *4318:A I *D sky130_fd_sc_hd__inv_2
*I *4315:A I *D sky130_fd_sc_hd__inv_2
*I *4317:A I *D sky130_fd_sc_hd__inv_2
*I *4314:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4319:A 0.000906212
2 *4316:A 0.000122097
3 *4318:A 0
4 *4315:A 0
5 *4317:A 2.06324e-05
6 *4314:X 0.000521205
7 *344:88 0.00341703
8 *344:73 0.0060756
9 *344:69 0.00407211
10 *344:66 0.00287296
11 *344:59 0.00337663
12 *344:44 0.00247756
13 *344:41 0.00208204
14 *344:28 0.00552354
15 *344:26 0.00558883
16 *344:24 0.00311197
17 *344:22 0.00492962
18 *344:11 0.000346782
19 *344:8 0.00151441
20 *4316:A *4773:A 3.13066e-05
21 *4316:A *4773:B 2.44829e-05
22 *4316:A *5125:TE_B 0.000113968
23 *4319:A *5123:A 0.000692331
24 *4319:A *5132:TE_B 0.000370815
25 *4319:A *345:76 0.00015511
26 *4319:A *345:83 0.00011818
27 *4319:A *869:7 0.000190542
28 *4319:A *913:11 0.00019207
29 *344:8 *5109:TE_B 2.2778e-05
30 *344:8 *5117:A 9.17188e-05
31 *344:8 *5117:TE_B 0
32 *344:11 *345:68 3.02534e-05
33 *344:22 *5100:TE_B 0.00018543
34 *344:22 *5121:TE_B 0.000226394
35 *344:22 *345:68 0.000167258
36 *344:22 *869:13 0.000532369
37 *344:24 *5121:TE_B 0.000122083
38 *344:24 *835:14 0
39 *344:24 *922:14 0
40 *344:28 *5047:A 0
41 *344:28 *5055:TE_B 0
42 *344:41 *345:58 4.15661e-05
43 *344:41 *592:30 2.78668e-05
44 *344:41 *653:11 7.09666e-06
45 *344:41 *869:13 0.00251955
46 *344:44 *4774:A 0.000648619
47 *344:59 *4738:A 0.000376695
48 *344:59 *4773:A 2.348e-05
49 *344:59 *4895:CLK 3.33173e-06
50 *344:59 *4898:CLK 1.27831e-06
51 *344:59 *5151:A 3.63738e-05
52 *344:59 *379:30 0.000107028
53 *344:59 *557:17 1.5714e-05
54 *344:59 *573:89 0.00113755
55 *344:59 *573:112 7.3274e-05
56 *344:59 *656:23 0
57 *344:59 *670:18 4.49767e-05
58 *344:59 *696:62 0
59 *344:59 *698:35 0.000425539
60 *344:59 *824:25 1.19346e-05
61 *344:59 *830:85 0.000342629
62 *344:59 *1005:54 3.83492e-06
63 *344:66 *4376:A 3.94849e-05
64 *344:66 *4402:A 3.63593e-05
65 *344:66 *4575:A 0
66 *344:66 *4579:A 0
67 *344:66 *4579:B 0.00023484
68 *344:66 *4595:A 0.000154591
69 *344:66 *4709:A 0.000884755
70 *344:66 *4898:CLK 3.70536e-05
71 *344:66 *5151:A 7.72394e-06
72 *344:66 *377:29 3.89395e-05
73 *344:66 *402:14 0.000563398
74 *344:66 *527:39 0.000222531
75 *344:66 *537:17 5.01835e-05
76 *344:66 *540:24 2.02035e-05
77 *344:66 *565:17 0.000227534
78 *344:66 *573:112 3.12489e-05
79 *344:66 *584:56 7.45608e-05
80 *344:66 *661:10 4.97207e-06
81 *344:66 *840:15 0.000313094
82 *344:66 *1013:32 0.000111626
83 *344:66 *1014:37 9.84424e-06
84 *344:69 *4676:A 0.000113916
85 *344:69 *4689:B 0
86 *344:69 *346:75 0.0014015
87 *344:69 *346:80 0
88 *344:69 *348:22 0
89 *344:69 *475:22 1.98557e-05
90 *344:69 *621:39 0.000356014
91 *344:69 *624:17 0
92 *344:73 *4490:A 0.000106845
93 *344:73 *4500:A 0
94 *344:73 *4541:A2 4.87595e-05
95 *344:73 *462:8 0.000459901
96 *344:73 *463:10 0
97 *344:73 *464:10 7.02172e-06
98 *344:73 *471:8 4.26431e-05
99 *344:73 *495:25 0.000268044
100 *344:73 *505:8 0.000127164
101 *344:88 *4354:A 0.000247443
102 *344:88 *4362:A 0
103 *344:88 *4392:A 0.00029284
104 *344:88 *4432:A2 1.3807e-05
105 *344:88 *4492:A 0.000140807
106 *344:88 *4525:A 0.000174604
107 *344:88 *4537:B1 0.000107853
108 *344:88 *4541:A1 1.2128e-05
109 *344:88 *4823:CLK 4.25085e-05
110 *344:88 *356:23 3.55087e-05
111 *344:88 *413:80 0.000739486
112 *344:88 *423:8 0.000149027
113 *344:88 *924:11 0.000111722
114 *344:88 *1023:43 0.000984207
115 *344:88 *1054:18 9.04224e-05
116 io_oeb[15] *344:8 0
117 io_oeb[7] *4319:A 0.000170592
118 io_oeb[7] *344:8 0.00017419
119 io_oeb[9] *344:28 0
120 io_out[36] *344:22 8.62625e-06
121 io_out[5] *4316:A 9.44068e-05
122 io_out[5] *344:59 6.71354e-05
123 *4310:A *344:8 0.000640432
124 *4823:D *344:88 1.16092e-05
125 *4878:D *344:69 5.09188e-05
126 *4883:D *344:66 2.27135e-05
127 *4898:D *344:66 3.29488e-05
128 *215:9 *344:88 0.00021795
129 *275:11 *344:69 0
130 *292:15 *344:59 0.000218455
131 *300:15 *344:59 5.99979e-05
132 *306:10 *344:41 1.67675e-05
133 *307:22 *344:59 2.86293e-05
134 *340:38 *344:8 0
*RES
1 *4314:X *344:8 31.1255
2 *344:8 *344:11 6.3326
3 *344:11 *4317:A 9.82786
4 *344:11 *344:22 17.317
5 *344:22 *344:24 76.5407
6 *344:24 *344:26 1.85642
7 *344:26 *344:28 138.902
8 *344:28 *4315:A 13.7491
9 *344:22 *344:41 43.0916
10 *344:41 *344:44 11.8786
11 *344:44 *344:59 46.3983
12 *344:59 *344:66 39.665
13 *344:66 *344:69 42.2513
14 *344:69 *344:73 47.3282
15 *344:73 *344:88 49.6852
16 *344:88 *4318:A 9.24915
17 *344:44 *4316:A 17.2744
18 *344:8 *4319:A 41.4224
*END
*D_NET *345 0.0644449
*CONN
*I *4322:A I *D sky130_fd_sc_hd__inv_2
*I *4323:A I *D sky130_fd_sc_hd__inv_2
*I *4324:A I *D sky130_fd_sc_hd__inv_2
*I *4321:A I *D sky130_fd_sc_hd__inv_2
*I *4325:A I *D sky130_fd_sc_hd__inv_2
*I *4320:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4322:A 0
2 *4323:A 0
3 *4324:A 0
4 *4321:A 0
5 *4325:A 0
6 *4320:X 4.6923e-05
7 *345:85 0.00703188
8 *345:83 0.00787238
9 *345:76 0.00154767
10 *345:68 0.00315266
11 *345:58 0.00278228
12 *345:51 0.000651456
13 *345:46 0.00115758
14 *345:45 0.00147711
15 *345:33 0.00117193
16 *345:19 0.00235707
17 *345:13 0.0018221
18 *345:7 0.00131807
19 *345:13 *574:81 0.000339896
20 *345:13 *578:11 0.000364134
21 *345:13 *579:13 0.000314713
22 *345:13 *601:21 9.60366e-05
23 *345:13 *832:145 0.000135644
24 *345:13 *1005:29 0.000324248
25 *345:19 *4407:B2 0.000319839
26 *345:19 *4636:A 0.000512103
27 *345:19 *4644:C 0
28 *345:19 *4645:A 2.82171e-06
29 *345:19 *4867:CLK 9.69058e-05
30 *345:19 *573:49 0.000282462
31 *345:19 *589:24 3.63593e-05
32 *345:19 *598:20 2.78219e-06
33 *345:19 *612:73 4.10997e-05
34 *345:19 *831:94 2.91651e-05
35 *345:19 *1000:9 0.000108388
36 *345:33 *4363:B1 0.000379509
37 *345:33 *4373:A 6.08467e-05
38 *345:33 *4373:C 6.49673e-05
39 *345:33 *4403:B1 0
40 *345:33 *4404:A 0
41 *345:33 *4464:A1 4.85598e-05
42 *345:33 *4476:A2 0
43 *345:33 *4477:B 3.03814e-05
44 *345:33 *4477:C 4.37999e-05
45 *345:33 *4493:A1 0
46 *345:33 *4674:C1 0.000318276
47 *345:33 *352:19 1.27202e-05
48 *345:33 *374:13 0.000264871
49 *345:33 *375:10 0.00045121
50 *345:33 *413:7 7.81851e-05
51 *345:33 *413:9 0.000460534
52 *345:33 *413:50 2.49776e-05
53 *345:33 *450:29 0
54 *345:33 *454:23 7.14746e-05
55 *345:33 *454:52 0
56 *345:33 *561:26 2.41483e-05
57 *345:33 *576:61 0
58 *345:33 *809:10 0.000537864
59 *345:33 *1030:8 0
60 *345:45 *348:8 0.000115386
61 *345:45 *702:8 0.000438151
62 *345:46 *348:9 0.00836094
63 *345:46 *856:9 2.99978e-05
64 *345:46 *860:11 0.000137763
65 *345:51 *573:89 4.47825e-05
66 *345:51 *592:30 0
67 *345:58 *4773:B 7.03358e-05
68 *345:58 *4774:A 0.000160617
69 *345:58 *592:30 2.30869e-05
70 *345:58 *869:13 6.22868e-05
71 *345:68 *4774:A 9.54448e-05
72 *345:68 *5100:TE_B 0.000421676
73 *345:68 *860:10 4.87301e-05
74 *345:68 *869:13 0.000783478
75 *345:76 *5045:A 0.000317707
76 *345:76 *5109:A 2.84786e-05
77 *345:76 *5123:A 0.0003103
78 *345:76 *869:7 7.48633e-05
79 *345:83 *5059:A 1.72818e-05
80 *345:83 *869:7 5.07314e-05
81 *345:85 *5059:A 0.000112672
82 *345:85 *5112:A 0
83 *345:85 *5124:A 0.000156823
84 io_oeb[21] *345:76 0.000153564
85 io_oeb[21] *345:83 0
86 io_oeb[36] *345:85 0
87 io_out[15] *345:85 0
88 la1_data_out[27] *345:83 0
89 *4319:A *345:76 0.00015511
90 *4319:A *345:83 0.00011818
91 *4326:A *345:45 0.000208447
92 *4332:A *345:7 4.88955e-05
93 *4835:D *345:33 0.000405599
94 *4836:D *345:33 3.85889e-05
95 *4871:D *345:19 0.000260374
96 *4871:D *345:33 0
97 *39:14 *345:83 0.000198076
98 *306:10 *345:58 0.000137472
99 *321:23 *345:46 0.00880876
100 *323:37 *345:7 6.50727e-05
101 *324:13 *345:13 0.000115004
102 *324:13 *345:19 1.25055e-05
103 *324:14 *345:19 0.0010029
104 *324:14 *345:33 0
105 *324:76 *345:13 0.000150137
106 *324:76 *345:45 0.000584274
107 *328:75 *345:46 0.00010238
108 *328:75 *345:51 8.26973e-05
109 *331:113 *345:13 8.26073e-05
110 *331:113 *345:45 0.000940818
111 *334:84 *345:13 0.000165634
112 *339:11 *345:83 7.12079e-05
113 *339:11 *345:85 1.0779e-05
114 *339:14 *345:83 8.65002e-05
115 *339:25 *345:83 7.06329e-05
116 *340:10 *345:85 0
117 *341:6 *345:85 0
118 *341:17 *345:83 0
119 *343:8 *345:83 0
120 *343:8 *345:85 0
121 *344:11 *345:68 3.02534e-05
122 *344:22 *345:68 0.000167258
123 *344:41 *345:58 4.15661e-05
*RES
1 *4320:X *345:7 15.0271
2 *345:7 *345:13 27.0654
3 *345:13 *345:19 42.0848
4 *345:19 *345:33 45.9752
5 *345:33 *4325:A 9.24915
6 *345:7 *345:45 31.7357
7 *345:45 *345:46 95.5606
8 *345:46 *345:51 14.9845
9 *345:51 *345:58 17.9614
10 *345:58 *345:68 43.1023
11 *345:68 *345:76 30.5793
12 *345:76 *345:83 28.2138
13 *345:83 *345:85 178.522
14 *345:85 *4321:A 13.7491
15 *345:76 *4324:A 9.24915
16 *345:58 *4323:A 9.24915
17 *345:51 *4322:A 9.24915
*END
*D_NET *346 0.068535
*CONN
*I *4331:A I *D sky130_fd_sc_hd__inv_2
*I *4327:A I *D sky130_fd_sc_hd__inv_2
*I *4328:A I *D sky130_fd_sc_hd__inv_2
*I *4330:A I *D sky130_fd_sc_hd__inv_2
*I *4329:A I *D sky130_fd_sc_hd__inv_2
*I *4326:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4331:A 2.1308e-05
2 *4327:A 0.000532957
3 *4328:A 0.000520881
4 *4330:A 2.0864e-05
5 *4329:A 0
6 *4326:X 0
7 *346:113 0.00108741
8 *346:111 0.000286399
9 *346:108 0.00213693
10 *346:106 0.00193119
11 *346:104 0.00275468
12 *346:102 0.00418857
13 *346:98 0.00190221
14 *346:81 0.00362869
15 *346:80 0.00439951
16 *346:75 0.00240306
17 *346:70 0.00201128
18 *346:62 0.00247154
19 *346:53 0.00249013
20 *346:40 0.00172865
21 *346:15 0.00304137
22 *346:4 0.00213202
23 *4330:A *675:36 9.95922e-06
24 *346:15 *700:21 0.000517234
25 *346:15 *832:96 1.67988e-05
26 *346:15 *832:105 7.56507e-05
27 *346:15 *950:7 0.000271044
28 *346:40 *4798:A 0.000175259
29 *346:40 *594:11 0.000187498
30 *346:40 *609:10 7.16541e-06
31 *346:40 *686:47 0.000325896
32 *346:40 *687:14 5.72235e-05
33 *346:40 *688:17 7.64622e-05
34 *346:40 *699:16 9.04963e-05
35 *346:40 *701:22 0.000570314
36 *346:40 *742:36 5.19999e-05
37 *346:40 *782:19 6.97631e-05
38 *346:40 *882:14 0.00297294
39 *346:40 *946:17 8.62625e-06
40 *346:53 *4752:A 0.000119994
41 *346:53 *4752:B 6.50586e-05
42 *346:53 *4904:CLK 5.97723e-05
43 *346:53 *675:20 0.000165194
44 *346:53 *675:36 0.000488659
45 *346:53 *699:38 0.000111708
46 *346:53 *830:18 0.000111708
47 *346:53 *830:158 0.000139947
48 *346:62 *4547:A 0
49 *346:62 *4589:A 1.37385e-05
50 *346:62 *4613:A 3.99363e-05
51 *346:62 *4737:A 7.66983e-06
52 *346:62 *4741:A 9.94884e-06
53 *346:62 *4746:C 0.000169486
54 *346:62 *4756:A 3.02981e-05
55 *346:62 *4756:B 0
56 *346:62 *5142:A 0.000173105
57 *346:62 *5145:A 1.57066e-05
58 *346:62 *348:18 0.000175454
59 *346:62 *566:19 4.79289e-05
60 *346:62 *582:42 3.63593e-05
61 *346:62 *583:20 7.15882e-06
62 *346:62 *587:66 6.24695e-05
63 *346:62 *598:48 1.30227e-05
64 *346:62 *611:22 7.08288e-05
65 *346:62 *643:19 4.72072e-05
66 *346:62 *654:17 4.49767e-05
67 *346:62 *656:37 0.00013115
68 *346:62 *672:19 3.29488e-05
69 *346:62 *675:36 7.48797e-05
70 *346:62 *718:24 6.39153e-06
71 *346:62 *823:8 0.000332694
72 *346:62 *977:8 4.00389e-05
73 *346:62 *980:8 4.16038e-05
74 *346:70 *5142:A 0.000374833
75 *346:70 *520:26 0.000289737
76 *346:70 *612:15 0.000152473
77 *346:75 *4384:A 1.32841e-05
78 *346:75 *4558:A1 4.09883e-05
79 *346:75 *4680:A 0.000113438
80 *346:75 *4692:A 2.2224e-05
81 *346:75 *4876:CLK 1.43848e-05
82 *346:75 *5141:A 9.7015e-05
83 *346:75 *5142:A 5.84132e-05
84 *346:75 *5147:A 0
85 *346:75 *348:22 0
86 *346:75 *440:50 2.70631e-05
87 *346:75 *520:26 5.35941e-05
88 *346:75 *621:39 0
89 *346:75 *645:20 2.55661e-06
90 *346:75 *1009:32 0.000370108
91 *346:80 *475:22 0
92 *346:80 *624:17 0.000179271
93 *346:81 *5134:TE_B 1.43848e-05
94 *346:98 *4657:B 0.000238204
95 *346:98 *5053:TE_B 0.000251655
96 *346:98 *347:8 0.000157469
97 *346:98 *593:37 0.000734567
98 *346:98 *759:37 8.79845e-05
99 *346:102 *4399:A 4.72872e-05
100 *346:102 *4406:A_N 0
101 *346:102 *4409:B2 0.000169616
102 *346:102 *4445:B1 8.26073e-05
103 *346:102 *4640:B1 0.000115573
104 *346:102 *4644:B 3.73794e-05
105 *346:102 *4645:B 0.000924658
106 *346:102 *4657:B 0.000135529
107 *346:102 *4672:B 4.34329e-05
108 *346:102 *397:29 0
109 *346:102 *490:55 8.21849e-06
110 *346:102 *593:37 1.05862e-05
111 *346:102 *602:10 3.65484e-05
112 *346:102 *615:22 4.59392e-05
113 *346:102 *1002:6 0.000149009
114 *346:102 *1002:25 0.000736554
115 *346:102 *1003:59 0.000808234
116 *346:102 *1007:8 1.62054e-05
117 *346:102 *1007:25 2.66971e-05
118 *346:104 *4406:A_N 0.000190206
119 *346:104 *4409:B2 0
120 *346:104 *4432:B1 4.23937e-05
121 *346:104 *4463:A1 0.000185518
122 *346:104 *4465:B1 0.000827365
123 *346:104 *4467:C 4.04142e-05
124 *346:104 *4662:B 4.73076e-05
125 *346:104 *398:8 0
126 *346:104 *404:28 0
127 *346:104 *404:45 4.4037e-05
128 *346:104 *444:20 6.24361e-05
129 *346:104 *444:33 4.69495e-06
130 *346:104 *447:12 2.16006e-05
131 *346:104 *448:11 4.87997e-06
132 *346:104 *886:12 0
133 *346:104 *904:9 0.00039502
134 *346:104 *924:8 0
135 *346:104 *924:26 0.000398321
136 *346:104 *1032:22 0.000249752
137 *346:104 *1032:35 2.1558e-06
138 *346:104 *1053:8 0.000271548
139 *346:108 *5106:A 0
140 *346:108 *5133:A 0
141 *346:108 *805:9 0.00035143
142 *346:108 *839:10 0.000209658
143 *346:108 *924:8 0
144 *346:111 *5135:TE_B 0.000312608
145 *4215:A *4327:A 0
146 *4265:A *346:15 0.000171273
147 *4277:A *346:15 0.000549712
148 *4300:A *4328:A 6.94925e-05
149 *4882:D *346:70 0.000111708
150 *4891:D *346:62 0
151 *4906:D *346:53 0.00066142
152 *4914:D *346:40 0.000202488
153 *4922:D *346:15 0.000297552
154 *229:20 *346:75 0.000124913
155 *233:13 *346:104 4.66386e-05
156 *234:11 *346:104 0.00209559
157 *237:12 *346:104 0
158 *248:19 *346:62 5.57646e-06
159 *259:17 *346:62 1.5714e-05
160 *300:15 *346:53 0.000651581
161 *300:15 *346:62 0.000171288
162 *303:19 *346:40 0.000974696
163 *314:14 *346:62 1.89633e-05
164 *316:26 *346:53 2.29454e-05
165 *324:14 *346:108 0
166 *324:24 *4327:A 0
167 *324:46 *4327:A 0
168 *325:10 *4327:A 9.60366e-05
169 *326:72 *346:102 0
170 *330:121 *346:104 0
171 *331:68 *346:40 0.000204088
172 *331:113 *346:15 0.000169078
173 *334:16 *346:40 0.000441698
174 *334:31 *346:40 8.67162e-05
175 *334:91 *346:102 0.00019852
176 *337:11 *346:15 0.000165495
177 *341:25 *346:40 1.5714e-05
178 *343:43 *4327:A 0
179 *343:43 *4328:A 0.000326398
180 *343:43 *346:111 0.000725585
181 *343:43 *346:113 0.000102567
182 *344:69 *346:75 0.0014015
183 *344:69 *346:80 0
*RES
1 *4326:X *346:4 9.24915
2 *346:4 *346:15 49.1065
3 *346:15 *346:40 49.2031
4 *346:40 *346:53 21.2678
5 *346:53 *346:62 47.4181
6 *346:62 *346:70 19.6945
7 *346:70 *346:75 45.6084
8 *346:75 *346:80 29.1031
9 *346:80 *346:81 85.0231
10 *346:81 *4329:A 9.24915
11 *346:53 *4330:A 9.82786
12 *346:4 *346:98 21.8413
13 *346:98 *346:102 47.7052
14 *346:102 *346:104 89.1815
15 *346:104 *346:106 0.732798
16 *346:106 *346:108 51.3081
17 *346:108 *346:111 14.6517
18 *346:111 *346:113 1.278
19 *346:113 *4328:A 32.0276
20 *346:113 *4327:A 29.29
21 *346:111 *4331:A 9.82786
*END
*D_NET *347 0.06195
*CONN
*I *4334:A I *D sky130_fd_sc_hd__inv_2
*I *4335:A I *D sky130_fd_sc_hd__inv_2
*I *4336:A I *D sky130_fd_sc_hd__inv_2
*I *4337:A I *D sky130_fd_sc_hd__inv_2
*I *4333:A I *D sky130_fd_sc_hd__inv_2
*I *4332:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4334:A 0.000334196
2 *4335:A 2.06324e-05
3 *4336:A 0.000576972
4 *4337:A 0
5 *4333:A 0.000956874
6 *4332:X 0.00010293
7 *347:70 0.00108147
8 *347:62 0.00151724
9 *347:53 0.00314745
10 *347:52 0.00424667
11 *347:49 0.00216243
12 *347:22 0.00244322
13 *347:17 0.00402447
14 *347:9 0.00591326
15 *347:8 0.00307277
16 *4333:A *4227:A 8.05712e-05
17 *4333:A *4547:A 0.000220183
18 *4333:A *4638:A 1.88014e-05
19 *4333:A *4653:A 0.000928745
20 *4333:A *512:23 0
21 *4333:A *566:19 0.000587641
22 *4333:A *592:18 0.000156791
23 *4333:A *643:45 0
24 *4333:A *643:54 0
25 *4333:A *686:13 0.000106511
26 *4333:A *687:36 4.60607e-05
27 *4333:A *699:51 4.76248e-05
28 *4333:A *703:15 0.000635526
29 *4333:A *806:19 9.75334e-06
30 *4333:A *833:36 3.52453e-05
31 *4334:A *841:11 7.69735e-05
32 *4334:A *913:8 0.000187454
33 *4336:A *5073:TE_B 0.000101118
34 *4336:A *5112:TE_B 7.92757e-06
35 *4336:A *711:6 0.000492481
36 *347:8 *4657:B 0.000230525
37 *347:8 *593:37 2.82537e-05
38 *347:9 *4788:A 0.000555205
39 *347:9 *4792:C 3.40423e-05
40 *347:9 *4815:D 0.000118166
41 *347:9 *686:47 2.20702e-05
42 *347:9 *690:13 1.03403e-05
43 *347:9 *701:22 8.63502e-05
44 *347:9 *759:37 0.00199102
45 *347:9 *948:19 0.000459901
46 *347:17 *4643:A1 0.000115115
47 *347:17 *4779:B 0.000172729
48 *347:17 *4791:A 0.000246856
49 *347:17 *4792:B 0.000286263
50 *347:17 *609:10 0
51 *347:17 *674:19 0.000151872
52 *347:17 *690:19 0.00459239
53 *347:17 *782:28 6.46052e-05
54 *347:17 *881:25 0
55 *347:17 *900:15 0.00349333
56 *347:17 *955:22 3.85006e-05
57 *347:22 *592:30 0
58 *347:22 *674:16 8.26173e-05
59 *347:22 *687:36 0.000170967
60 *347:22 *703:15 0.000542019
61 *347:22 *856:8 0
62 *347:22 *862:25 1.68338e-05
63 *347:49 *688:41 6.99626e-05
64 *347:49 *882:14 2.63961e-05
65 *347:52 *4799:A 4.26431e-05
66 *347:52 *5136:A 5.56461e-05
67 *347:52 *858:13 6.94894e-05
68 *347:52 *870:13 4.81015e-05
69 *347:52 *945:10 3.14978e-05
70 *347:53 *913:8 4.27003e-05
71 *347:62 *5083:TE_B 5.04829e-06
72 *347:62 *5137:TE_B 2.16355e-05
73 *347:62 *711:6 0.000158066
74 *347:70 *5071:A 3.03588e-05
75 *347:70 *5071:TE_B 0.000101133
76 *347:70 *5112:TE_B 1.43848e-05
77 *347:70 *5137:TE_B 2.16355e-05
78 *347:70 *5139:A 3.58185e-05
79 io_oeb[35] *347:62 1.91391e-05
80 io_out[1] *347:17 0
81 io_out[31] *4336:A 9.61451e-05
82 *4274:A *4336:A 0.000105853
83 *4304:A *347:52 6.47133e-05
84 *4916:D *4333:A 7.18816e-06
85 *4921:D *347:49 2.8041e-05
86 *4923:D *347:9 0.000406027
87 *3:16 *347:22 1.66771e-05
88 *258:21 *4333:A 3.62797e-05
89 *276:26 *4333:A 0.000621269
90 *307:22 *347:22 5.01835e-05
91 *309:15 *347:49 1.25032e-05
92 *315:19 *347:52 0.000277502
93 *321:16 *347:49 0
94 *326:99 *347:22 0.000266547
95 *328:75 *347:17 8.91118e-05
96 *330:48 *347:17 4.42742e-06
97 *330:57 *347:17 3.24894e-05
98 *331:14 *347:49 0.000143855
99 *336:91 *347:62 3.67708e-05
100 *336:99 *4336:A 0.00094408
101 *336:99 *347:62 0.000359642
102 *336:99 *347:70 0.000958825
103 *340:8 *4334:A 9.24241e-05
104 *340:10 *4334:A 0.000100586
105 *340:10 *347:53 0.00684831
106 *340:38 *347:52 0.000255213
107 *341:17 *4334:A 0
108 *341:18 *347:17 0.00020476
109 *342:6 *347:53 0.00208945
110 *346:98 *347:8 0.000157469
*RES
1 *4332:X *347:8 22.5727
2 *347:8 *347:9 49.5285
3 *347:9 *347:17 43.0282
4 *347:17 *347:22 25.9899
5 *347:22 *4333:A 49.1052
6 *347:22 *347:49 12.5075
7 *347:49 *347:52 48.4825
8 *347:52 *347:53 115.611
9 *347:53 *347:62 38.9545
10 *347:62 *347:70 27.2279
11 *347:70 *4337:A 9.24915
12 *347:70 *4336:A 43.7167
13 *347:62 *4335:A 9.82786
14 *347:52 *4334:A 24.3365
*END
*D_NET *348 0.0646982
*CONN
*I *4339:A I *D sky130_fd_sc_hd__inv_2
*I *4342:A I *D sky130_fd_sc_hd__inv_2
*I *4343:A I *D sky130_fd_sc_hd__inv_2
*I *4341:A I *D sky130_fd_sc_hd__inv_2
*I *4340:A I *D sky130_fd_sc_hd__inv_2
*I *4338:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4339:A 0
2 *4342:A 0
3 *4343:A 8.03139e-05
4 *4341:A 6.97668e-05
5 *4340:A 0.000417944
6 *4338:X 0
7 *348:59 0.000215585
8 *348:43 0.00205043
9 *348:37 0.00354955
10 *348:27 0.0024358
11 *348:22 0.00692873
12 *348:20 0.0075791
13 *348:18 0.00298369
14 *348:9 0.0025747
15 *348:8 0.00164923
16 *348:5 0.00095462
17 *4340:A *5057:TE_B 6.92705e-05
18 *4340:A *852:11 2.81584e-05
19 *4340:A *878:13 0.000264598
20 *4343:A *5066:A 5.9708e-05
21 *348:8 *5101:TE_B 1.55462e-05
22 *348:8 *702:8 4.3116e-06
23 *348:9 *779:9 0.00790231
24 *348:9 *860:11 0.000202245
25 *348:18 *4902:CLK 0.000171941
26 *348:18 *5125:TE_B 3.3239e-06
27 *348:18 *566:19 5.15415e-05
28 *348:18 *600:17 1.12969e-05
29 *348:18 *643:19 5.14254e-06
30 *348:18 *672:19 3.29488e-05
31 *348:18 *824:25 2.34524e-05
32 *348:18 *830:85 0.000106696
33 *348:20 *4737:A 0.000153193
34 *348:20 *5145:A 9.66954e-05
35 *348:20 *376:9 2.72352e-05
36 *348:20 *523:38 0.000206411
37 *348:20 *532:19 2.19168e-05
38 *348:20 *573:112 0
39 *348:20 *643:19 6.43352e-05
40 *348:20 *718:24 0.000117341
41 *348:20 *823:8 5.77514e-05
42 *348:20 *824:25 7.51896e-05
43 *348:20 *831:10 0.000218722
44 *348:22 *4375:A 0.000105471
45 *348:22 *4402:A 2.05972e-05
46 *348:22 *4446:A 0.000230404
47 *348:22 *4449:B 7.48886e-05
48 *348:22 *4558:B1 2.52195e-05
49 *348:22 *4579:A 4.97207e-06
50 *348:22 *4621:B1 5.40526e-05
51 *348:22 *4680:A 0
52 *348:22 *4883:CLK 3.17465e-05
53 *348:22 *5034:A 0.000120584
54 *348:22 *5034:TE_B 8.77402e-05
55 *348:22 *5072:TE_B 0
56 *348:22 *5143:A 0.000363515
57 *348:22 *5147:A 5.56281e-05
58 *348:22 *376:9 0.000178777
59 *348:22 *382:23 7.72418e-05
60 *348:22 *413:23 0.000215879
61 *348:22 *427:24 5.24732e-06
62 *348:22 *437:21 0.000102545
63 *348:22 *438:19 6.39153e-06
64 *348:22 *440:50 0
65 *348:22 *611:22 1.35582e-05
66 *348:22 *646:16 3.29488e-05
67 *348:22 *718:24 9.12416e-06
68 *348:22 *819:8 0.00129758
69 *348:22 *1001:86 2.549e-05
70 *348:22 *1009:44 1.38715e-05
71 *348:22 *1010:16 0.000123931
72 *348:27 *725:10 0.000226589
73 *348:37 *5047:A 1.80647e-05
74 *348:37 *5047:TE_B 0.000897691
75 *348:37 *5092:TE_B 7.16754e-05
76 *348:37 *725:10 0.000432488
77 *348:43 *874:10 0.000403511
78 *348:59 *5066:A 1.69932e-05
79 *348:59 *878:13 0.000127179
80 io_oeb[22] *4340:A 0.000268195
81 io_oeb[22] *4343:A 0.000139532
82 io_oeb[22] *348:59 0.000153257
83 io_oeb[9] *348:43 0
84 io_out[8] *348:22 0
85 la1_data_out[2] *348:22 0
86 la1_data_out[5] *4340:A 0.00011818
87 *4218:A *348:22 0
88 *4253:A *4341:A 9.33202e-05
89 *4292:A *4343:A 0.000113968
90 *4307:A *4343:A 0.000113968
91 *4346:A *348:18 0.000801014
92 *259:17 *348:18 1.5714e-05
93 *292:15 *348:18 0.000157562
94 *292:15 *348:20 5.42724e-05
95 *321:33 *348:8 0.00103345
96 *324:13 *348:8 0.000328998
97 *324:76 *348:8 0.00151451
98 *324:118 *348:18 5.35882e-05
99 *325:21 *348:37 9.37411e-05
100 *325:33 *4340:A 0.000957705
101 *326:23 *348:43 0.000187583
102 *328:31 *348:27 0.000603496
103 *330:45 *348:18 0.00110498
104 *330:82 *348:8 0.000771122
105 *331:31 *4340:A 0.000330665
106 *343:20 *348:43 8.52652e-05
107 *344:69 *348:22 0
108 *345:45 *348:8 0.000115386
109 *345:46 *348:9 0.00836094
110 *346:62 *348:18 0.000175454
111 *346:75 *348:22 0
*RES
1 *4338:X *348:5 13.7491
2 *348:5 *348:8 44.1199
3 *348:8 *348:9 88.9054
4 *348:9 *348:18 49.0785
5 *348:18 *348:20 23.4251
6 *348:20 *348:22 166.687
7 *348:22 *348:27 18.558
8 *348:27 *348:37 48.6671
9 *348:37 *348:43 36.3676
10 *348:43 *4340:A 35.9217
11 *348:43 *348:59 3.07775
12 *348:59 *4341:A 15.6059
13 *348:59 *4343:A 17.6896
14 *348:27 *4342:A 9.24915
15 *348:20 *4339:A 13.7491
*END
*D_NET *349 0.0178515
*CONN
*I *4348:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4548:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4347:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4348:A 0
2 *4548:A 0.000349211
3 *4347:Y 0.000587093
4 *349:31 0.00236338
5 *349:13 0.00313008
6 *349:10 0.00170301
7 *4548:A *4449:A 0.000183319
8 *4548:A *5148:A 0.000247443
9 *4548:A *413:23 0.000146388
10 *4548:A *457:46 6.50727e-05
11 *4548:A *630:30 0.000179858
12 *4548:A *826:111 0.000247443
13 *349:10 *4347:A 2.16355e-05
14 *349:10 *4360:B2 9.68902e-05
15 *349:10 *4819:CLK 0.00032235
16 *349:10 *352:18 5.03387e-05
17 *349:10 *393:22 0.000398525
18 *349:10 *442:17 2.72654e-05
19 *349:10 *1045:31 0.000421986
20 *349:13 *4454:A 7.06457e-06
21 *349:13 *4454:C 9.72235e-05
22 *349:13 *393:36 0.000105636
23 *349:13 *393:38 0.000165589
24 *349:13 *393:43 3.14978e-05
25 *349:13 *434:33 4.92912e-05
26 *349:13 *1045:40 7.60261e-05
27 *349:31 *4455:A 0.00160225
28 *349:31 *350:9 0.000700477
29 *349:31 *402:23 0.00231303
30 *349:31 *434:33 2.16355e-05
31 *349:31 *438:37 0.00013456
32 *349:31 *457:46 3.80872e-05
33 *349:31 *457:80 3.77568e-05
34 *349:31 *479:11 0.000132235
35 *349:31 *514:16 0.00132831
36 *349:31 *984:5 0.000122378
37 *349:31 *984:24 9.97706e-05
38 *349:31 *1028:16 1.40158e-05
39 *4827:D *349:31 4.75261e-05
40 *215:9 *349:10 6.4674e-06
41 *216:25 *349:10 0.000110766
42 *275:11 *4548:A 6.85963e-05
43 *334:32 *349:31 0
*RES
1 *4347:Y *349:10 35.3412
2 *349:10 *349:13 18.3501
3 *349:13 *349:31 49.7282
4 *349:31 *4548:A 30.6935
5 *349:13 *4348:A 9.24915
*END
*D_NET *350 0.0259474
*CONN
*I *4694:B I *D sky130_fd_sc_hd__and2_1
*I *4349:A I *D sky130_fd_sc_hd__buf_2
*I *4546:B I *D sky130_fd_sc_hd__and2_1
*I *4712:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4455:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4348:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4694:B 0.000148719
2 *4349:A 2.23108e-05
3 *4546:B 2.06216e-05
4 *4712:A 0.000447044
5 *4455:A 0.000845704
6 *4348:X 0.000279395
7 *350:98 0.0016461
8 *350:61 0.00147059
9 *350:59 0.000826259
10 *350:37 0.000633356
11 *350:36 0.00126582
12 *350:32 0.00199023
13 *350:9 0.00203393
14 *4349:A *632:18 1.58844e-06
15 *4455:A *4843:CLK 0
16 *4455:A *402:23 0.000372384
17 *4455:A *413:9 6.50727e-05
18 *4455:A *437:21 0
19 *4455:A *457:28 3.14978e-05
20 *4455:A *513:22 7.41833e-06
21 *4455:A *513:34 2.026e-05
22 *4455:A *580:45 0
23 *4455:A *1009:27 0.0014437
24 *4694:B *4694:A 0.000115934
25 *4694:B *4817:A 0.000158357
26 *4694:B *608:45 0.000232772
27 *4694:B *632:18 0.00060905
28 *4694:B *709:11 0.000111722
29 *4712:A *4733:A 2.54816e-05
30 *4712:A *4894:CLK 0.000248383
31 *4712:A *643:19 6.92705e-05
32 *4712:A *657:18 6.39153e-06
33 *4712:A *823:48 0.000175485
34 *350:9 *402:23 4.42985e-05
35 *350:9 *457:80 1.91246e-05
36 *350:9 *490:30 7.12632e-06
37 *350:9 *580:45 0
38 *350:32 *4388:A1 5.36536e-06
39 *350:32 *4443:A 0.000120883
40 *350:32 *4447:A 0.000173093
41 *350:32 *4448:A1 1.49101e-05
42 *350:32 *4596:B1 9.47963e-05
43 *350:32 *4596:C1 5.64209e-05
44 *350:32 *431:54 0.000153788
45 *350:32 *565:49 0.000198803
46 *350:32 *818:9 9.5562e-05
47 *350:32 *1042:25 0.000679129
48 *350:36 *4590:A1 4.35122e-05
49 *350:36 *4590:A2 0.000105549
50 *350:36 *4592:A 0
51 *350:36 *4593:A1 0.000203533
52 *350:36 *4601:A1 6.16862e-05
53 *350:36 *4606:B 2.9588e-05
54 *350:36 *351:23 4.69453e-05
55 *350:36 *379:54 2.95025e-05
56 *350:36 *456:14 0
57 *350:36 *546:36 0.000410975
58 *350:36 *556:28 5.42724e-05
59 *350:36 *565:49 1.58247e-05
60 *350:36 *1002:41 0
61 *350:36 *1012:49 5.30873e-05
62 *350:37 *351:7 0.00011818
63 *350:37 *608:45 1.92172e-05
64 *350:37 *632:18 3.61993e-05
65 *350:59 *4571:B1 0.000311279
66 *350:59 *4786:A 4.82318e-05
67 *350:59 *4858:CLK 3.81654e-05
68 *350:59 *351:7 1.41976e-05
69 *350:59 *517:15 6.36477e-05
70 *350:59 *525:59 4.49912e-05
71 *350:59 *608:45 0.000133778
72 *350:59 *632:18 5.82695e-05
73 *350:59 *718:45 2.33103e-06
74 *350:59 *759:17 5.89592e-05
75 *350:59 *1024:26 0.000346407
76 *350:61 *4551:A_N 2.65831e-05
77 *350:61 *4551:B 0.000503882
78 *350:61 *4571:A2 0.000211478
79 *350:61 *515:7 0.000612779
80 *350:61 *1024:26 0.000344553
81 *350:98 *4551:B 6.50727e-05
82 *350:98 *4653:B 9.43176e-05
83 *350:98 *4733:A 0
84 *350:98 *4744:A 0.000116014
85 *350:98 *4744:C 8.79404e-05
86 *350:98 *4748:A4 4.22218e-05
87 *350:98 *5152:A 2.12986e-05
88 *350:98 *512:23 2.1203e-06
89 *350:98 *571:21 0.000113121
90 *350:98 *657:18 2.24462e-05
91 *350:98 *782:19 0
92 *350:98 *823:48 5.49916e-05
93 *350:98 *1024:26 3.34771e-05
94 *350:98 *1024:37 2.46648e-05
95 *350:98 *1027:26 8.51781e-05
96 *4827:D *4455:A 0
97 *4859:D *350:32 3.49097e-05
98 *214:30 *350:32 3.04443e-05
99 *248:19 *4712:A 0.000137356
100 *258:21 *350:98 0.000377196
101 *314:32 *350:98 0.000378358
102 *314:37 *350:59 0.000667825
103 *314:37 *350:61 4.37382e-05
104 *314:37 *350:98 0.000119035
105 *331:91 *350:32 5.71863e-05
106 *334:32 *4455:A 0
107 *349:31 *4455:A 0.00160225
108 *349:31 *350:9 0.000700477
*RES
1 *4348:X *350:9 18.6173
2 *350:9 *4455:A 25.6349
3 *350:9 *350:32 37.9785
4 *350:32 *350:36 28.548
5 *350:36 *350:37 1.8326
6 *350:37 *350:59 37.9732
7 *350:59 *350:61 14.0158
8 *350:61 *350:98 49.9416
9 *350:98 *4712:A 24.0578
10 *350:61 *4546:B 9.82786
11 *350:37 *4349:A 9.82786
12 *350:36 *4694:B 19.0391
*END
*D_NET *351 0.0262296
*CONN
*I *4660:A I *D sky130_fd_sc_hd__buf_2
*I *4423:A I *D sky130_fd_sc_hd__buf_2
*I *4373:A I *D sky130_fd_sc_hd__and3_1
*I *4700:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4811:B I *D sky130_fd_sc_hd__and2_1
*I *4349:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4660:A 0
2 *4423:A 3.325e-05
3 *4373:A 1.47608e-05
4 *4700:C1 0.00224658
5 *4811:B 0
6 *4349:X 1.41178e-05
7 *351:97 0.00174812
8 *351:62 0.0028099
9 *351:54 0.00108501
10 *351:44 0.00139598
11 *351:23 0.00150951
12 *351:7 0.00237897
13 *4373:A *413:7 6.08467e-05
14 *4423:A *433:7 0.000160617
15 *4423:A *620:45 0.000154145
16 *4700:C1 *4364:B2 3.92245e-05
17 *4700:C1 *4485:A_N 0
18 *4700:C1 *4843:CLK 2.32531e-05
19 *4700:C1 *402:23 0
20 *4700:C1 *434:17 0.00291858
21 *4700:C1 *437:39 9.6477e-05
22 *4700:C1 *490:16 0
23 *4700:C1 *809:10 6.51527e-05
24 *351:7 *608:45 0.00011818
25 *351:23 *4480:A1 0.000244206
26 *351:23 *4480:B1 1.70204e-05
27 *351:23 *454:41 4.58003e-05
28 *351:23 *588:7 7.25973e-05
29 *351:23 *952:25 0.000122378
30 *351:23 *1002:41 0.000235451
31 *351:23 *1012:7 0.000217923
32 *351:44 *4480:A1 0.000704012
33 *351:44 *4633:C1 0.000182242
34 *351:44 *4647:B1 6.50586e-05
35 *351:44 *5079:A 0.000431979
36 *351:44 *400:54 0
37 *351:44 *597:16 0.000142314
38 *351:44 *616:49 0.000108485
39 *351:44 *952:25 0.000567067
40 *351:44 *1002:41 9.82896e-06
41 *351:44 *1046:28 0
42 *351:44 *1046:44 0.000156067
43 *351:54 *4394:B1 0.000109075
44 *351:54 *4450:A 2.11312e-05
45 *351:54 *4836:CLK 1.5714e-05
46 *351:54 *840:15 0.000186738
47 *351:54 *1007:45 8.51784e-06
48 *351:54 *1046:28 0.000181699
49 *351:54 *1046:44 8.60429e-05
50 *351:62 *428:53 5.8404e-05
51 *351:62 *428:68 0.000117223
52 *351:62 *809:10 0.000442921
53 *351:62 *828:58 6.23875e-05
54 *351:97 *4610:A 0.000106645
55 *351:97 *4791:D 0
56 *351:97 *4795:A2 9.33987e-05
57 *351:97 *4795:A3 0.000783112
58 *351:97 *4798:B 0.000320392
59 *351:97 *5120:A 1.91246e-05
60 *351:97 *594:11 0
61 *351:97 *609:10 0
62 *351:97 *701:22 1.25395e-05
63 *351:97 *832:10 0.000149209
64 *351:97 *832:14 0
65 *351:97 *832:16 0
66 io_oeb[18] *351:97 0
67 *4795:B2 *351:97 0
68 *4917:D *351:97 9.60366e-05
69 *84:17 *4700:C1 0.000327267
70 *331:89 *351:23 2.18648e-05
71 *331:89 *351:97 0.000125431
72 *331:91 *351:23 0
73 *331:113 *351:97 7.52574e-06
74 *332:41 *4700:C1 0.000313986
75 *336:125 *351:44 0.000773243
76 *336:125 *351:54 0.000382096
77 *336:129 *4700:C1 0.000181844
78 *336:129 *351:54 0.000179249
79 *336:129 *351:62 0.00061147
80 *345:33 *4373:A 6.08467e-05
81 *350:36 *351:23 4.69453e-05
82 *350:37 *351:7 0.00011818
83 *350:59 *351:7 1.41976e-05
*RES
1 *4349:X *351:7 15.0271
2 *351:7 *351:23 22.5975
3 *351:23 *4811:B 9.24915
4 *351:23 *351:44 44.1215
5 *351:44 *351:54 19.5111
6 *351:54 *351:62 26.9542
7 *351:62 *4700:C1 31.3798
8 *351:62 *4373:A 14.4725
9 *351:54 *4423:A 15.5817
10 *351:7 *351:97 44.6085
11 *351:97 *4660:A 9.24915
*END
*D_NET *352 0.00512051
*CONN
*I *4363:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4373:B I *D sky130_fd_sc_hd__and3_1
*I *4350:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4363:B1 9.24036e-05
2 *4373:B 0
3 *4350:X 0.00100527
4 *352:19 0.000139366
5 *352:18 0.00105224
6 *4363:B1 *4364:B2 1.92172e-05
7 *4363:B1 *809:10 0.000264572
8 *352:18 *4356:A2 8.92568e-06
9 *352:18 *4358:A 0.000199747
10 *352:18 *4360:B2 0.000325612
11 *352:18 *4364:A2 1.3807e-05
12 *352:18 *4364:B2 9.53583e-05
13 *352:18 *4367:A1 0.000374037
14 *352:18 *4368:A 3.78442e-05
15 *352:18 *4370:B1 0.000277216
16 *352:18 *4372:A1 9.98389e-05
17 *352:18 *355:15 8.35699e-06
18 *352:18 *360:12 0.000173816
19 *352:18 *393:22 0
20 *352:18 *442:27 0.000118485
21 *352:18 *1045:31 0.000252876
22 *214:27 *352:18 4.58897e-06
23 *216:25 *352:18 0.000114364
24 *336:129 *352:18 0
25 *345:33 *4363:B1 0.000379509
26 *345:33 *352:19 1.27202e-05
27 *349:10 *352:18 5.03387e-05
*RES
1 *4350:X *352:18 49.3276
2 *352:18 *352:19 0.723396
3 *352:19 *4373:B 9.24915
4 *352:19 *4363:B1 14.2888
*END
*D_NET *353 0.00257704
*CONN
*I *4372:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4351:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4372:A2 0.000611608
2 *4351:Y 0.000611608
3 *4372:A2 *4369:B2 0.000101133
4 *4372:A2 *4371:B2 0.000405513
5 *4372:A2 *4372:A1 6.11359e-06
6 *4372:A2 *4372:B1 0.000630761
7 *4372:A2 *4426:A1 1.67329e-05
8 *4372:A2 *4429:A 0
9 *4372:A2 *4536:A1 6.50586e-05
10 *4372:A2 *4536:B1 0.000104731
11 *4372:A2 *370:26 1.03403e-05
12 *4372:A2 *374:13 3.62057e-06
13 *4372:A2 *1022:48 9.8245e-06
*RES
1 *4351:Y *4372:A2 44.9376
*END
*D_NET *354 0.00317987
*CONN
*I *4371:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4372:B1 I *D sky130_fd_sc_hd__a221o_1
*I *4352:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4371:A2 0
2 *4372:B1 0.000158293
3 *4352:Y 0.000630631
4 *354:12 0.000788924
5 *4372:B1 *4371:A1 2.91559e-06
6 *4372:B1 *4372:A1 3.28717e-05
7 *4372:B1 *4372:B2 7.65407e-05
8 *4372:B1 *4372:C1 0.000260388
9 *4372:B1 *1022:48 2.82583e-05
10 *354:12 *4352:A 0.000146388
11 *354:12 *4369:B1 0.000187445
12 *354:12 *4371:B1 0.000216968
13 *354:12 *1055:24 1.94813e-05
14 *4372:A2 *4372:B1 0.000630761
15 *336:129 *354:12 0
*RES
1 *4352:Y *354:12 30.6813
2 *354:12 *4372:B1 17.6405
3 *354:12 *4371:A2 9.24915
*END
*D_NET *355 0.00502017
*CONN
*I *4369:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4371:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4353:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4369:A2 0
2 *4371:B1 0.000110355
3 *4353:Y 0.00157662
4 *355:15 0.00168697
5 *4371:B1 *363:12 5.50996e-05
6 *355:15 *4350:B_N 5.73392e-05
7 *355:15 *4351:A 9.18799e-05
8 *355:15 *4353:A 0.000139947
9 *355:15 *4369:A1 3.41459e-05
10 *355:15 *4370:B1 2.86013e-06
11 *355:15 *4426:A1 1.92172e-05
12 *355:15 *4426:A2 0.000267404
13 *355:15 *4427:B1 5.9519e-05
14 *355:15 *4434:B1 0.000154145
15 *355:15 *393:15 6.84616e-06
16 *355:15 *394:43 5.08751e-05
17 *355:15 *1052:17 8.01158e-05
18 *355:15 *1052:28 4.0999e-05
19 *355:15 *1053:9 0.000250199
20 *4823:D *355:15 0.000110306
21 *352:18 *355:15 8.35699e-06
22 *354:12 *4371:B1 0.000216968
*RES
1 *4353:Y *355:15 36.8588
2 *355:15 *4371:B1 22.1574
3 *355:15 *4369:A2 9.24915
*END
*D_NET *356 0.00717366
*CONN
*I *4356:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4369:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4354:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4356:A2 0.000360229
2 *4369:B1 0.000334964
3 *4354:Y 0.00183082
4 *356:23 0.00252601
5 *4356:A2 *4370:B1 0.00012441
6 *4356:A2 *4372:A1 0
7 *4356:A2 *4434:B1 0
8 *4356:A2 *1023:43 1.84334e-05
9 *4369:B1 *4352:A 0.000112492
10 *4369:B1 *4435:A2 0.000169312
11 *4369:B1 *363:12 0.00011036
12 *4369:B1 *424:5 6.50727e-05
13 *356:23 *4362:A 0
14 *356:23 *4431:B 3.79253e-05
15 *356:23 *4432:B1 0.00013299
16 *356:23 *4462:B 1.9101e-05
17 *356:23 *4823:CLK 6.93943e-05
18 *356:23 *414:60 8.95507e-06
19 *356:23 *418:37 6.00289e-05
20 *356:23 *424:5 0.000318644
21 *356:23 *450:23 0.000165771
22 *356:23 *451:10 1.9101e-05
23 *356:23 *454:70 5.60804e-05
24 *356:23 *827:20 3.93117e-06
25 *356:23 *924:11 5.04829e-06
26 *4823:D *356:23 1.5714e-05
27 *336:129 *4356:A2 0.000376992
28 *344:88 *356:23 3.55087e-05
29 *352:18 *4356:A2 8.92568e-06
30 *354:12 *4369:B1 0.000187445
*RES
1 *4354:Y *356:23 39.5115
2 *356:23 *4369:B1 26.4041
3 *356:23 *4356:A2 28.4595
*END
*D_NET *357 0.00384401
*CONN
*I *4366:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4356:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4355:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4366:A2 0.000205427
2 *4356:B1 0.000220332
3 *4355:Y 0.000666405
4 *357:8 0.00109216
5 *4356:B1 *4355:A 2.37821e-05
6 *4356:B1 *4356:B2 3.44056e-05
7 *4356:B1 *4366:B1 3.31882e-05
8 *4356:B1 *4366:B2 5.05252e-05
9 *4356:B1 *5144:A 0.000122378
10 *4356:B1 *363:31 3.48148e-05
11 *4356:B1 *1019:8 2.0388e-06
12 *4356:B1 *1020:7 5.07314e-05
13 *4366:A2 *4355:A 2.13344e-05
14 *4366:A2 *4366:A1 2.15182e-05
15 *4366:A2 *4366:B1 0.000160617
16 *4366:A2 *4367:B1 2.24627e-05
17 *357:8 *4360:A2 4.66492e-05
18 *357:8 *4366:B1 5.80255e-05
19 *357:8 *4366:B2 6.51527e-05
20 *357:8 *359:15 0.000912063
*RES
1 *4355:Y *357:8 25.8722
2 *357:8 *4356:B1 19.7659
3 *357:8 *4366:A2 18.3307
*END
*D_NET *358 0.00174288
*CONN
*I *4370:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4356:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4370:A1 0.000486512
2 *4356:X 0.000486512
3 *4370:A1 *5144:A 0.000769855
*RES
1 *4356:X *4370:A1 26.9861
*END
*D_NET *359 0.00582186
*CONN
*I *4360:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4366:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4357:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4360:A2 0.000298574
2 *4366:B1 0.000121757
3 *4357:Y 0.00086644
4 *359:15 0.00128677
5 *4360:A2 *4358:A 1.96574e-05
6 *4360:A2 *4360:A1 0.000285945
7 *4360:A2 *4360:B1 0.000112493
8 *4360:A2 *4365:A 2.63704e-05
9 *4360:A2 *442:27 6.12686e-06
10 *4366:B1 *4355:A 0.000112031
11 *4366:B1 *4366:A1 5.04829e-06
12 *4366:B1 *4366:B2 5.1493e-06
13 *4366:B1 *1019:22 0.000129959
14 *359:15 *4355:A 1.65872e-05
15 *359:15 *4357:A 0.000164829
16 *359:15 *4507:A2 0.000347214
17 *359:15 *4516:B 5.73392e-05
18 *359:15 *367:21 4.11762e-05
19 *359:15 *367:23 2.22342e-05
20 *359:15 *441:21 3.41747e-05
21 *359:15 *442:27 8.2584e-05
22 *359:15 *482:26 2.77625e-06
23 *359:15 *488:16 6.62712e-05
24 *359:15 *492:16 0.000145551
25 *359:15 *827:64 0.000311263
26 *4356:B1 *4366:B1 3.31882e-05
27 *4366:A2 *4366:B1 0.000160617
28 *4846:D *359:15 2.13584e-05
29 *214:27 *4360:A2 2.16355e-05
30 *357:8 *4360:A2 4.66492e-05
31 *357:8 *4366:B1 5.80255e-05
32 *357:8 *359:15 0.000912063
*RES
1 *4357:Y *359:15 42.3749
2 *359:15 *4366:B1 22.8836
3 *359:15 *4360:A2 18.1228
*END
*D_NET *360 0.0115848
*CONN
*I *4360:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4364:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4358:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4360:B1 6.83841e-05
2 *4364:A2 0.000292412
3 *4358:Y 0.00151719
4 *360:12 0.00187798
5 *4360:B1 *4358:A 1.41976e-05
6 *4360:B1 *4360:A1 0
7 *4364:A2 *4364:B1 7.92757e-06
8 *4364:A2 *4364:B2 3.04407e-05
9 *4364:A2 *4367:A1 0.000310643
10 *4364:A2 *4367:A2 6.89953e-05
11 *4364:A2 *4367:B1 0.000419823
12 *4364:A2 *361:51 0.000162433
13 *360:12 *4358:A 0.00450152
14 *360:12 *4367:B1 2.93365e-05
15 *360:12 *4494:A1 0
16 *360:12 *4502:B 2.46841e-05
17 *360:12 *4521:A1 0.000913493
18 *360:12 *4522:B1 0.00052245
19 *360:12 *442:27 0.000163609
20 *4360:A2 *4360:B1 0.000112493
21 *4875:D *360:12 0
22 *214:27 *360:12 0.000240675
23 *216:25 *4364:A2 0.000100919
24 *336:129 *360:12 1.76071e-05
25 *352:18 *4364:A2 1.3807e-05
26 *352:18 *360:12 0.000173816
*RES
1 *4358:Y *360:12 31.9808
2 *360:12 *4364:A2 25.648
3 *360:12 *4360:B1 15.8771
*END
*D_NET *361 0.0117054
*CONN
*I *4364:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4360:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4501:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4500:A I *D sky130_fd_sc_hd__nor2_1
*I *4507:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4359:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4364:A1 0
2 *4360:B2 0.000146153
3 *4501:C1 4.39492e-05
4 *4500:A 0.000790026
5 *4507:A1 0.000191838
6 *4359:X 0
7 *361:51 0.00136553
8 *361:20 0.00110266
9 *361:8 0.000537034
10 *361:4 0.00129589
11 *4360:B2 *393:22 3.88519e-05
12 *4360:B2 *428:68 0.00015498
13 *4500:A *4498:A 0.000334153
14 *4500:A *4499:A 0.000228593
15 *4500:A *4500:B 0.000185642
16 *4500:A *4541:A2 0
17 *4500:A *462:15 4.79725e-05
18 *4500:A *463:8 0.000158368
19 *4500:A *463:10 0.000389058
20 *4500:A *470:8 0.000469639
21 *4500:A *486:39 1.40356e-05
22 *4500:A *505:8 0
23 *4501:C1 *462:15 2.09155e-05
24 *4501:C1 *470:8 2.09085e-05
25 *4507:A1 *4494:A1 1.93857e-05
26 *4507:A1 *442:27 3.88213e-05
27 *4507:A1 *479:16 7.93333e-05
28 *4507:A1 *479:18 0.000157868
29 *4507:A1 *479:25 5.61855e-05
30 *4507:A1 *486:39 1.0656e-05
31 *4507:A1 *1017:10 5.65354e-05
32 *361:8 *4508:C1 1.65872e-05
33 *361:8 *4517:A2 6.08467e-05
34 *361:8 *479:16 7.00663e-05
35 *361:8 *1017:10 6.29697e-05
36 *361:20 *4517:B1 1.65711e-05
37 *361:20 *441:11 0.000864536
38 *361:20 *486:39 0.000219926
39 *361:20 *561:19 0.000208369
40 *361:51 *4364:B1 4.1554e-05
41 *361:51 *4367:A2 1.59527e-05
42 *361:51 *4508:C1 0.000126785
43 *361:51 *4517:A2 0.000781637
44 *361:51 *4520:B2 0.000387915
45 *361:51 *464:25 4.0752e-05
46 *361:51 *486:23 0.000118166
47 *361:51 *486:39 7.68538e-06
48 *361:51 *1019:8 6.50586e-05
49 *4364:A2 *361:51 0.000162433
50 *4845:D *4507:A1 2.82255e-05
51 *4847:D *361:51 2.13584e-05
52 *216:25 *361:51 1.04818e-05
53 *334:32 *4500:A 0
54 *344:73 *4500:A 0
55 *349:10 *4360:B2 9.68902e-05
56 *352:18 *4360:B2 0.000325612
*RES
1 *4359:X *361:4 9.24915
2 *361:4 *361:8 6.84776
3 *361:8 *4507:A1 19.526
4 *361:8 *361:20 7.93481
5 *361:20 *4500:A 40.3709
6 *361:20 *4501:C1 14.9583
7 *361:4 *361:51 33.0351
8 *361:51 *4360:B2 24.6489
9 *361:51 *4364:A1 9.24915
*END
*D_NET *362 0.00222098
*CONN
*I *4367:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4360:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4367:A1 0.000563807
2 *4360:X 0.000563807
3 *4367:A1 *4364:B2 0.00024991
4 *4367:A1 *4367:B1 3.024e-05
5 *4367:A1 *369:10 6.44964e-06
6 *4367:A1 *442:27 0.000122083
7 *4364:A2 *4367:A1 0.000310643
8 *352:18 *4367:A1 0.000374037
*RES
1 *4360:X *4367:A1 42.866
*END
*D_NET *363 0.016848
*CONN
*I *4363:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4494:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4362:A I *D sky130_fd_sc_hd__nand2_1
*I *4361:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4363:A1 0.00046078
2 *4494:A1 0.0014093
3 *4362:A 0.0022255
4 *4361:Y 0.000891562
5 *363:31 0.00217328
6 *363:12 0.00342026
7 *4362:A *4358:A 0.00010623
8 *4362:A *4424:B1 3.57186e-06
9 *4362:A *364:10 0.000642175
10 *4362:A *414:60 6.39301e-06
11 *4362:A *418:37 0
12 *4362:A *448:11 1.5714e-05
13 *4362:A *924:26 0.000108186
14 *4362:A *1048:39 4.80635e-06
15 *4362:A *1056:10 1.10375e-06
16 *4363:A1 *4363:A2 0.000196055
17 *4363:A1 *4365:A 3.79379e-05
18 *4363:A1 *428:68 0.000223345
19 *4363:A1 *437:39 6.51165e-05
20 *4363:A1 *483:8 0.000256553
21 *4363:A1 *1019:8 0
22 *4494:A1 *4355:A 0.000366439
23 *4494:A1 *4489:A1 6.92705e-05
24 *4494:A1 *4489:A2 2.38958e-05
25 *4494:A1 *4489:B1 0.000178097
26 *4494:A1 *4494:A2 6.08467e-05
27 *4494:A1 *4494:B1 3.8122e-05
28 *4494:A1 *4505:B1 3.9199e-05
29 *4494:A1 *4517:B1 0.00118455
30 *4494:A1 *4522:B1 6.94411e-05
31 *4494:A1 *367:20 0.000258623
32 *4494:A1 *442:27 0.000131713
33 *4494:A1 *463:8 6.08467e-05
34 *4494:A1 *479:25 5.68225e-06
35 *4494:A1 *484:33 2.37709e-05
36 *4494:A1 *486:19 0.000114394
37 *4494:A1 *487:11 0.000615744
38 *363:12 *4369:B2 0
39 *363:12 *4371:C1 3.6191e-05
40 *363:12 *4435:B1 0
41 *363:12 *610:5 0.000228593
42 *363:12 *1021:32 0
43 *363:12 *1021:45 0
44 *363:12 *1055:24 0
45 *363:31 *4355:A 0.000188048
46 *363:31 *4365:A 8.33073e-06
47 *363:31 *4366:B2 0
48 *363:31 *4371:C1 3.80436e-07
49 *363:31 *483:8 0.000470175
50 *4356:B1 *363:31 3.48148e-05
51 *4369:B1 *363:12 0.00011036
52 *4371:B1 *363:12 5.50996e-05
53 *4507:A1 *4494:A1 1.93857e-05
54 *4826:D *363:12 0.000129528
55 *4845:D *4494:A1 2.26985e-05
56 *214:27 *4362:A 0
57 *214:27 *4494:A1 5.59128e-05
58 *336:129 *363:12 0
59 *336:129 *363:31 0
60 *344:88 *4362:A 0
61 *356:23 *4362:A 0
62 *360:12 *4494:A1 0
*RES
1 *4361:Y *363:12 34.8593
2 *363:12 *4362:A 33.2027
3 *363:12 *363:31 10.0393
4 *363:31 *4494:A1 37.2027
5 *363:31 *4363:A1 29.4921
*END
*D_NET *364 0.0063124
*CONN
*I *4364:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4362:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4364:B1 0.00083035
2 *4362:Y 0.000793625
3 *364:10 0.00162397
4 *4364:B1 *4457:A1 8.46734e-05
5 *4364:B1 *4820:CLK 8.76513e-05
6 *4364:B1 *1045:31 1.19721e-05
7 *364:10 *4421:B 2.50526e-05
8 *364:10 *5144:A 0.000691009
9 *364:10 *886:12 0.000439988
10 *364:10 *1048:39 7.7363e-05
11 *364:10 *1049:11 2.82119e-05
12 *364:10 *1050:38 3.14281e-05
13 *4362:A *364:10 0.000642175
14 *4364:A2 *4364:B1 7.92757e-06
15 *216:25 *4364:B1 0.000500436
16 *237:12 *364:10 0.000128695
17 *237:35 *364:10 0.000266312
18 *361:51 *4364:B1 4.1554e-05
*RES
1 *4362:Y *364:10 43.663
2 *364:10 *4364:B1 22.7525
*END
*D_NET *365 0.00191679
*CONN
*I *4364:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4363:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4364:B2 0.000529659
2 *4363:Y 0.000529659
3 *4364:B2 *4367:B1 5.97722e-05
4 *4364:B2 *809:10 0.000317707
5 *4363:B1 *4364:B2 1.92172e-05
6 *4364:A2 *4364:B2 3.04407e-05
7 *4367:A1 *4364:B2 0.00024991
8 *4700:C1 *4364:B2 3.92245e-05
9 *336:129 *4364:B2 4.58447e-05
10 *352:18 *4364:B2 9.53583e-05
*RES
1 *4363:Y *4364:B2 40.8558
*END
*D_NET *366 0.00132443
*CONN
*I *4367:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4364:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4367:A2 0.000480375
2 *4364:X 0.000480375
3 *4367:A2 *428:68 3.06832e-05
4 *4364:A2 *4367:A2 6.89953e-05
5 *216:25 *4367:A2 0.00011548
6 *336:129 *4367:A2 0.00013257
7 *361:51 *4367:A2 1.59527e-05
*RES
1 *4364:X *4367:A2 35.8756
*END
*D_NET *367 0.0106216
*CONN
*I *4514:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4510:A I *D sky130_fd_sc_hd__xor2_1
*I *4521:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4515:A I *D sky130_fd_sc_hd__nand2_1
*I *4366:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4365:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4514:A1 0.000101043
2 *4510:A 0
3 *4521:A2 0.000183082
4 *4515:A 0.00116746
5 *4366:B2 0.000214416
6 *4365:X 4.01915e-05
7 *367:23 0.00147841
8 *367:21 0.000237164
9 *367:20 0.000678982
10 *367:7 0.00072325
11 *4366:B2 *4355:A 1.81863e-06
12 *4366:B2 *4365:A 0.000136523
13 *4366:B2 *1019:8 0.000131827
14 *4514:A1 *4357:A 0.00017747
15 *4514:A1 *4514:B1 1.33419e-05
16 *4514:A1 *481:8 3.29488e-05
17 *4514:A1 *488:16 3.22927e-05
18 *4515:A *4358:A 0.000229004
19 *4515:A *4369:B2 0
20 *4515:A *4512:A 1.91391e-05
21 *4515:A *4515:B 4.19401e-06
22 *4515:A *4527:A1 0.000140966
23 *4515:A *4536:B1 0
24 *4515:A *4537:B1 8.73244e-05
25 *4515:A *4544:A1 0.000268195
26 *4515:A *4544:A2 7.84205e-05
27 *4515:A *4545:B1 9.19786e-05
28 *4515:A *465:11 0.000148852
29 *4515:A *466:41 9.21233e-05
30 *4515:A *468:47 2.18741e-05
31 *4515:A *481:8 0.000117121
32 *4515:A *484:12 0.000792754
33 *4515:A *486:19 6.23101e-05
34 *4515:A *1021:32 0
35 *4515:A *1021:45 0
36 *4515:A *1023:43 0
37 *4521:A2 *4521:A1 0.000158371
38 *4521:A2 *4527:A1 6.08467e-05
39 *4521:A2 *4527:B1 4.4925e-05
40 *4521:A2 *470:22 4.31603e-06
41 *4521:A2 *470:34 1.61631e-05
42 *367:7 *4357:A 0.000113968
43 *367:20 *4514:C1 0.000588087
44 *367:20 *4517:B1 0.000254083
45 *367:20 *428:68 8.93503e-05
46 *367:20 *441:43 0.00016317
47 *367:20 *487:11 0.00064168
48 *367:21 *481:8 0.000159926
49 *367:21 *488:16 3.74542e-05
50 *367:23 *4527:A1 1.72347e-05
51 *367:23 *441:21 0
52 *367:23 *481:8 0.000167187
53 *367:23 *494:11 1.12969e-05
54 *4356:B1 *4366:B2 5.05252e-05
55 *4366:B1 *4366:B2 5.1493e-06
56 *4494:A1 *367:20 0.000258623
57 *4848:D *4521:A2 2.16355e-05
58 *4849:D *4515:A 8.18789e-05
59 *4851:D *4515:A 0
60 *214:27 *367:20 1.12254e-05
61 *342:34 *4515:A 3.14978e-05
62 *357:8 *4366:B2 6.51527e-05
63 *359:15 *367:21 4.11762e-05
64 *359:15 *367:23 2.22342e-05
65 *363:31 *4366:B2 0
*RES
1 *4365:X *367:7 15.0271
2 *367:7 *4366:B2 20.1489
3 *367:7 *367:20 9.08216
4 *367:20 *367:21 3.28538
5 *367:21 *367:23 3.493
6 *367:23 *4515:A 47.3456
7 *367:23 *4521:A2 18.7888
8 *367:21 *4510:A 13.7491
9 *367:20 *4514:A1 16.6529
*END
*D_NET *368 0.00230364
*CONN
*I *4367:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4366:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4367:B1 0.000486779
2 *4366:X 0.000486779
3 *4367:B1 *4355:A 1.75543e-05
4 *4367:B1 *369:10 0.000103123
5 *4364:A2 *4367:B1 0.000419823
6 *4364:B2 *4367:B1 5.97722e-05
7 *4366:A2 *4367:B1 2.24627e-05
8 *4367:A1 *4367:B1 3.024e-05
9 *336:129 *4367:B1 0.000647773
10 *360:12 *4367:B1 2.93365e-05
*RES
1 *4366:X *4367:B1 44.5101
*END
*D_NET *369 0.00414896
*CONN
*I *4370:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4367:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4370:A2 0
2 *4367:X 0.000988002
3 *369:10 0.000988002
4 *369:10 *4418:B1 0.000247443
5 *369:10 *374:13 0.000871962
6 *369:10 *394:43 0.000882155
7 *369:10 *1045:31 9.80242e-07
8 *4367:A1 *369:10 6.44964e-06
9 *4367:B1 *369:10 0.000103123
10 *216:25 *369:10 6.08467e-05
*RES
1 *4367:X *369:10 44.3514
2 *369:10 *4370:A2 9.24915
*END
*D_NET *370 0.00904537
*CONN
*I *4527:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4529:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4523:A I *D sky130_fd_sc_hd__xor2_1
*I *4536:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4369:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4368:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4527:A1 0.000232098
2 *4529:A1 0
3 *4523:A 0.000207395
4 *4536:A2 0
5 *4369:B2 0.000178361
6 *4368:X 0.00108106
7 *370:30 0.000343525
8 *370:26 0.000648874
9 *370:19 0.000280646
10 *370:8 0.00125942
11 *4369:B2 *4351:A 0.000271058
12 *4369:B2 *4369:A1 7.48797e-05
13 *4369:B2 *4371:C1 0
14 *4369:B2 *4536:B1 3.46206e-05
15 *4369:B2 *1021:45 8.18316e-05
16 *4523:A *4529:A2 1.65872e-05
17 *4523:A *4536:B1 0.000111802
18 *4523:A *4537:A1 9.86249e-05
19 *4523:A *4537:A2 0.000125625
20 *4523:A *4537:A3 0.000190239
21 *4523:A *464:11 0.000114594
22 *4523:A *493:12 1.40062e-05
23 *4523:A *497:8 1.05272e-06
24 *4527:A1 *4512:A 2.22492e-05
25 *4527:A1 *4527:B1 0.000154145
26 *4527:A1 *494:11 0.000323641
27 *4527:A1 *1016:24 8.98279e-05
28 *370:8 *4355:A 0.000816518
29 *370:8 *4371:C1 0
30 *370:8 *466:41 0
31 *370:8 *481:27 2.45002e-05
32 *370:8 *483:8 0
33 *370:8 *1019:22 9.66124e-05
34 *370:26 *4492:A 3.58044e-05
35 *370:26 *466:41 0.000446971
36 *370:26 *1022:48 0.000992445
37 *370:30 *4492:A 8.39223e-05
38 *370:30 *4529:A2 1.39501e-05
39 *370:30 *4537:A1 2.28768e-05
40 *370:30 *492:17 9.75243e-05
41 *370:30 *1022:48 0.000111708
42 *4372:A2 *4369:B2 0.000101133
43 *4372:A2 *370:26 1.03403e-05
44 *4515:A *4369:B2 0
45 *4515:A *4527:A1 0.000140966
46 *4521:A2 *4527:A1 6.08467e-05
47 *4848:D *4527:A1 1.58551e-05
48 *363:12 *4369:B2 0
49 *367:23 *4527:A1 1.72347e-05
*RES
1 *4368:X *370:8 32.7977
2 *370:8 *4369:B2 20.5992
3 *370:8 *370:19 4.5
4 *370:19 *4536:A2 9.24915
5 *370:19 *370:26 11.8396
6 *370:26 *370:30 4.48505
7 *370:30 *4523:A 25.4074
8 *370:30 *4529:A1 9.24915
9 *370:26 *4527:A1 26.4815
*END
*D_NET *371 0.00191251
*CONN
*I *4370:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4369:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4370:B1 0.000475219
2 *4369:X 0.000475219
3 *4370:B1 *4350:B_N 3.24105e-05
4 *4370:B1 *4351:A 2.85139e-05
5 *4370:B1 *4368:A 0.000164829
6 *4370:B1 *4371:C1 1.41291e-05
7 *4370:B1 *5144:A 0.000317707
8 *4356:A2 *4370:B1 0.00012441
9 *352:18 *4370:B1 0.000277216
10 *355:15 *4370:B1 2.86013e-06
*RES
1 *4369:X *4370:B1 40.0604
*END
*D_NET *372 0.0025263
*CONN
*I *4371:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4370:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4371:C1 0.000762024
2 *4370:X 0.000762024
3 *4371:C1 *4368:A 8.41174e-05
4 *4371:C1 *4371:B2 0.000169041
5 *4371:C1 *5144:A 6.12686e-06
6 *4371:C1 *483:8 5.68404e-05
7 *4371:C1 *1020:7 0.000568096
8 *4371:C1 *1022:48 6.73351e-05
9 *4369:B2 *4371:C1 0
10 *4370:B1 *4371:C1 1.41291e-05
11 *363:12 *4371:C1 3.6191e-05
12 *363:31 *4371:C1 3.80436e-07
13 *370:8 *4371:C1 0
*RES
1 *4370:X *4371:C1 43.2515
*END
*D_NET *373 0.000607678
*CONN
*I *4372:C1 I *D sky130_fd_sc_hd__a221o_1
*I *4371:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4372:C1 4.3451e-05
2 *4371:X 4.3451e-05
3 *4372:C1 *4372:B2 0.000260388
4 *4372:B1 *4372:C1 0.000260388
*RES
1 *4371:X *4372:C1 21.4401
*END
*D_NET *374 0.00475161
*CONN
*I *4373:C I *D sky130_fd_sc_hd__and3_1
*I *4372:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4373:C 1.22466e-05
2 *4372:X 0.000863935
3 *374:13 0.000876182
4 *4373:C *413:7 9.96939e-05
5 *374:13 *4426:A1 9.03661e-07
6 *374:13 *393:22 0.000260411
7 *374:13 *394:37 8.79472e-05
8 *374:13 *394:43 6.37058e-05
9 *374:13 *413:7 9.05137e-05
10 *374:13 *423:8 5.20546e-06
11 *4372:A2 *374:13 3.62057e-06
12 *215:9 *374:13 0.00113184
13 *332:55 *374:13 5.36085e-05
14 *345:33 *4373:C 6.49673e-05
15 *345:33 *374:13 0.000264871
16 *369:10 *374:13 0.000871962
*RES
1 *4372:X *374:13 48.9643
2 *374:13 *4373:C 10.3342
*END
*D_NET *375 0.00941357
*CONN
*I *4374:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4373:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4374:A 0.000162703
2 *4373:X 0.000491848
3 *375:28 0.00202587
4 *375:10 0.00235501
5 *4374:A *1056:10 0.000199733
6 *375:10 *4471:B 6.3657e-05
7 *375:10 *413:50 0.000432937
8 *375:10 *828:16 4.78305e-05
9 *375:28 *4467:A 0.000185342
10 *375:28 *5074:A 0.000391603
11 *375:28 *395:12 0
12 *375:28 *436:55 1.7983e-05
13 *375:28 *452:14 0.000487513
14 *375:28 *828:11 0.000207528
15 *375:28 *828:16 5.0715e-05
16 *375:28 *1006:20 0.000602557
17 *375:28 *1006:27 0.000363126
18 *4839:D *375:28 0.00039618
19 *214:27 *375:28 0
20 *237:35 *375:10 0
21 *324:14 *375:10 0.000218563
22 *332:55 *375:28 0
23 *337:17 *4374:A 0.000189753
24 *337:24 *4374:A 6.50727e-05
25 *338:116 *375:28 6.83244e-06
26 *345:33 *375:10 0.00045121
*RES
1 *4373:X *375:10 32.8637
2 *375:10 *375:28 49.0628
3 *375:28 *4374:A 20.5973
*END
*D_NET *376 0.0191163
*CONN
*I *4394:A1 I *D sky130_fd_sc_hd__a221oi_2
*I *4391:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4606:A I *D sky130_fd_sc_hd__xnor2_1
*I *4375:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4394:A1 6.15134e-05
2 *4391:A1 8.27056e-05
3 *4606:A 4.05155e-05
4 *4375:Y 0.00187805
5 *376:31 0.000741462
6 *376:9 0.00251581
7 *4391:A1 *4394:B1 0.00013379
8 *4394:A1 *4394:C1 0.000144254
9 *4394:A1 *575:17 6.37186e-05
10 *4606:A *4604:A2 9.84424e-06
11 *376:9 *4579:A 1.83307e-05
12 *376:9 *4580:A 0.0001682
13 *376:9 *4582:A 3.40165e-05
14 *376:9 *4589:B 0.00039446
15 *376:9 *4664:B 0.000258169
16 *376:9 *532:19 3.10188e-05
17 *376:9 *539:19 0.00214553
18 *376:9 *539:36 0.000457782
19 *376:9 *560:48 1.05467e-05
20 *376:9 *573:112 2.915e-05
21 *376:9 *583:52 6.98716e-05
22 *376:9 *615:36 0.000945413
23 *376:9 *616:49 0.000517324
24 *376:9 *644:36 0.000118501
25 *376:9 *1013:29 4.49094e-05
26 *376:31 *4394:C1 0.000258128
27 *376:31 *4604:B1 0.000127964
28 *376:31 *428:14 8.24006e-05
29 *376:31 *575:17 9.5562e-05
30 *376:31 *580:33 3.77568e-05
31 *376:31 *616:49 0.000157956
32 *376:31 *831:50 3.80099e-05
33 *38:17 *376:9 0.00676819
34 *38:17 *376:31 0.00036759
35 *336:125 *4391:A1 4.16066e-05
36 *336:129 *4391:A1 2.02035e-05
37 *348:20 *376:9 2.72352e-05
38 *348:22 *376:9 0.000178777
*RES
1 *4375:Y *376:9 30.8778
2 *376:9 *4606:A 17.9118
3 *376:9 *376:31 20.8092
4 *376:31 *4391:A1 20.9116
5 *376:31 *4394:A1 11.6605
*END
*D_NET *377 0.0191133
*CONN
*I *4391:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4390:A1 I *D sky130_fd_sc_hd__a221o_1
*I *4376:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4391:B2 0.000284879
2 *4390:A1 5.19322e-05
3 *4376:Y 0.00201765
4 *377:37 0.00194888
5 *377:29 0.00362972
6 *4390:A1 *4390:B2 7.14073e-06
7 *4390:A1 *4391:B1 5.69438e-05
8 *4390:A1 *454:41 2.69795e-05
9 *4390:A1 *1045:53 1.07248e-05
10 *4391:B2 *4391:B1 0.000588772
11 *4391:B2 *4394:B2 1.19856e-05
12 *4391:B2 *454:41 9.91536e-05
13 *377:29 *4375:A 0.000209524
14 *377:29 *4379:A 0.000626543
15 *377:29 *4402:A 0.000438972
16 *377:29 *4441:B1 0.000224381
17 *377:29 *4443:B 0.00019628
18 *377:29 *4448:A1 0.000143431
19 *377:29 *4559:A2 2.55661e-06
20 *377:29 *4579:A 1.62909e-05
21 *377:29 *4595:A 0.000149783
22 *377:29 *378:20 0.00123105
23 *377:29 *401:17 0.000595792
24 *377:29 *401:23 0.00159649
25 *377:29 *534:33 5.01835e-05
26 *377:29 *554:21 0.000160224
27 *377:29 *565:49 2.41483e-05
28 *377:29 *573:112 0.000317268
29 *377:29 *585:12 0.000207266
30 *377:29 *615:22 0.00204525
31 *377:29 *647:26 0.000453854
32 *377:29 *1013:32 0.000114217
33 *377:37 *4380:A 1.14368e-05
34 *377:37 *4380:B 5.83114e-05
35 *377:37 *4385:B1 0.000164829
36 *377:37 *4389:C1 0.000160617
37 *377:37 *4441:A2 0.000122149
38 *377:37 *4596:B1 5.1493e-06
39 *377:37 *454:23 6.08467e-05
40 *377:37 *620:38 7.39264e-05
41 *377:37 *620:45 0.000363347
42 *377:37 *1009:27 1.80122e-05
43 *377:37 *1041:25 0.000137356
44 *377:37 *1042:25 0.0003601
45 *344:66 *377:29 3.89395e-05
*RES
1 *4376:Y *377:29 49.2017
2 *377:29 *377:37 40.4551
3 *377:37 *4390:A1 15.1659
4 *377:37 *4391:B2 22.8445
*END
*D_NET *378 0.0202018
*CONN
*I *4390:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4592:A I *D sky130_fd_sc_hd__xnor2_1
*I *4389:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4377:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4390:B2 0.000146165
2 *4592:A 0.000852801
3 *4389:A1 0
4 *4377:Y 0.00145066
5 *378:24 0.000942255
6 *378:21 0.00107304
7 *378:20 0.00228807
8 *4390:B2 *4390:B1 1.67404e-05
9 *4390:B2 *4390:C1 7.8446e-05
10 *4390:B2 *412:13 0.000426973
11 *4390:B2 *454:41 1.22756e-05
12 *4390:B2 *620:45 1.47046e-05
13 *4390:B2 *1007:45 0.000386282
14 *4592:A *4448:A1 0
15 *4592:A *4601:A3 3.84208e-05
16 *4592:A *4606:B 4.15934e-05
17 *4592:A *526:22 5.2858e-05
18 *4592:A *556:28 0.000211478
19 *4592:A *559:11 0.000181216
20 *4592:A *580:45 0.000142123
21 *4592:A *1006:63 0.000111453
22 *4592:A *1013:8 0
23 *378:20 *4621:B1 7.19128e-05
24 *378:20 *4714:A 0.000127341
25 *378:20 *4723:B 3.88655e-06
26 *378:20 *5142:A 0.0001584
27 *378:20 *522:13 1.02264e-05
28 *378:20 *554:21 0.00119957
29 *378:20 *580:60 1.42903e-05
30 *378:20 *611:22 5.88009e-05
31 *378:20 *645:20 1.86714e-05
32 *378:20 *647:26 5.38612e-06
33 *378:20 *829:60 0
34 *378:20 *1001:78 2.43314e-05
35 *378:20 *1008:17 4.60716e-05
36 *378:21 *4443:A 2.63131e-05
37 *378:21 *382:23 0.000821135
38 *378:21 *384:17 2.38991e-05
39 *378:21 *412:13 0.000663041
40 *378:21 *523:83 7.23735e-05
41 *378:21 *620:45 0.00045214
42 *378:21 *646:16 2.62977e-05
43 *378:21 *840:15 3.87817e-05
44 *378:21 *1007:45 0.00193891
45 *378:21 *1007:62 0.000890177
46 *378:21 *1008:17 0.00195686
47 *378:24 *4389:A2 3.67326e-05
48 *378:24 *457:80 9.94871e-05
49 *378:24 *580:45 9.82479e-06
50 *378:24 *1043:27 0
51 *4390:A1 *4390:B2 7.14073e-06
52 *4882:D *378:20 0.00050655
53 *225:14 *378:21 0.00119464
54 *350:36 *4592:A 0
55 *377:29 *378:20 0.00123105
*RES
1 *4377:Y *378:20 46.2855
2 *378:20 *378:21 5.93963
3 *378:21 *378:24 5.82366
4 *378:24 *4389:A1 13.7491
5 *378:24 *4592:A 33.9436
6 *378:21 *4390:B2 19.5024
*END
*D_NET *379 0.0203721
*CONN
*I *4389:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4388:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4587:A I *D sky130_fd_sc_hd__xnor2_1
*I *4378:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4389:B2 9.33623e-05
2 *4388:A1 0.000209579
3 *4587:A 4.15244e-06
4 *4378:Y 0.00100465
5 *379:54 0.00109396
6 *379:42 0.00198252
7 *379:30 0.002192
8 *4388:A1 *4388:B1 6.92705e-05
9 *4388:A1 *4389:C1 5.21356e-05
10 *4388:A1 *4443:A 3.45827e-05
11 *4388:A1 *4596:C1 2.29466e-05
12 *4388:A1 *433:25 2.41483e-05
13 *4388:A1 *565:49 2.43314e-05
14 *4388:A1 *620:45 0.00011818
15 *4389:B2 *4389:C1 6.08467e-05
16 *4389:B2 *4796:B 4.17276e-05
17 *4389:B2 *523:91 0.000311263
18 *4389:B2 *565:49 6.08467e-05
19 *4587:A *5079:TE_B 1.04751e-05
20 *4587:A *549:23 2.12964e-05
21 *379:30 *4734:A 0.000160617
22 *379:30 *4740:A 0.000163418
23 *379:30 *4748:A1 7.3703e-05
24 *379:30 *397:15 8.47617e-05
25 *379:30 *523:38 0
26 *379:30 *557:17 0.00113898
27 *379:30 *573:112 0.00010399
28 *379:30 *656:23 0.000165767
29 *379:30 *665:23 0.000182758
30 *379:30 *696:62 1.46979e-05
31 *379:30 *855:14 0.00140886
32 *379:30 *917:24 0.000280294
33 *379:30 *1005:54 7.09666e-06
34 *379:42 *4564:A 0.00220837
35 *379:42 *520:26 0.00117678
36 *379:42 *526:31 1.05272e-06
37 *379:42 *527:94 2.91707e-05
38 *379:42 *588:29 0.000991753
39 *379:42 *865:47 0.00232368
40 *379:42 *917:24 6.9363e-05
41 *379:42 *1014:37 5.3233e-05
42 *379:54 *4586:A1 0.000163999
43 *379:54 *4590:A1 0.000456733
44 *379:54 *5079:TE_B 1.5962e-05
45 *379:54 *419:33 1.5714e-05
46 *379:54 *527:95 0.000317693
47 *379:54 *546:36 2.87094e-05
48 *379:54 *549:23 0.000368649
49 *379:54 *553:11 2.61827e-05
50 *379:54 *565:49 0.000306213
51 *4859:D *4388:A1 7.09666e-06
52 *4859:D *379:54 0.000295519
53 *214:30 *4388:A1 7.14073e-06
54 *248:19 *379:30 0.000136338
55 *340:77 *379:42 1.3635e-05
56 *344:59 *379:30 0.000107028
57 *350:32 *4388:A1 5.36536e-06
58 *350:36 *379:54 2.95025e-05
*RES
1 *4378:Y *379:30 49.0474
2 *379:30 *379:42 18.3158
3 *379:42 *4587:A 9.50233
4 *379:42 *379:54 28.9717
5 *379:54 *4388:A1 19.3507
6 *379:54 *4389:B2 17.8243
*END
*D_NET *380 0.0196968
*CONN
*I *4580:A I *D sky130_fd_sc_hd__xnor2_1
*I *4387:A1 I *D sky130_fd_sc_hd__a221o_1
*I *4380:A I *D sky130_fd_sc_hd__or2_1
*I *4379:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4580:A 0.000541488
2 *4387:A1 0
3 *4380:A 0.000219091
4 *4379:Y 0.00161894
5 *380:22 0.000872153
6 *380:13 0.00281349
7 *4380:A *4380:B 6.36709e-05
8 *4380:A *4386:A1 6.97621e-06
9 *4380:A *4387:B1 9.12416e-06
10 *4380:A *4388:B2 6.64392e-05
11 *4380:A *4570:C1 5.1493e-06
12 *4380:A *382:33 9.9932e-06
13 *4380:A *433:25 0.000320436
14 *4380:A *620:38 9.04241e-05
15 *4380:A *1009:27 0.000245247
16 *4380:A *1042:25 9.54357e-06
17 *4580:A *4581:B1 0.0005466
18 *4580:A *4582:A 0.000106485
19 *4580:A *4582:B 0.000106485
20 *4580:A *4589:B 0.000158469
21 *4580:A *612:53 0.000207266
22 *380:13 *4379:A 0.000100369
23 *380:13 *4581:B1 0.00331758
24 *380:13 *4664:B 2.11365e-05
25 *380:13 *535:41 4.42985e-05
26 *380:13 *537:17 0.0012322
27 *380:13 *540:24 2.28321e-05
28 *380:13 *554:21 4.00491e-05
29 *380:13 *585:12 0.000160617
30 *380:22 *4387:B1 3.58525e-05
31 *380:22 *4559:A2 2.02413e-05
32 *380:22 *4573:A 1.9946e-05
33 *380:22 *4596:B1 1.24189e-05
34 *380:22 *4855:CLK 0.000162061
35 *380:22 *521:27 2.27175e-05
36 *380:22 *560:20 6.50586e-05
37 *380:22 *611:18 1.49935e-05
38 *380:22 *818:9 0.000327681
39 *380:22 *1001:56 0.000160954
40 *380:22 *1009:27 0.000107852
41 *380:22 *1015:27 1.50389e-06
42 *38:17 *4580:A 0.000556683
43 *38:17 *380:13 0.00475917
44 *38:29 *380:13 0.0001931
45 *328:20 *380:13 0.000100369
46 *376:9 *4580:A 0.0001682
47 *377:37 *4380:A 1.14368e-05
*RES
1 *4379:Y *380:13 28.1237
2 *380:13 *380:22 25.8291
3 *380:22 *4380:A 21.5691
4 *380:22 *4387:A1 13.7491
5 *380:13 *4580:A 24.1243
*END
*D_NET *381 0.000925186
*CONN
*I *4388:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4380:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4388:B1 5.24152e-05
2 *4380:X 5.24152e-05
3 *4388:B1 *4388:B2 0.000314036
4 *4388:B1 *433:25 0.000420251
5 *4388:B1 *620:45 1.67988e-05
6 *4388:A1 *4388:B1 6.92705e-05
*RES
1 *4380:X *4388:B1 23.1039
*END
*D_NET *382 0.016625
*CONN
*I *4573:A I *D sky130_fd_sc_hd__xnor2_1
*I *4387:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4386:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4381:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4573:A 0.000941265
2 *4387:B2 3.5672e-06
3 *4386:A1 0.000262824
4 *4381:Y 0.00146901
5 *382:33 0.00100761
6 *382:23 0.00179461
7 *4386:A1 *4385:B1 1.4789e-05
8 *4386:A1 *4387:C1 0.000206162
9 *4386:A1 *4439:B1 1.9101e-05
10 *4386:A1 *4570:C1 0
11 *4386:A1 *383:28 0.000211478
12 *4386:A1 *620:38 2.94334e-05
13 *4387:B2 *4596:B1 1.47046e-05
14 *4387:B2 *565:49 1.47046e-05
15 *4573:A *4387:B1 4.49767e-05
16 *4573:A *4570:A2 1.66771e-05
17 *4573:A *4582:B 0.000141457
18 *4573:A *4585:A1 1.24189e-05
19 *4573:A *4585:A2 0.00010322
20 *4573:A *4586:A2 0.000205006
21 *4573:A *4586:B1 8.9239e-05
22 *4573:A *419:33 3.29488e-05
23 *4573:A *525:22 0.000107496
24 *4573:A *535:16 1.92336e-05
25 *4573:A *539:36 2.55661e-06
26 *4573:A *539:52 2.55661e-06
27 *4573:A *560:20 1.5714e-05
28 *4573:A *1009:57 6.23297e-05
29 *4573:A *1009:78 0.000439499
30 *4573:A *1015:27 7.16665e-06
31 *382:23 *4621:B1 0.000175089
32 *382:23 *523:83 0.000590599
33 *382:23 *580:60 1.47559e-05
34 *382:23 *647:26 0.000108569
35 *382:23 *696:20 3.33882e-05
36 *382:23 *840:15 0.000709556
37 *382:23 *902:11 6.50727e-05
38 *382:23 *1001:86 0.00131175
39 *382:23 *1008:17 0.00184479
40 *382:23 *1010:16 0.00314307
41 *382:33 *4387:C1 6.75916e-05
42 *382:33 *620:38 2.82171e-06
43 *4380:A *4386:A1 6.97621e-06
44 *4380:A *382:33 9.9932e-06
45 *4831:D *4386:A1 0.000207266
46 *4855:D *4573:A 5.85873e-05
47 *4886:D *382:23 6.50727e-05
48 *348:22 *382:23 7.72418e-05
49 *378:21 *382:23 0.000821135
50 *380:22 *4573:A 1.9946e-05
*RES
1 *4381:Y *382:23 32.9293
2 *382:23 *4386:A1 21.498
3 *382:23 *382:33 1.62437
4 *382:33 *4387:B2 13.924
5 *382:33 *4573:A 35.4534
*END
*D_NET *383 0.0043371
*CONN
*I *4441:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4439:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4442:B I *D sky130_fd_sc_hd__and3_1
*I *4386:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4440:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4382:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4441:A1 0.000225859
2 *4439:A1 4.72274e-05
3 *4442:B 0.000225589
4 *4386:B1 0
5 *4440:A1 0.000217433
6 *4382:X 1.86968e-05
7 *383:28 0.000409141
8 *383:19 0.000136324
9 *383:8 0.000322391
10 *383:7 0.000349514
11 *4440:A1 *4436:A 6.08467e-05
12 *4440:A1 *4441:A2 4.99484e-05
13 *4440:A1 *4443:C 5.8256e-05
14 *4440:A1 *427:7 0.000321919
15 *4440:A1 *1039:8 2.69685e-05
16 *4441:A1 *4441:A2 4.07255e-05
17 *4441:A1 *4441:B1 6.50727e-05
18 *4441:A1 *4443:B 3.83492e-06
19 *4441:A1 *432:41 0
20 *4441:A1 *523:77 4.69495e-06
21 *4441:A1 *565:49 6.50727e-05
22 *4441:A1 *1007:45 3.74433e-05
23 *4442:B *4570:C1 0.000103002
24 *4442:B *431:7 0.000158357
25 *383:7 *433:25 3.07848e-05
26 *383:7 *620:38 6.08467e-05
27 *383:8 *4441:A2 5.485e-05
28 *383:28 *4386:A2 8.97262e-05
29 *383:28 *4386:B2 6.50727e-05
30 *383:28 *4439:B1 0.000122378
31 *383:28 *4440:B1 0.000158974
32 *4386:A1 *383:28 0.000211478
33 *4831:D *4439:A1 3.53803e-05
34 *4831:D *383:28 0.000213442
35 *225:14 *4440:A1 0.000180708
36 *225:14 *4441:A1 2.58521e-05
37 *225:14 *383:8 0.000139294
*RES
1 *4382:X *383:7 14.4725
2 *383:7 *383:8 3.07775
3 *383:8 *4440:A1 21.1538
4 *383:8 *383:19 4.5
5 *383:19 *4386:B1 9.24915
6 *383:19 *383:28 7.57154
7 *383:28 *4442:B 22.329
8 *383:28 *4439:A1 10.5513
9 *383:7 *4441:A1 18.3808
*END
*D_NET *384 0.00827514
*CONN
*I *4385:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4386:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4383:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4385:A1 3.96208e-05
2 *4386:B2 0.000255418
3 *4383:Y 0.000849767
4 *384:17 0.00114481
5 *4385:A1 *4385:C1 2.2979e-05
6 *4386:B2 *4382:A 1.55995e-05
7 *4386:B2 *4385:A2 1.41291e-05
8 *4386:B2 *4385:C1 4.35442e-05
9 *4386:B2 *4440:B1 8.14875e-05
10 *4386:B2 *433:25 0.000213739
11 *4386:B2 *433:45 0.000103006
12 *384:17 *4558:B1 0.00233415
13 *384:17 *4705:A 0.000317707
14 *384:17 *4710:A 0.000114834
15 *384:17 *4722:B 3.33173e-06
16 *384:17 *433:45 0.000388585
17 *384:17 *630:30 7.67318e-05
18 *384:17 *646:16 0.0012215
19 *384:17 *982:14 1.74249e-05
20 *384:17 *1007:62 0.000156545
21 *4828:D *4386:B2 8.78262e-05
22 *4831:D *4386:B2 0.000138843
23 *225:14 *384:17 0.000200716
24 *226:15 *384:17 0.000181614
25 *334:32 *4385:A1 4.94496e-05
26 *334:32 *4386:B2 0.000112819
27 *378:21 *384:17 2.38991e-05
28 *383:28 *4386:B2 6.50727e-05
*RES
1 *4383:Y *384:17 33.4232
2 *384:17 *4386:B2 23.5827
3 *384:17 *4385:A1 14.9583
*END
*D_NET *385 0.00650593
*CONN
*I *4385:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4384:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4385:C1 0.000379599
2 *4384:Y 0.00108555
3 *385:23 0.00146515
4 *4385:C1 *4385:A2 0.000211478
5 *4385:C1 *4443:C 8.74956e-05
6 *4385:C1 *433:45 1.80227e-05
7 *4385:C1 *609:31 0.000122378
8 *4385:C1 *826:48 1.92172e-05
9 *385:23 *4384:A 6.50586e-05
10 *385:23 *4704:B 1.65872e-05
11 *385:23 *4705:A 0.000210067
12 *385:23 *4706:B 9.94284e-06
13 *385:23 *4709:A 0.000156955
14 *385:23 *4715:A 1.92172e-05
15 *385:23 *4725:A2 2.15348e-05
16 *385:23 *4725:A3 0.000460517
17 *385:23 *4725:B1 3.11717e-05
18 *385:23 *433:45 0.000641736
19 *385:23 *580:60 1.75682e-05
20 *385:23 *609:31 4.20915e-05
21 *385:23 *620:13 0.00024344
22 *385:23 *620:38 7.09666e-06
23 *385:23 *630:39 9.30429e-05
24 *385:23 *826:48 0.000162583
25 *385:23 *984:24 1.21709e-05
26 *385:23 *985:26 0.000114584
27 *4385:A1 *4385:C1 2.2979e-05
28 *4386:B2 *4385:C1 4.35442e-05
29 *4725:B2 *385:23 0.000196293
30 *4828:D *4385:C1 0.00052886
*RES
1 *4384:Y *385:23 46.5052
2 *385:23 *4385:C1 30.1323
*END
*D_NET *386 0.00185697
*CONN
*I *4386:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4385:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4386:C1 0.000504255
2 *4385:X 0.000504255
3 *4386:C1 *433:25 0.000128639
4 *4386:C1 *620:38 0.000605377
5 *225:14 *4386:C1 0.000114441
*RES
1 *4385:X *4386:C1 37.1242
*END
*D_NET *387 0.00104231
*CONN
*I *4387:C1 I *D sky130_fd_sc_hd__a221o_1
*I *4386:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4387:C1 0.000270506
2 *4386:X 0.000270506
3 *4387:C1 *4385:B1 0.000140069
4 *4387:C1 *1041:25 2.24052e-05
5 *4386:A1 *4387:C1 0.000206162
6 *4831:D *4387:C1 6.50727e-05
7 *382:33 *4387:C1 6.75916e-05
*RES
1 *4386:X *4387:C1 34.2062
*END
*D_NET *388 0.00157567
*CONN
*I *4388:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4387:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4388:B2 0.000272619
2 *4387:X 0.000272619
3 *4388:B2 *4387:A2 7.94607e-05
4 *4388:B2 *4570:C1 0.000127189
5 *4388:B2 *433:25 3.89073e-05
6 *4388:B2 *620:45 0.000358514
7 *4388:B2 *1042:25 4.58907e-05
8 *4380:A *4388:B2 6.64392e-05
9 *4388:B1 *4388:B2 0.000314036
*RES
1 *4387:X *4388:B2 36.6156
*END
*D_NET *389 0.00092771
*CONN
*I *4389:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4388:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4389:C1 0.000157692
2 *4388:X 0.000157692
3 *4389:C1 *4796:B 2.16355e-05
4 *4389:C1 *565:49 7.09666e-06
5 *4389:C1 *620:45 0.000164829
6 *4388:A1 *4389:C1 5.21356e-05
7 *4389:B2 *4389:C1 6.08467e-05
8 *214:30 *4389:C1 0.000145165
9 *377:37 *4389:C1 0.000160617
*RES
1 *4388:X *4389:C1 33.132
*END
*D_NET *390 0.000887203
*CONN
*I *4390:C1 I *D sky130_fd_sc_hd__a221o_1
*I *4389:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4390:C1 0.000240044
2 *4389:X 0.000240044
3 *4390:C1 *4796:B 0.000213725
4 *4390:C1 *454:41 6.39153e-06
5 *4390:C1 *490:30 0.000108553
6 *4390:B2 *4390:C1 7.8446e-05
*RES
1 *4389:X *4390:C1 32.548
*END
*D_NET *391 0.00194843
*CONN
*I *4394:B1 I *D sky130_fd_sc_hd__a221oi_2
*I *4390:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4394:B1 0.000468131
2 *4390:X 0.000468131
3 *4394:B1 *4394:B2 3.68457e-05
4 *4394:B1 *454:23 0.00052146
5 *4394:B1 *1007:45 0.000193956
6 *4391:A1 *4394:B1 0.00013379
7 *336:125 *4394:B1 4.42742e-06
8 *336:129 *4394:B1 1.26179e-05
9 *351:54 *4394:B1 0.000109075
*RES
1 *4390:X *4394:B1 38.7824
*END
*D_NET *392 0.000593773
*CONN
*I *4394:B2 I *D sky130_fd_sc_hd__a221oi_2
*I *4391:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4394:B2 0.000187562
2 *4391:X 0.000187562
3 *4394:B2 *4391:B1 5.0715e-05
4 *4394:B2 *428:14 0.000110477
5 *4394:B2 *1007:45 8.62625e-06
6 *4391:B2 *4394:B2 1.19856e-05
7 *4394:B1 *4394:B2 3.68457e-05
*RES
1 *4391:X *4394:B2 31.5781
*END
*D_NET *393 0.0202514
*CONN
*I *4393:A I *D sky130_fd_sc_hd__buf_2
*I *4412:A I *D sky130_fd_sc_hd__buf_2
*I *4450:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4438:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4414:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4392:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4393:A 0
2 *4412:A 0
3 *4450:A 0.00144835
4 *4438:A 1.26312e-05
5 *4414:A 0
6 *4392:X 0.00135478
7 *393:43 0.00189924
8 *393:38 0.00051245
9 *393:36 0.000344424
10 *393:22 0.00131968
11 *393:15 0.00240424
12 *4438:A *433:25 6.50727e-05
13 *4438:A *620:45 2.65831e-05
14 *4450:A *4460:B1 0.000574427
15 *4450:A *4604:B1 6.34651e-06
16 *4450:A *4669:B1 6.4213e-05
17 *4450:A *397:29 2.02035e-05
18 *4450:A *419:10 0.000566434
19 *4450:A *419:59 3.72256e-05
20 *4450:A *428:53 2.87136e-06
21 *4450:A *428:91 4.07181e-05
22 *4450:A *576:61 5.46988e-05
23 *4450:A *580:33 5.96e-05
24 *4450:A *615:22 0.00182772
25 *4450:A *616:49 5.44609e-06
26 *4450:A *840:15 3.94247e-05
27 *4450:A *1001:56 1.2128e-05
28 *4450:A *1006:57 0.000220183
29 *4450:A *1006:63 5.04829e-06
30 *4450:A *1007:45 0.000268006
31 *393:15 *4353:A 2.61955e-05
32 *393:15 *4426:A1 7.92757e-06
33 *393:15 *4427:A2 6.08467e-05
34 *393:15 *4427:B1 0.000160358
35 *393:15 *4428:A2 0.000369708
36 *393:15 *4430:B 0.000154145
37 *393:15 *4434:B1 7.98171e-06
38 *393:15 *5122:A 5.481e-05
39 *393:15 *453:18 0.00017419
40 *393:15 *1052:28 0.000206309
41 *393:15 *1053:9 1.58551e-05
42 *393:22 *4358:A 2.6832e-05
43 *393:22 *4368:A 7.52542e-05
44 *393:22 *4372:A1 7.03198e-05
45 *393:22 *394:43 3.55968e-05
46 *393:22 *428:68 0.000151382
47 *393:22 *437:39 8.65132e-05
48 *393:22 *924:26 0.000394264
49 *393:22 *1045:31 0.000208584
50 *393:36 *4477:B 3.20069e-06
51 *393:36 *394:37 0.000104658
52 *393:36 *828:36 0.000107496
53 *393:36 *1045:40 0.000213725
54 *393:38 *1045:40 0.00041745
55 *393:43 *4604:B1 7.40686e-05
56 *393:43 *428:53 2.57277e-05
57 *393:43 *428:68 6.67366e-05
58 *393:43 *437:39 0.000214701
59 *393:43 *561:26 7.85871e-06
60 *393:43 *1045:40 6.50727e-05
61 *4360:B2 *393:22 3.88519e-05
62 *4834:D *393:43 0.000325993
63 *4835:D *393:22 0.000100927
64 *4835:D *393:36 5.0459e-05
65 *38:17 *4450:A 0.00147303
66 *214:27 *393:22 3.55968e-05
67 *215:9 *393:22 0.000266126
68 *326:72 *4450:A 1.66771e-05
69 *338:117 *393:15 0.00017419
70 *349:10 *393:22 0.000398525
71 *349:13 *393:36 0.000105636
72 *349:13 *393:38 0.000165589
73 *349:13 *393:43 3.14978e-05
74 *351:54 *4450:A 2.11312e-05
75 *352:18 *393:22 0
76 *355:15 *393:15 6.84616e-06
77 *374:13 *393:22 0.000260411
*RES
1 *4392:X *393:15 49.4819
2 *393:15 *393:22 36.503
3 *393:22 *4414:A 13.7491
4 *393:22 *393:36 13.649
5 *393:36 *393:38 4.60562
6 *393:38 *393:43 18.2672
7 *393:43 *4438:A 14.4725
8 *393:43 *4450:A 47.1937
9 *393:38 *4412:A 9.24915
10 *393:36 *4393:A 9.24915
*END
*D_NET *394 0.0149894
*CONN
*I *4417:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4426:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4434:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4674:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *4394:C1 I *D sky130_fd_sc_hd__a221oi_2
*I *4393:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4417:B1 0.000115293
2 *4426:B1 0
3 *4434:B1 0.000781065
4 *4674:C1 0.000515776
5 *4394:C1 0.000434993
6 *4393:X 0
7 *394:43 0.00145026
8 *394:37 0.00127667
9 *394:8 0.00134851
10 *394:4 0.00088993
11 *4394:C1 *575:17 2.99843e-05
12 *4417:B1 *4363:A2 9.75243e-05
13 *4417:B1 *436:55 6.80614e-05
14 *4417:B1 *1048:15 0.000116
15 *4417:B1 *1048:39 0.000162739
16 *4434:B1 *4426:A1 6.08467e-05
17 *4434:B1 *4433:B 0
18 *4434:B1 *4434:A2 6.08467e-05
19 *4434:B1 *424:16 0
20 *4434:B1 *610:5 0.000140887
21 *4674:C1 *4622:B1 0.000141245
22 *4674:C1 *412:65 2.20702e-05
23 *4674:C1 *575:17 1.00981e-05
24 *4674:C1 *619:15 3.54922e-05
25 *394:8 *4460:A1 0.00045005
26 *394:8 *402:23 0.000889018
27 *394:8 *809:10 4.72727e-05
28 *394:8 *1046:17 0.000262602
29 *394:8 *1046:28 0.000157717
30 *394:37 *4363:A2 0.000119035
31 *394:37 *4477:B 1.75625e-05
32 *394:37 *4819:CLK 0.000395406
33 *394:37 *436:55 3.24646e-05
34 *394:37 *828:36 2.16355e-05
35 *394:37 *828:46 5.56367e-05
36 *394:37 *1045:40 4.31539e-05
37 *394:43 *4426:A1 6.08467e-05
38 *394:43 *4820:CLK 3.82927e-05
39 *394:43 *423:8 0.000175022
40 *394:43 *867:13 0.00102077
41 *394:43 *1045:31 1.91246e-05
42 *394:43 *1048:39 0
43 *4356:A2 *4434:B1 0
44 *4394:A1 *4394:C1 0.000144254
45 *4825:D *4434:B1 0
46 *4835:D *394:43 0.000261088
47 *4836:D *4674:C1 0.000208355
48 *215:9 *4434:B1 0.000667628
49 *215:9 *394:37 6.50586e-05
50 *215:9 *394:43 2.93268e-05
51 *332:55 *394:43 5.49916e-05
52 *338:81 *394:8 3.13563e-05
53 *345:33 *4674:C1 0.000318276
54 *355:15 *4434:B1 0.000154145
55 *355:15 *394:43 5.08751e-05
56 *369:10 *394:43 0.000882155
57 *374:13 *394:37 8.79472e-05
58 *374:13 *394:43 6.37058e-05
59 *376:31 *4394:C1 0.000258128
60 *393:15 *4434:B1 7.98171e-06
61 *393:22 *394:43 3.55968e-05
62 *393:36 *394:37 0.000104658
*RES
1 *4393:X *394:4 9.24915
2 *394:4 *394:8 27.0268
3 *394:8 *4394:C1 16.5072
4 *394:8 *4674:C1 29.9485
5 *394:4 *394:37 23.1373
6 *394:37 *394:43 36.4705
7 *394:43 *4434:B1 40.3648
8 *394:43 *4426:B1 9.24915
9 *394:37 *4417:B1 13.8548
*END
*D_NET *395 0.0115326
*CONN
*I *4671:A I *D sky130_fd_sc_hd__xnor2_1
*I *4413:A1 I *D sky130_fd_sc_hd__a211oi_2
*I *4411:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4395:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4671:A 0.000932679
2 *4413:A1 6.73351e-05
3 *4411:A1 4.98508e-05
4 *4395:Y 0.000244522
5 *395:23 0.00117471
6 *395:12 0.0012397
7 *395:7 0.00125967
8 *4411:A1 *4411:A2 0.000158357
9 *4411:A1 *4413:B1 4.31539e-05
10 *4413:A1 *412:65 0
11 *4413:A1 *412:78 0
12 *4671:A *4669:C1 2.93365e-05
13 *4671:A *4672:B 6.49116e-05
14 *4671:A *4870:CLK 0.00014375
15 *4671:A *576:46 0.000271246
16 *4671:A *1037:17 3.31882e-05
17 *4671:A *1037:24 0.000333034
18 *395:7 *4395:A 2.65831e-05
19 *395:12 *4411:A2 7.77309e-06
20 *395:12 *4467:A 0.000142987
21 *395:12 *4478:B1 0.000222699
22 *395:12 *5087:TE_B 0.000101148
23 *395:12 *5122:TE_B 4.27003e-05
24 *395:12 *412:78 0.00116713
25 *395:12 *452:27 0.000107729
26 *395:12 *1006:27 0
27 *395:23 *4411:A2 0.000261007
28 *395:23 *412:78 4.05992e-05
29 *395:23 *576:46 0.00042597
30 *395:23 *1037:17 0.000288598
31 *4256:A *395:12 0.000417113
32 *4870:D *4671:A 9.82494e-05
33 *329:122 *395:12 0.000281444
34 *329:122 *395:23 0
35 *332:56 *395:12 0.00145354
36 *335:46 *4671:A 0.000304745
37 *337:24 *395:12 9.71863e-05
38 *375:28 *395:12 0
*RES
1 *4395:Y *395:7 17.2456
2 *395:7 *395:12 47.3143
3 *395:12 *4411:A1 15.5817
4 *395:12 *395:23 10.0393
5 *395:23 *4413:A1 15.0513
6 *395:23 *4671:A 35.4246
*END
*D_NET *396 0.00928603
*CONN
*I *4410:A1 I *D sky130_fd_sc_hd__a221o_1
*I *4411:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4396:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4410:A1 0.00033334
2 *4411:B2 8.33201e-05
3 *4396:Y 0
4 *396:6 0.00142374
5 *396:5 0.00100708
6 *4410:A1 *4410:A2 8.58538e-05
7 *4410:A1 *4410:B1 0
8 *4410:A1 *4411:C1 0.000218917
9 *4410:A1 *412:65 1.24029e-05
10 *4410:A1 *412:78 0
11 *4410:A1 *436:8 0.000559306
12 *4411:B2 *4411:B1 6.1936e-05
13 *396:6 *5080:TE_B 0.0006563
14 *396:6 *436:8 0.000863576
15 *396:6 *436:26 0.000756572
16 *396:6 *760:8 9.82479e-06
17 *4264:A *4411:B2 0.000253916
18 *326:63 *396:6 0.00139719
19 *326:69 *396:6 4.60375e-07
20 *338:116 *4410:A1 5.30254e-05
21 *338:116 *4411:B2 2.16355e-05
22 *338:116 *396:6 0.00148764
*RES
1 *4396:Y *396:5 13.7491
2 *396:5 *396:6 52.0775
3 *396:6 *4411:B2 16.691
4 *396:6 *4410:A1 26.2975
*END
*D_NET *397 0.0286789
*CONN
*I *4656:A I *D sky130_fd_sc_hd__xnor2_1
*I *4409:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4410:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4397:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4656:A 7.9305e-06
2 *4409:A1 0.000154085
3 *4410:B2 0
4 *4397:Y 0.00326253
5 *397:29 0.0010735
6 *397:15 0.00418988
7 *4409:A1 *4410:B1 0.000315247
8 *4409:A1 *4410:C1 2.5386e-05
9 *4409:A1 *412:65 2.63042e-05
10 *4409:A1 *574:29 0.00037548
11 *4409:A1 *1035:53 3.31733e-05
12 *4656:A *4644:B 4.31485e-06
13 *397:15 *4400:A 3.6473e-05
14 *397:15 *4567:B 0.00164006
15 *397:15 *4629:C1 0.000186436
16 *397:15 *4641:B 0
17 *397:15 *5049:A 0.000121681
18 *397:15 *490:55 0.000409133
19 *397:15 *556:28 0.000196043
20 *397:15 *557:17 0.00126871
21 *397:15 *557:53 0.00355489
22 *397:15 *574:81 6.21488e-06
23 *397:15 *587:41 0.00482909
24 *397:15 *588:29 2.39139e-05
25 *397:15 *718:24 0.000858287
26 *397:15 *855:14 0.000420098
27 *397:15 *865:47 4.88528e-05
28 *397:15 *917:24 0.00261446
29 *397:15 *1002:25 0.00104597
30 *397:29 *4410:A2 0
31 *397:29 *4410:B1 5.22859e-06
32 *397:29 *4644:B 0.000408711
33 *397:29 *412:65 0.000271321
34 *397:29 *443:25 1.91391e-05
35 *397:29 *614:29 3.53339e-05
36 *397:29 *615:22 0.000127596
37 *397:29 *1006:57 0.00014871
38 *4450:A *397:29 2.02035e-05
39 *248:19 *397:15 0.000353362
40 *326:72 *397:29 0.000141777
41 *329:108 *4656:A 2.02035e-05
42 *329:108 *397:29 4.31485e-06
43 *329:116 *397:29 0.000155128
44 *330:106 *397:15 0.000154952
45 *346:102 *397:29 0
46 *379:30 *397:15 8.47617e-05
*RES
1 *4397:Y *397:15 37.6282
2 *397:15 *397:29 29.874
3 *397:29 *4410:B2 9.24915
4 *397:29 *4409:A1 25.7815
5 *397:15 *4656:A 14.1278
*END
*D_NET *398 0.00867857
*CONN
*I *4409:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4408:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4398:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4409:B2 0.000228247
2 *4408:A1 3.74388e-05
3 *4398:Y 0.000139353
4 *398:8 0.00151473
5 *398:7 0.00138839
6 *4408:A1 *4408:B1 2.16355e-05
7 *4409:B2 *4399:A 0.000214991
8 *4409:B2 *576:47 6.19637e-05
9 *398:7 *4398:A 1.03403e-05
10 *398:8 *4399:A 0.000131599
11 *398:8 *4469:A1 5.73183e-05
12 *398:8 *4473:A2 0.000506181
13 *398:8 *4479:B 0.00121887
14 *398:8 *413:50 0.000252876
15 *398:8 *437:39 0.000147247
16 *398:8 *441:43 3.91944e-05
17 *398:8 *447:8 4.52324e-05
18 *398:8 *448:11 0.00157131
19 *398:8 *451:10 6.77948e-06
20 *398:8 *1007:25 0.000546027
21 *398:8 *1032:22 1.63372e-05
22 *4264:A *4408:A1 6.08467e-05
23 *4839:D *398:8 2.33053e-05
24 *334:91 *4408:A1 1.48316e-05
25 *338:96 *4409:B2 0.000253916
26 *346:102 *4409:B2 0.000169616
27 *346:104 *4409:B2 0
28 *346:104 *398:8 0
*RES
1 *4398:Y *398:7 15.5817
2 *398:7 *398:8 48.7555
3 *398:8 *4408:A1 15.0513
4 *398:8 *4409:B2 21.7084
*END
*D_NET *399 0.00110418
*CONN
*I *4408:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4399:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4408:B1 0.00025457
2 *4399:X 0.00025457
3 *4408:B1 *4408:A2 6.50727e-05
4 *4408:B1 *4409:C1 0.00012568
5 *4408:B1 *4410:B1 0
6 *4408:B1 *4410:C1 0
7 *4408:B1 *5131:TE_B 6.50727e-05
8 *4408:B1 *1007:25 0.000284063
9 *4264:A *4408:B1 5.51483e-06
10 *4408:A1 *4408:B1 2.16355e-05
11 *326:72 *4408:B1 1.77537e-06
12 *338:96 *4408:B1 2.62278e-05
*RES
1 *4399:X *4408:B1 35.2083
*END
*D_NET *400 0.0275032
*CONN
*I *4636:A I *D sky130_fd_sc_hd__xnor2_1
*I *4405:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4407:A1 I *D sky130_fd_sc_hd__a221o_1
*I *4400:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4636:A 0.000472655
2 *4405:A1 0.00015502
3 *4407:A1 0.000494692
4 *4400:Y 0.000624659
5 *400:67 0.00101477
6 *400:59 0.00124402
7 *400:54 0.00207668
8 *400:40 0.00296261
9 *400:20 0.00191689
10 *4405:A1 *4405:A2 0.000216299
11 *4405:A1 *4405:B1 4.32384e-05
12 *4405:A1 *4870:CLK 4.0752e-05
13 *4405:A1 *580:100 2.78666e-05
14 *4407:A1 *4405:A2 7.77309e-06
15 *4407:A1 *4405:B1 0.000838824
16 *4407:A1 *4406:A_N 0.000331683
17 *4407:A1 *4407:A2 3.75221e-05
18 *4407:A1 *4408:B2 6.50727e-05
19 *4407:A1 *4464:B1 1.36598e-05
20 *4407:A1 *404:21 6.08467e-05
21 *4407:A1 *580:100 0.000175252
22 *4636:A *4480:A1 0.000304777
23 *4636:A *4636:B 4.82656e-05
24 *4636:A *4642:B 0.000122068
25 *4636:A *4648:B1 5.481e-05
26 *4636:A *4666:A1 8.62662e-05
27 *4636:A *588:47 1.14585e-05
28 *400:20 *4569:B 6.72555e-05
29 *400:20 *4748:A1 2.15276e-05
30 *400:20 *4898:CLK 0.000113968
31 *400:20 *457:46 6.22259e-05
32 *400:20 *527:30 4.49767e-05
33 *400:20 *582:35 0.000548008
34 *400:20 *621:39 5.30038e-05
35 *400:20 *621:49 1.22858e-05
36 *400:20 *696:45 1.24189e-05
37 *400:20 *696:62 0.000731077
38 *400:40 *4448:A1 6.50586e-05
39 *400:40 *4743:B 0.000269642
40 *400:40 *4743:C 1.88014e-05
41 *400:40 *4743:D 0.000364437
42 *400:40 *4744:C 9.95542e-06
43 *400:40 *435:15 0.000215785
44 *400:40 *519:27 8.1744e-05
45 *400:40 *520:5 0.000203739
46 *400:40 *520:26 0.000457655
47 *400:40 *531:21 0.00117028
48 *400:40 *557:17 8.96195e-06
49 *400:40 *584:56 2.44885e-05
50 *400:40 *975:15 0.00022363
51 *400:40 *975:32 0.000115148
52 *400:54 *4480:B1 0.000535134
53 *400:54 *4604:B1 0
54 *400:54 *4604:C1 5.74984e-05
55 *400:54 *4633:C1 2.25379e-05
56 *400:54 *5049:A 0.000537346
57 *400:54 *428:14 0.000129288
58 *400:54 *519:13 0.000160617
59 *400:54 *519:27 0.000217937
60 *400:54 *527:94 7.0625e-05
61 *400:54 *539:66 5.65354e-05
62 *400:54 *549:23 0.000754627
63 *400:54 *587:41 0.00185909
64 *400:59 *4602:B 0.000351426
65 *400:59 *4631:A 2.12964e-05
66 *400:59 *4648:B1 0.000165727
67 *400:59 *584:14 0.000469002
68 *400:59 *777:30 0.000451837
69 *400:59 *1046:44 8.63445e-05
70 *400:67 *4405:B1 0.000530499
71 *400:67 *4405:B2 9.74313e-05
72 *400:67 *573:49 6.7503e-05
73 *400:67 *580:33 1.85963e-05
74 *400:67 *592:64 3.29488e-05
75 *4867:D *4636:A 5.47093e-05
76 *4867:D *400:59 2.16355e-05
77 *4867:D *400:67 0.000110766
78 *4898:D *400:20 0.000107174
79 *38:17 *400:67 0.000594636
80 *248:29 *400:40 1.75682e-05
81 *288:14 *400:20 0.00054454
82 *324:13 *4636:A 3.5709e-05
83 *324:14 *400:67 0.000405027
84 *331:91 *400:54 6.09999e-05
85 *345:19 *4636:A 0.000512103
86 *351:44 *400:54 0
*RES
1 *4400:Y *400:20 48.9122
2 *400:20 *400:40 46.9159
3 *400:40 *400:54 46.0499
4 *400:54 *400:59 16.4452
5 *400:59 *400:67 20.3208
6 *400:67 *4407:A1 31.0488
7 *400:67 *4405:A1 18.4547
8 *400:59 *4636:A 33.7152
*END
*D_NET *401 0.0215426
*CONN
*I *4403:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4405:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4401:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4403:A1 0.00038952
2 *4405:B2 0.000394873
3 *4401:Y 0.00125631
4 *401:23 0.0025504
5 *401:17 0.00302231
6 *4403:A1 *4405:A2 9.01497e-05
7 *4403:A1 *4407:B2 2.70455e-05
8 *4403:A1 *573:49 3.38266e-05
9 *4403:A1 *615:22 4.03724e-05
10 *4403:A1 *840:15 2.42907e-05
11 *4403:A1 *1000:9 4.15236e-05
12 *4405:B2 *4405:B1 7.42831e-05
13 *4405:B2 *4407:B2 8.01374e-06
14 *4405:B2 *573:49 0.000317405
15 *4405:B2 *574:34 3.84031e-05
16 *401:17 *4379:A 0.000595792
17 *401:17 *4558:B1 0.000250244
18 *401:17 *4621:B1 0.000167764
19 *401:17 *4720:A 3.33861e-05
20 *401:17 *4886:CLK 3.77925e-05
21 *401:17 *4887:CLK 8.08111e-06
22 *401:17 *5142:A 0.000163208
23 *401:17 *520:36 0.00011222
24 *401:17 *647:26 0.000554676
25 *401:17 *818:33 1.73685e-05
26 *401:17 *829:163 4.03381e-05
27 *401:23 *4379:A 0.000989628
28 *401:23 *4448:A1 0.00200674
29 *401:23 *412:65 0.0010458
30 *401:23 *560:48 0.00139777
31 *401:23 *573:49 1.98448e-05
32 *401:23 *615:22 7.2373e-05
33 *401:23 *646:16 1.40158e-05
34 *401:23 *696:20 0.00196843
35 *401:23 *840:15 0.000108944
36 *401:23 *987:16 4.25398e-05
37 *401:23 *1000:45 0.000927662
38 *401:23 *1015:27 3.02257e-05
39 *285:14 *401:17 3.88213e-05
40 *324:14 *4405:B2 6.0519e-05
41 *330:106 *4403:A1 3.09613e-05
42 *330:106 *4405:B2 1.55462e-05
43 *330:106 *401:23 3.28316e-05
44 *341:67 *401:17 0.000160617
45 *377:29 *401:17 0.000595792
46 *377:29 *401:23 0.00159649
47 *400:67 *4405:B2 9.74313e-05
*RES
1 *4401:Y *401:17 47.8263
2 *401:17 *401:23 17.5472
3 *401:23 *4405:B2 26.0026
4 *401:23 *4403:A1 22.2694
*END
*D_NET *402 0.0220089
*CONN
*I *4403:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4402:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4403:C1 0.000209213
2 *4402:Y 0.000644522
3 *402:23 0.00358368
4 *402:14 0.00401899
5 *4403:C1 *4403:A2 0.000376388
6 *4403:C1 *4403:B1 0.000127251
7 *4403:C1 *4404:A 6.08467e-05
8 *4403:C1 *4407:B1 6.36477e-05
9 *4403:C1 *5131:TE_B 0.000190912
10 *4403:C1 *1007:45 5.12467e-05
11 *402:14 *4375:A 0
12 *402:14 *4458:A 0.000353007
13 *402:14 *4558:B1 6.84784e-06
14 *402:14 *4579:A 1.05272e-06
15 *402:14 *4608:A 0.000109075
16 *402:14 *514:16 0.000275789
17 *402:14 *565:17 0.00037029
18 *402:14 *902:11 6.08467e-05
19 *402:23 *4458:A 0.00102525
20 *402:23 *4460:B1 0
21 *402:23 *4836:CLK 4.31703e-05
22 *402:23 *5131:TE_B 4.47682e-05
23 *402:23 *436:69 0.00167578
24 *402:23 *454:23 0.000106898
25 *402:23 *513:14 0.00135163
26 *402:23 *514:16 0.000421652
27 *402:23 *809:10 1.95103e-05
28 *402:23 *865:22 1.15279e-05
29 *402:23 *1007:45 0.000651439
30 *402:23 *1009:27 0.00021354
31 *402:23 *1042:59 0.000263099
32 *402:23 *1045:12 0.000112814
33 *402:23 *1045:31 3.65454e-05
34 *4455:A *402:23 0.000372384
35 *4700:C1 *402:23 0
36 *4836:D *402:23 0.000165181
37 *4883:D *402:14 4.67385e-05
38 *4886:D *402:14 6.08467e-05
39 *84:17 *402:23 0.000848922
40 *284:17 *402:14 4.39898e-05
41 *338:81 *402:23 0.00017987
42 *344:66 *402:14 0.000563398
43 *349:31 *402:23 0.00231303
44 *350:9 *402:23 4.42985e-05
45 *394:8 *402:23 0.000889018
*RES
1 *4402:Y *402:14 39.0558
2 *402:14 *402:23 45.1962
3 *402:23 *4403:C1 18.3157
*END
*D_NET *403 0.000849604
*CONN
*I *4407:B1 I *D sky130_fd_sc_hd__a221o_1
*I *4403:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4407:B1 0.000185243
2 *4403:X 0.000185243
3 *4407:B1 *4405:A2 3.61659e-05
4 *4407:B1 *4407:B2 7.55264e-05
5 *4407:B1 *4445:A1 0.000134145
6 *4407:B1 *5131:TE_B 6.36477e-05
7 *4407:B1 *404:21 0.000105985
8 *4403:C1 *4407:B1 6.36477e-05
*RES
1 *4403:X *4407:B1 33.791
*END
*D_NET *404 0.0147407
*CONN
*I *4405:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4465:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4463:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4466:B I *D sky130_fd_sc_hd__and3_1
*I *4464:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4404:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4405:B1 0.000766557
2 *4465:A1 0.000253031
3 *4463:A1 0.000605603
4 *4466:B 4.97973e-05
5 *4464:A1 0.000208781
6 *4404:X 0
7 *404:45 0.0018716
8 *404:28 0.0013189
9 *404:21 0.00125134
10 *404:4 0.000437426
11 *4405:B1 *4405:A2 0.000784538
12 *4405:B1 *4407:B2 3.78939e-05
13 *4405:B1 *4633:C1 0
14 *4405:B1 *574:34 2.37827e-05
15 *4405:B1 *580:33 0.000753593
16 *4405:B1 *616:49 5.04829e-06
17 *4405:B1 *1000:83 0
18 *4463:A1 *4463:B1 0.000107496
19 *4463:A1 *4467:C 5.15415e-05
20 *4463:A1 *4469:A2 7.23857e-05
21 *4463:A1 *4620:A 0.000185225
22 *4463:A1 *5068:A 0.000405695
23 *4463:A1 *444:33 1.89527e-06
24 *4463:A1 *445:14 3.29488e-05
25 *4463:A1 *447:12 0.000115745
26 *4463:A1 *451:10 1.54795e-05
27 *4464:A1 *4403:B1 0
28 *4464:A1 *433:7 0.000317788
29 *4465:A1 *455:7 0.000340742
30 *4466:B *4476:A2 2.16355e-05
31 *404:21 *4407:B2 0.000109583
32 *404:21 *4445:A2 0.000107496
33 *404:21 *4464:B1 6.36525e-06
34 *404:21 *433:7 0.000139947
35 *404:28 *4405:A2 5.41227e-05
36 *404:28 *4445:A1 0.000128762
37 *404:28 *1032:35 0.000343637
38 *404:45 *4468:A 0.000121159
39 *404:45 *445:14 0.000248079
40 *404:45 *451:10 9.29978e-05
41 *404:45 *1033:11 5.73392e-05
42 *4405:A1 *4405:B1 4.32384e-05
43 *4405:B2 *4405:B1 7.42831e-05
44 *4407:A1 *4405:B1 0.000838824
45 *4407:A1 *404:21 6.08467e-05
46 *4407:B1 *404:21 0.000105985
47 *4871:D *4464:A1 4.95311e-05
48 *38:17 *4405:B1 7.05889e-05
49 *233:13 *404:45 0.000560087
50 *237:35 *404:28 0.000280451
51 *237:35 *404:45 0.000291873
52 *330:106 *4405:B1 1.66626e-05
53 *338:96 *4464:A1 7.71476e-05
54 *338:96 *404:21 1.65872e-05
55 *338:116 *4463:A1 0
56 *345:33 *4464:A1 4.85598e-05
57 *346:104 *4463:A1 0.000185518
58 *346:104 *404:28 0
59 *346:104 *404:45 4.4037e-05
60 *400:67 *4405:B1 0.000530499
*RES
1 *4404:X *404:4 9.24915
2 *404:4 *4464:A1 25.2386
3 *404:4 *404:21 14.9125
4 *404:21 *404:28 14.2951
5 *404:28 *4466:B 14.4725
6 *404:28 *404:45 34.7547
7 *404:45 *4463:A1 27.9409
8 *404:45 *4465:A1 17.8002
9 *404:21 *4405:B1 45.933
*END
*D_NET *405 0.00265052
*CONN
*I *4407:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4405:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4407:B2 0.00075158
2 *4405:X 0.00075158
3 *4407:B2 *4403:A2 4.7918e-05
4 *4407:B2 *4405:A2 2.41274e-06
5 *4407:B2 *4407:C1 1.07248e-05
6 *4407:B2 *4445:A1 5.68225e-06
7 *4407:B2 *4464:B1 4.32443e-06
8 *4407:B2 *4871:CLK 0.000195399
9 *4407:B2 *431:62 0.000130532
10 *4407:B2 *574:34 2.37402e-06
11 *4407:B2 *831:94 6.8939e-05
12 *4407:B2 *1000:9 8.03951e-06
13 *4403:A1 *4407:B2 2.70455e-05
14 *4405:B1 *4407:B2 3.78939e-05
15 *4405:B2 *4407:B2 8.01374e-06
16 *4407:B1 *4407:B2 7.55264e-05
17 *324:14 *4407:B2 9.31155e-05
18 *345:19 *4407:B2 0.000319839
19 *404:21 *4407:B2 0.000109583
*RES
1 *4405:X *4407:B2 46.1502
*END
*D_NET *406 0.00211143
*CONN
*I *4407:C1 I *D sky130_fd_sc_hd__a221o_1
*I *4406:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4407:C1 0.00062631
2 *4406:X 0.00062631
3 *4407:C1 *4445:A1 0
4 *4407:C1 *444:20 1.41689e-05
5 *4407:C1 *1033:49 6.08467e-05
6 *4407:C1 *1042:59 0.000218628
7 *4407:B2 *4407:C1 1.07248e-05
8 *237:35 *4407:C1 0.000415449
9 *324:14 *4407:C1 0.000138988
*RES
1 *4406:X *4407:C1 39.2032
*END
*D_NET *407 0.000431395
*CONN
*I *4408:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4407:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4408:B2 0.000138149
2 *4407:X 0.000138149
3 *4408:B2 *4464:B1 5.85252e-05
4 *4407:A1 *4408:B2 6.50727e-05
5 *334:91 *4408:B2 3.14978e-05
*RES
1 *4407:X *4408:B2 22.4287
*END
*D_NET *408 0.000330811
*CONN
*I *4409:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4408:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4409:C1 3.97254e-05
2 *4408:X 3.97254e-05
3 *4408:B1 *4409:C1 0.00012568
4 *338:96 *4409:C1 0.00012568
*RES
1 *4408:X *4409:C1 29.7455
*END
*D_NET *409 0.00104949
*CONN
*I *4410:C1 I *D sky130_fd_sc_hd__a221o_1
*I *4409:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4410:C1 0.000315661
2 *4409:X 0.000315661
3 *4410:C1 *4409:A2 2.65667e-05
4 *4410:C1 *4410:B1 0.000255443
5 *4410:C1 *4842:CLK 2.41274e-06
6 *4410:C1 *576:47 6.02078e-06
7 *4408:B1 *4410:C1 0
8 *4409:A1 *4410:C1 2.5386e-05
9 *326:72 *4410:C1 0.000102343
*RES
1 *4409:X *4410:C1 35.4548
*END
*D_NET *410 0.00124422
*CONN
*I *4411:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4410:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4411:C1 0.000284041
2 *4410:X 0.000284041
3 *4411:C1 *4410:A2 0.000108869
4 *4410:A1 *4411:C1 0.000218917
5 *326:69 *4411:C1 0.000315176
6 *338:116 *4411:C1 3.31733e-05
*RES
1 *4410:X *4411:C1 37.6676
*END
*D_NET *411 0.00130104
*CONN
*I *4413:B1 I *D sky130_fd_sc_hd__a211oi_2
*I *4411:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4413:B1 0.000267147
2 *4411:X 0.000267147
3 *4413:B1 *4481:A2 0.000513366
4 *4413:B1 *1006:20 1.72464e-05
5 *4411:A1 *4413:B1 4.31539e-05
6 *4842:D *4413:B1 0.000192979
*RES
1 *4411:X *4413:B1 37.6676
*END
*D_NET *412 0.0267109
*CONN
*I *4609:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *4413:C1 I *D sky130_fd_sc_hd__a211oi_2
*I *4467:A I *D sky130_fd_sc_hd__nor3_1
*I *4596:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *4443:A I *D sky130_fd_sc_hd__nor3_1
*I *4412:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4609:C1 0.000220992
2 *4413:C1 0
3 *4467:A 0.000822108
4 *4596:C1 4.74758e-05
5 *4443:A 0.00136824
6 *4412:X 0.000506521
7 *412:78 0.00179123
8 *412:65 0.00292197
9 *412:46 0.00231812
10 *412:13 0.00178816
11 *412:8 0.00102326
12 *4443:A *4443:C 2.12187e-05
13 *4443:A *4558:B1 0.000317014
14 *4443:A *4559:A1 0
15 *4443:A *428:91 0.000150802
16 *4443:A *432:41 0.000210959
17 *4443:A *831:21 6.08467e-05
18 *4443:A *1000:45 6.08467e-05
19 *4443:A *1007:45 0.00132201
20 *4443:A *1008:17 3.98033e-05
21 *4443:A *1008:36 3.02981e-05
22 *4443:A *1008:44 9.02779e-05
23 *4467:A *4467:B 7.82499e-05
24 *4467:A *4478:B1 0.000214936
25 *4467:A *5080:TE_B 0.000398075
26 *4467:A *5150:A 2.98446e-05
27 *4467:A *449:14 0.000111722
28 *4467:A *452:27 0.000107729
29 *4467:A *828:8 0.000111708
30 *4467:A *1006:20 0.000407305
31 *4596:C1 *4796:B 6.08467e-05
32 *4596:C1 *565:49 6.08467e-05
33 *4609:C1 *4604:A2 0
34 *4609:C1 *4604:B1 6.92636e-05
35 *4609:C1 *523:91 0
36 *4609:C1 *831:35 0.000171273
37 *4609:C1 *1015:21 1.71784e-05
38 *412:8 *4391:B1 2.50632e-05
39 *412:8 *4604:B1 0.000118061
40 *412:8 *439:16 1.44467e-05
41 *412:8 *439:36 0.000360145
42 *412:8 *561:26 0.000503726
43 *412:8 *1045:40 0.000364356
44 *412:8 *1045:53 0.000182121
45 *412:13 *840:15 0.000525513
46 *412:13 *1007:45 0.000158567
47 *412:46 *4391:B1 1.92098e-05
48 *412:46 *4604:B1 9.52961e-05
49 *412:46 *454:41 0
50 *412:46 *696:20 2.95915e-05
51 *412:65 *4410:A2 0.000288976
52 *412:65 *4410:B1 3.99086e-06
53 *412:65 *4622:A2 0.000162583
54 *412:65 *4622:B1 0.000253916
55 *412:65 *419:10 3.38973e-05
56 *412:65 *840:15 0.000204644
57 *412:65 *1000:9 0.000207208
58 *412:65 *1007:8 9.19886e-06
59 *412:78 *4481:A2 0.000536739
60 *412:78 *576:46 0.000399909
61 *412:78 *752:11 0.000375622
62 *412:78 *1006:20 8.76398e-05
63 *412:78 *1038:8 9.19886e-06
64 *4388:A1 *4443:A 3.45827e-05
65 *4388:A1 *4596:C1 2.29466e-05
66 *4390:B2 *412:13 0.000426973
67 *4409:A1 *412:65 2.63042e-05
68 *4410:A1 *412:65 1.24029e-05
69 *4410:A1 *412:78 0
70 *4413:A1 *412:65 0
71 *4413:A1 *412:78 0
72 *4674:C1 *412:65 2.20702e-05
73 *4861:D *4609:C1 0.000118166
74 *4864:D *412:65 4.33819e-05
75 *4871:D *412:65 1.06967e-05
76 *225:14 *4443:A 0.000315509
77 *338:81 *412:65 3.73224e-05
78 *350:32 *4443:A 0.000120883
79 *350:32 *4596:C1 5.64209e-05
80 *375:28 *4467:A 0.000185342
81 *378:21 *4443:A 2.63131e-05
82 *378:21 *412:13 0.000663041
83 *395:12 *4467:A 0.000142987
84 *395:12 *412:78 0.00116713
85 *395:23 *412:78 4.05992e-05
86 *397:29 *412:65 0.000271321
87 *401:23 *412:65 0.0010458
*RES
1 *4412:X *412:8 32.7126
2 *412:8 *412:13 8.69971
3 *412:13 *4443:A 38.7847
4 *412:13 *4596:C1 15.6817
5 *412:8 *412:46 4.32351
6 *412:46 *412:65 45.3599
7 *412:65 *412:78 39.8675
8 *412:78 *4467:A 39.3024
9 *412:65 *4413:C1 9.24915
10 *412:46 *4609:C1 19.6976
*END
*D_NET *413 0.0304884
*CONN
*I *4421:A I *D sky130_fd_sc_hd__nor3_1
*I *4430:A I *D sky130_fd_sc_hd__nor3_1
*I *4462:A I *D sky130_fd_sc_hd__nor2_1
*I *4416:A I *D sky130_fd_sc_hd__nor2_1
*I *4437:A I *D sky130_fd_sc_hd__nor2_1
*I *4414:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4421:A 0.000113718
2 *4430:A 0.000271547
3 *4462:A 0
4 *4416:A 0.000227242
5 *4437:A 0
6 *4414:X 0
7 *413:80 0.000971364
8 *413:64 0.00209173
9 *413:50 0.00263017
10 *413:23 0.00130568
11 *413:9 0.00269469
12 *413:7 0.00188054
13 *413:4 0.00193192
14 *4416:A *414:60 0.000624975
15 *4416:A *450:23 4.0752e-05
16 *4416:A *610:5 0.000914041
17 *4416:A *886:12 0.000190057
18 *4421:A *4465:A2 6.64392e-05
19 *4421:A *4465:B1 0.000298223
20 *4430:A *4429:A 6.08467e-05
21 *4430:A *5149:A 0.00035144
22 *4430:A *827:16 8.54654e-05
23 *4430:A *904:9 0.000440512
24 *4430:A *924:26 7.92757e-06
25 *413:9 *4483:A 0.00011818
26 *413:9 *4483:B 2.20702e-05
27 *413:9 *4487:A 4.33655e-05
28 *413:9 *4487:B 0.00043038
29 *413:9 *4493:A1 6.23875e-05
30 *413:9 *4493:B1 6.50586e-05
31 *413:9 *434:17 0.000665887
32 *413:9 *439:16 0.0025389
33 *413:9 *457:28 0.000275587
34 *413:9 *457:80 0.000831653
35 *413:9 *458:14 8.7297e-05
36 *413:9 *561:19 0.000118264
37 *413:9 *561:26 3.48976e-05
38 *413:23 *4437:B 0.000104809
39 *413:23 *4884:CLK 0.000155338
40 *413:23 *5143:A 6.50586e-05
41 *413:23 *5147:A 1.95554e-05
42 *413:23 *438:37 2.12616e-05
43 *413:23 *457:46 0.000383703
44 *413:23 *479:11 0.000161234
45 *413:23 *621:7 0.000400335
46 *413:23 *623:24 7.60356e-05
47 *413:23 *640:13 1.53438e-05
48 *413:23 *821:5 2.16355e-05
49 *413:23 *826:25 7.60356e-05
50 *413:50 *4468:A 1.03403e-05
51 *413:50 *4471:A 3.01188e-05
52 *413:50 *4471:C 0.000325344
53 *413:50 *445:14 0.000428817
54 *413:50 *451:10 3.10924e-05
55 *413:50 *453:18 0.000434847
56 *413:50 *1007:25 2.41274e-06
57 *413:50 *1033:11 3.24105e-05
58 *413:64 *4432:B1 0
59 *413:64 *4462:B 1.1539e-05
60 *413:64 *4620:A 1.79239e-05
61 *413:64 *5068:A 1.43499e-05
62 *413:64 *419:62 0
63 *413:64 *436:26 0
64 *413:64 *444:33 7.42298e-05
65 *413:64 *445:14 1.35257e-05
66 *413:64 *453:18 2.11104e-05
67 *413:64 *574:20 1.34573e-05
68 *413:80 *4462:B 4.10512e-05
69 *413:80 *453:10 1.55811e-05
70 *413:80 *453:18 0.000315947
71 *413:80 *886:12 0.000334095
72 *413:80 *924:26 0.000122873
73 *413:80 *942:14 0.000243648
74 *4373:A *413:7 6.08467e-05
75 *4373:C *413:7 9.96939e-05
76 *4455:A *413:9 6.50727e-05
77 *4548:A *413:23 0.000146388
78 *4839:D *413:50 0.000160384
79 *223:9 *413:23 0.000453429
80 *234:11 *413:50 3.13154e-05
81 *237:12 *4416:A 0.000190057
82 *237:12 *413:80 0.000351415
83 *268:17 *413:9 0.000102978
84 *275:11 *413:23 3.16547e-06
85 *344:88 *413:80 0.000739486
86 *345:33 *413:7 7.81851e-05
87 *345:33 *413:9 0.000460534
88 *345:33 *413:50 2.49776e-05
89 *348:22 *413:23 0.000215879
90 *374:13 *413:7 9.05137e-05
91 *375:10 *413:50 0.000432937
92 *398:8 *413:50 0.000252876
*RES
1 *4414:X *413:4 9.24915
2 *413:4 *413:7 7.95736
3 *413:7 *413:9 57.293
4 *413:9 *413:23 46.1467
5 *413:23 *4437:A 9.24915
6 *413:4 *413:50 48.0014
7 *413:50 *413:64 10.1893
8 *413:64 *413:80 34.2936
9 *413:80 *4416:A 27.9725
10 *413:80 *4462:A 13.7491
11 *413:64 *4430:A 22.7916
12 *413:50 *4421:A 17.2456
*END
*D_NET *414 0.0110353
*CONN
*I *4417:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4420:C I *D sky130_fd_sc_hd__and3_1
*I *4416:B I *D sky130_fd_sc_hd__nor2_1
*I *4419:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4418:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4415:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4417:A2 0.000142504
2 *4420:C 5.12087e-05
3 *4416:B 0
4 *4419:A2 0.000374576
5 *4418:A2 0.00014048
6 *4415:X 0
7 *414:60 0.0010585
8 *414:27 0.00162913
9 *414:20 0.000571309
10 *414:19 0.000544008
11 *414:4 0.000217941
12 *4417:A2 *4417:A1 7.50872e-05
13 *4417:A2 *4418:B1 0.000177606
14 *4417:A2 *436:55 2.40736e-05
15 *4418:A2 *4415:A 9.22378e-05
16 *4418:A2 *4418:A1 7.72245e-05
17 *4418:A2 *4418:B1 0.000429661
18 *4418:A2 *1045:31 2.41274e-06
19 *4419:A2 *4419:A1 3.70251e-05
20 *4419:A2 *4421:B 0.000290403
21 *4419:A2 *4463:B1 1.03434e-05
22 *4419:A2 *445:14 0
23 *4419:A2 *886:12 3.96884e-05
24 *4419:A2 *1049:11 1.61631e-05
25 *4419:A2 *1049:15 0
26 *4420:C *4420:A 6.89596e-05
27 *414:19 *4418:A1 4.36922e-05
28 *414:19 *4418:B1 5.8334e-05
29 *414:20 *4418:B1 4.90621e-05
30 *414:20 *1048:39 0.000216781
31 *414:27 *4422:B 0.000210067
32 *414:27 *1048:39 2.55314e-05
33 *414:60 *4425:A 2.18442e-05
34 *414:60 *4430:B 1.2693e-05
35 *414:60 *418:37 8.12259e-06
36 *414:60 *420:13 0.000442613
37 *414:60 *450:23 0.000417478
38 *414:60 *610:5 2.82583e-05
39 *414:60 *886:12 0.00118573
40 *4362:A *414:60 6.39301e-06
41 *4416:A *414:60 0.000624975
42 *4824:D *414:60 0.000466032
43 *231:8 *414:20 0.000464108
44 *231:8 *414:27 6.51527e-05
45 *324:14 *4417:A2 4.50903e-05
46 *324:14 *4419:A2 0.000135406
47 *324:14 *414:20 4.2779e-05
48 *324:14 *414:60 2.37089e-05
49 *330:121 *414:60 0.000308359
50 *332:55 *4418:A2 5.35809e-05
51 *356:23 *414:60 8.95507e-06
*RES
1 *4415:X *414:4 9.24915
2 *414:4 *4418:A2 15.7356
3 *414:4 *414:19 6.88721
4 *414:19 *414:20 10.9675
5 *414:20 *414:27 12.8039
6 *414:27 *4419:A2 23.3174
7 *414:27 *414:60 47.0926
8 *414:60 *4416:B 9.24915
9 *414:20 *4420:C 14.569
10 *414:19 *4417:A2 18.5201
*END
*D_NET *415 0.00227889
*CONN
*I *4418:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4417:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4418:B1 0.000557454
2 *4417:Y 0.000557454
3 *4418:B1 *1045:31 7.98171e-06
4 *4418:B1 *1048:39 0.000176045
5 *4417:A2 *4418:B1 0.000177606
6 *4418:A2 *4418:B1 0.000429661
7 *4835:D *4418:B1 0
8 *324:14 *4418:B1 1.78514e-05
9 *369:10 *4418:B1 0.000247443
10 *414:19 *4418:B1 5.8334e-05
11 *414:20 *4418:B1 4.90621e-05
*RES
1 *4417:Y *4418:B1 42.3217
*END
*D_NET *416 0.00224827
*CONN
*I *4421:B I *D sky130_fd_sc_hd__nor3_1
*I *4419:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4421:B 0.00046336
2 *4419:Y 0.00046336
3 *4421:B *4419:A1 0.000232565
4 *4421:B *4421:C 0.00010226
5 *4421:B *4463:B1 1.62993e-06
6 *4421:B *4465:B1 0.000111708
7 *4421:B *4620:A 7.46027e-05
8 *4421:B *5068:A 2.22654e-05
9 *4421:B *442:17 5.04829e-06
10 *4421:B *886:12 6.61114e-05
11 *4421:B *1049:11 0.00010906
12 *4421:B *1050:5 0.000110297
13 *4421:B *1050:38 0.00012612
14 *4419:A2 *4421:B 0.000290403
15 *4821:D *4421:B 5.51483e-06
16 *237:35 *4421:B 2.02035e-05
17 *324:14 *4421:B 1.87125e-05
18 *364:10 *4421:B 2.50526e-05
*RES
1 *4419:Y *4421:B 46.1716
*END
*D_NET *417 0.00332365
*CONN
*I *4421:C I *D sky130_fd_sc_hd__nor3_1
*I *4422:B I *D sky130_fd_sc_hd__and2_1
*I *4424:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4420:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4421:C 0.000226187
2 *4422:B 0.000192842
3 *4424:A2 0
4 *4420:X 0.000213526
5 *417:11 0.00031973
6 *417:7 0.000566601
7 *4421:C *4465:B1 6.08467e-05
8 *4421:C *4662:B 0.000244152
9 *4421:C *442:17 8.62625e-06
10 *4422:B *4424:A1 7.23467e-05
11 *4422:B *420:13 5.51483e-06
12 *4422:B *1051:15 8.41325e-05
13 *417:7 *4419:A1 1.65872e-05
14 *417:7 *4419:B1 4.88955e-05
15 *417:7 *4420:A 0.000209326
16 *417:11 *4424:B1 6.3657e-05
17 *417:11 *4662:B 0.000110696
18 *417:11 *420:13 2.65831e-05
19 *417:11 *1051:13 7.6719e-06
20 *4421:B *4421:C 0.00010226
21 *4821:D *4421:C 0.000294093
22 *237:12 *4421:C 3.2206e-05
23 *237:12 *417:11 0.000110696
24 *237:35 *4421:C 9.64017e-05
25 *414:27 *4422:B 0.000210067
*RES
1 *4420:X *417:7 17.2456
2 *417:7 *417:11 8.57985
3 *417:11 *4424:A2 9.24915
4 *417:11 *4422:B 15.0122
5 *417:7 *4421:C 21.9843
*END
*D_NET *418 0.00619634
*CONN
*I *4428:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4427:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4425:A I *D sky130_fd_sc_hd__nor2_1
*I *4426:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4429:C I *D sky130_fd_sc_hd__and3_1
*I *4422:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4428:A2 0.000130803
2 *4427:A2 1.78357e-05
3 *4425:A 0.000218213
4 *4426:A2 7.82558e-05
5 *4429:C 0.000209573
6 *4422:X 0.000101968
7 *418:49 0.000186693
8 *418:37 0.000459375
9 *418:21 0.000495772
10 *418:8 0.00052595
11 *4425:A *4432:B1 7.22498e-05
12 *4425:A *420:13 0.000618737
13 *4426:A2 *4427:B1 0.000267404
14 *4427:A2 *1052:28 1.65872e-05
15 *4428:A2 *4353:A 0
16 *4428:A2 *1052:17 0.000340742
17 *4428:A2 *1052:28 3.06765e-05
18 *4428:A2 *1053:9 1.65872e-05
19 *4429:C *4429:A 1.37189e-05
20 *4429:C *827:16 0.000128251
21 *4429:C *827:20 0
22 *4429:C *827:114 0
23 *418:8 *827:114 0
24 *418:8 *1048:39 7.50872e-05
25 *418:8 *1051:15 2.57986e-05
26 *418:21 *827:16 0.00015634
27 *418:21 *827:20 0
28 *418:21 *1052:28 9.24241e-05
29 *418:37 *450:23 0.000107019
30 *418:49 *420:13 5.45462e-05
31 *4362:A *418:37 0
32 *4822:D *418:21 0.000315221
33 *4822:D *418:37 1.91391e-05
34 *4824:D *4425:A 0.000366898
35 *4824:D *418:49 5.74949e-05
36 *231:8 *4425:A 1.92172e-05
37 *231:8 *418:8 4.41125e-05
38 *231:8 *418:21 3.3417e-05
39 *324:14 *4425:A 7.77309e-06
40 *330:121 *4425:A 0.000104509
41 *355:15 *4426:A2 0.000267404
42 *356:23 *418:37 6.00289e-05
43 *393:15 *4427:A2 6.08467e-05
44 *393:15 *4428:A2 0.000369708
45 *414:60 *4425:A 2.18442e-05
46 *414:60 *418:37 8.12259e-06
*RES
1 *4422:X *418:8 16.5122
2 *418:8 *4429:C 18.7337
3 *418:8 *418:21 7.4379
4 *418:21 *4426:A2 17.4238
5 *418:21 *418:37 7.54852
6 *418:37 *4425:A 25.0959
7 *418:37 *418:49 5.70912
8 *418:49 *4427:A2 9.97254
9 *418:49 *4428:A2 15.0122
*END
*D_NET *419 0.0289482
*CONN
*I *4445:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4424:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4432:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4818:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4448:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4423:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4445:B1 0.000255743
2 *4424:B1 0.000102712
3 *4432:B1 0.00138731
4 *4818:A1 0.00114993
5 *4448:B1 0
6 *4423:X 0.000211839
7 *419:62 0.00285998
8 *419:59 0.00256228
9 *419:33 0.00199938
10 *419:10 0.00381708
11 *419:7 0.00181619
12 *4424:B1 *4662:B 3.63738e-05
13 *4424:B1 *924:26 5.60804e-05
14 *4424:B1 *1051:13 2.16355e-05
15 *4432:B1 *4462:B 0.000247443
16 *4432:B1 *4662:B 0.000448675
17 *4432:B1 *420:13 2.42273e-05
18 *4445:B1 *4481:A2 6.09719e-05
19 *4445:B1 *433:7 2.16355e-05
20 *4445:B1 *580:100 6.03237e-05
21 *4445:B1 *840:15 0
22 *4818:A1 *4634:A1 9.66809e-05
23 *4818:A1 *4634:A2 9.18679e-06
24 *4818:A1 *4635:A1 1.5094e-05
25 *4818:A1 *4652:A 6.62263e-05
26 *4818:A1 *4818:B1 3.11717e-05
27 *4818:A1 *571:36 0.000123764
28 *4818:A1 *571:57 4.28184e-05
29 *4818:A1 *573:24 4.08451e-05
30 *4818:A1 *592:64 0.000209199
31 *4818:A1 *597:16 0.000174831
32 *4818:A1 *598:20 2.74235e-05
33 *4818:A1 *601:32 4.58897e-06
34 *4818:A1 *607:15 8.8949e-05
35 *419:7 *433:7 0.000300565
36 *419:10 *4460:B1 3.22124e-05
37 *419:10 *454:23 0.000110844
38 *419:10 *592:64 0.000102032
39 *419:10 *1000:83 0
40 *419:10 *1001:40 0.000109317
41 *419:10 *1001:56 6.01588e-05
42 *419:33 *4448:A1 3.94399e-05
43 *419:33 *4583:A 9.32704e-05
44 *419:33 *4586:A1 0.000215473
45 *419:33 *4586:A2 0.00025392
46 *419:33 *4586:B1 4.34641e-05
47 *419:33 *490:33 0.000326719
48 *419:33 *526:31 7.92757e-06
49 *419:33 *583:52 0.0014389
50 *419:33 *616:49 0.000117466
51 *419:33 *1008:44 0.000184777
52 *419:33 *1013:29 0.000917595
53 *419:33 *1014:37 0.00102078
54 *419:59 *4460:B1 1.5714e-05
55 *419:59 *4481:A2 8.71307e-05
56 *419:59 *454:23 3.88655e-06
57 *419:59 *454:70 6.88205e-06
58 *419:59 *576:61 1.9448e-05
59 *419:59 *840:15 0
60 *419:62 *444:33 0
61 *419:62 *580:100 0.000817975
62 *419:62 *1050:38 0.000167672
63 *4362:A *4424:B1 3.57186e-06
64 *4425:A *4432:B1 7.22498e-05
65 *4450:A *419:10 0.000566434
66 *4450:A *419:59 3.72256e-05
67 *4573:A *419:33 3.29488e-05
68 *4865:D *419:10 0.000136768
69 *231:8 *4432:B1 8.88984e-06
70 *233:13 *4424:B1 1.47978e-05
71 *234:11 *4432:B1 0.000348195
72 *237:12 *4424:B1 4.0919e-05
73 *330:121 *4432:B1 0.000337952
74 *330:121 *419:62 0.000953761
75 *334:91 *4445:B1 8.26073e-05
76 *335:29 *4818:A1 0.000107792
77 *338:77 *4818:A1 0.00017629
78 *338:81 *4818:A1 0.000760396
79 *338:81 *419:10 0.000271169
80 *338:96 *4445:B1 6.08467e-05
81 *346:102 *4445:B1 8.26073e-05
82 *346:104 *4432:B1 4.23937e-05
83 *356:23 *4432:B1 0.00013299
84 *379:54 *419:33 1.5714e-05
85 *412:65 *419:10 3.38973e-05
86 *413:64 *4432:B1 0
87 *413:64 *419:62 0
88 *417:11 *4424:B1 6.3657e-05
*RES
1 *4423:X *419:7 17.2456
2 *419:7 *419:10 20.6283
3 *419:10 *419:33 46.9449
4 *419:33 *4448:B1 9.24915
5 *419:10 *4818:A1 45.8685
6 *419:7 *419:59 5.44182
7 *419:59 *419:62 5.45644
8 *419:62 *4432:B1 37.4153
9 *419:62 *4424:B1 19.6787
10 *419:59 *4445:B1 20.0128
*END
*D_NET *420 0.0036672
*CONN
*I *4425:B I *D sky130_fd_sc_hd__nor2_1
*I *4424:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4425:B 0
2 *4424:Y 0.000523009
3 *420:13 0.000523009
4 *420:13 *4424:A1 0.000107496
5 *420:13 *4462:B 0
6 *420:13 *1051:13 0.00020502
7 *4422:B *420:13 5.51483e-06
8 *4425:A *420:13 0.000618737
9 *4432:B1 *420:13 2.42273e-05
10 *4824:D *420:13 8.45896e-06
11 *231:8 *420:13 0.000111708
12 *324:14 *420:13 0.00101628
13 *414:60 *420:13 0.000442613
14 *417:11 *420:13 2.65831e-05
15 *418:49 *420:13 5.45462e-05
*RES
1 *4424:Y *420:13 42.4596
2 *420:13 *4425:B 9.24915
*END
*D_NET *421 0.00111584
*CONN
*I *4427:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4426:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4427:B1 0.000158649
2 *4426:Y 0.000158649
3 *4427:B1 *4426:A1 0.000118451
4 *4427:B1 *1052:28 0.000192806
5 *4426:A2 *4427:B1 0.000267404
6 *355:15 *4427:B1 5.9519e-05
7 *393:15 *4427:B1 0.000160358
*RES
1 *4426:Y *4427:B1 25.9252
*END
*D_NET *422 0.000650916
*CONN
*I *4430:B I *D sky130_fd_sc_hd__nor3_1
*I *4428:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4430:B 0.00016024
2 *4428:Y 0.00016024
3 *4430:B *886:12 9.48222e-05
4 *4824:D *4430:B 6.87762e-05
5 *393:15 *4430:B 0.000154145
6 *414:60 *4430:B 1.2693e-05
*RES
1 *4428:Y *4430:B 31.1629
*END
*D_NET *423 0.00426845
*CONN
*I *4430:C I *D sky130_fd_sc_hd__nor3_1
*I *4432:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4431:B I *D sky130_fd_sc_hd__and2_1
*I *4429:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4430:C 0.000145124
2 *4432:A2 0.000226864
3 *4431:B 0.000104598
4 *4429:X 0
5 *423:8 0.000657927
6 *423:4 0.00047159
7 *4430:C *4429:A 0.000258067
8 *4431:B *4431:A 4.80635e-06
9 *4431:B *424:5 5.56461e-05
10 *4431:B *1054:18 0.000311221
11 *4432:A2 *4432:A1 0.000254755
12 *4432:A2 *867:13 0.0004664
13 *4432:A2 *1054:18 9.60216e-05
14 *423:8 *4426:A1 0.000526627
15 *423:8 *4429:A 0.00021217
16 *423:8 *867:13 4.90476e-05
17 *215:9 *4432:A2 2.6777e-05
18 *215:9 *423:8 1.98183e-05
19 *344:88 *4432:A2 1.3807e-05
20 *344:88 *423:8 0.000149027
21 *356:23 *4431:B 3.79253e-05
22 *374:13 *423:8 5.20546e-06
23 *394:43 *423:8 0.000175022
*RES
1 *4429:X *423:4 9.24915
2 *423:4 *423:8 16.1993
3 *423:8 *4431:B 18.403
4 *423:8 *4432:A2 23.2661
5 *423:4 *4430:C 13.3484
*END
*D_NET *424 0.00316688
*CONN
*I *4434:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4433:A I *D sky130_fd_sc_hd__nor2_1
*I *4435:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4431:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4434:A2 1.98947e-05
2 *4433:A 0.000146677
3 *4435:A2 7.01394e-05
4 *4431:X 4.74711e-05
5 *424:16 0.000439884
6 *424:5 0.000390922
7 *4433:A *4433:B 6.04524e-05
8 *4434:A2 *610:5 2.16355e-05
9 *4435:A2 *4352:A 3.024e-05
10 *424:5 *4352:A 4.02438e-05
11 *424:5 *1054:18 2.82583e-05
12 *4369:B1 *4435:A2 0.000169312
13 *4369:B1 *424:5 6.50727e-05
14 *4431:B *424:5 5.56461e-05
15 *4434:B1 *4434:A2 6.08467e-05
16 *4434:B1 *424:16 0
17 *4825:D *4433:A 5.04734e-05
18 *4825:D *424:16 0.000159214
19 *336:129 *4433:A 0.000200221
20 *336:129 *424:16 0.000616142
21 *342:34 *4433:A 0.000175485
22 *356:23 *424:5 0.000318644
*RES
1 *4431:X *424:5 13.3002
2 *424:5 *4435:A2 11.6605
3 *424:5 *424:16 15.4675
4 *424:16 *4433:A 19.6535
5 *424:16 *4434:A2 14.4725
*END
*D_NET *425 0.00128383
*CONN
*I *4433:B I *D sky130_fd_sc_hd__nor2_1
*I *4432:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4433:B 0.000498242
2 *4432:Y 0.000498242
3 *4433:B *450:23 0
4 *4433:B *454:70 0
5 *4433:B *867:13 7.14746e-05
6 *4433:A *4433:B 6.04524e-05
7 *4434:B1 *4433:B 0
8 *324:14 *4433:B 0
9 *342:34 *4433:B 0.00015542
*RES
1 *4432:Y *4433:B 40.6097
*END
*D_NET *426 0.00100941
*CONN
*I *4435:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4434:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4435:B1 0.000327636
2 *4434:Y 0.000327636
3 *4435:B1 *4434:A1 5.80086e-05
4 *4435:B1 *610:5 6.92705e-05
5 *4435:B1 *1055:15 8.84036e-05
6 *4435:B1 *1055:24 8.92568e-06
7 *4826:D *4435:B1 0.000129528
8 *363:12 *4435:B1 0
*RES
1 *4434:Y *4435:B1 37.5282
*END
*D_NET *427 0.011647
*CONN
*I *4442:C I *D sky130_fd_sc_hd__and3_1
*I *4439:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4441:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4440:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4437:B I *D sky130_fd_sc_hd__nor2_1
*I *4436:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4442:C 4.43689e-05
2 *4439:A2 0.000145515
3 *4441:A2 0.000486936
4 *4440:A2 2.61253e-05
5 *4437:B 9.69806e-05
6 *4436:X 0
7 *427:49 0.000324322
8 *427:24 0.00242521
9 *427:7 0.00255213
10 *427:4 0.000819147
11 *4437:B *640:13 0.000391247
12 *4439:A2 *4570:C1 0.000171081
13 *4439:A2 *4831:CLK 0
14 *4439:A2 *437:21 8.90266e-05
15 *4441:A2 *1007:45 3.29488e-05
16 *4441:A2 *1039:8 3.05511e-05
17 *4441:A2 *1041:12 4.34718e-05
18 *4441:A2 *1041:25 8.50937e-05
19 *4442:C *431:7 3.52071e-05
20 *427:7 *4385:B1 1.41853e-05
21 *427:7 *4436:A 1.92172e-05
22 *427:7 *4443:C 7.02172e-06
23 *427:24 *4440:B1 6.92705e-05
24 *427:24 *4449:A 0.0004987
25 *427:24 *4449:B 0.000136602
26 *427:24 *4458:A 3.0724e-05
27 *427:24 *4706:A 0.000206098
28 *427:24 *4706:B 4.03114e-05
29 *427:24 *4722:D 6.08467e-05
30 *427:24 *630:30 2.93209e-05
31 *427:24 *826:64 0.000320308
32 *427:24 *983:11 0.000122011
33 *427:24 *983:31 0.000118495
34 *427:49 *4385:B1 0.000211492
35 *427:49 *4443:C 6.41884e-05
36 *427:49 *431:7 6.23875e-05
37 *427:49 *1041:12 0.000466345
38 *4440:A1 *4441:A2 4.99484e-05
39 *4440:A1 *427:7 0.000321919
40 *4441:A1 *4441:A2 4.07255e-05
41 *223:9 *4437:B 2.61012e-05
42 *227:19 *427:7 4.30017e-06
43 *227:19 *427:24 8.53297e-05
44 *249:25 *427:24 0.000554744
45 *348:22 *427:24 5.24732e-06
46 *377:37 *4441:A2 0.000122149
47 *383:8 *4441:A2 5.485e-05
48 *413:23 *4437:B 0.000104809
*RES
1 *4436:X *427:4 9.24915
2 *427:4 *427:7 5.73894
3 *427:7 *427:24 47.011
4 *427:24 *4437:B 18.3789
5 *427:7 *4440:A2 9.82786
6 *427:4 *4441:A2 28.8014
7 *427:4 *427:49 6.82404
8 *427:49 *4439:A2 22.1574
9 *427:49 *4442:C 10.7924
*END
*D_NET *428 0.0395281
*CONN
*I *4558:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4439:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4463:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4459:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4480:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4438:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4558:B1 0.00160259
2 *4439:B1 2.78892e-05
3 *4463:B1 0.00111565
4 *4459:B1 0.00178035
5 *4480:B1 0.000789898
6 *4438:X 0
7 *428:91 0.0026387
8 *428:68 0.00286734
9 *428:53 0.00288346
10 *428:14 0.0035567
11 *428:5 0.00110999
12 *4459:B1 *4459:A2 1.07248e-05
13 *4459:B1 *4666:A1 0.00129856
14 *4459:B1 *4669:C1 0
15 *4459:B1 *5049:A 0.00134663
16 *4459:B1 *5049:TE_B 3.04233e-05
17 *4459:B1 *5079:TE_B 0.000253916
18 *4459:B1 *443:25 0.000101336
19 *4459:B1 *573:49 3.78886e-05
20 *4459:B1 *597:16 1.9677e-05
21 *4459:B1 *614:29 0.000170775
22 *4459:B1 *1037:36 8.61789e-05
23 *4463:B1 *4462:B 5.65463e-05
24 *4463:B1 *4463:A2 0.000164829
25 *4463:B1 *4469:A1 0.000164829
26 *4463:B1 *4469:A2 0.000209459
27 *4463:B1 *4620:A 0
28 *4463:B1 *5068:A 0.00015822
29 *4463:B1 *441:43 0
30 *4463:B1 *444:38 2.09495e-05
31 *4463:B1 *445:14 5.24081e-05
32 *4463:B1 *449:14 1.5714e-05
33 *4463:B1 *451:10 2.35832e-05
34 *4463:B1 *827:114 4.80339e-05
35 *4463:B1 *924:26 7.48998e-06
36 *4463:B1 *1048:39 1.93122e-05
37 *4463:B1 *1050:38 6.48016e-05
38 *4480:B1 *4601:B1 9.79259e-05
39 *4480:B1 *4604:A2 0.000122763
40 *4480:B1 *4605:A1 0.000119181
41 *4480:B1 *5049:A 0.000535134
42 *4480:B1 *5079:TE_B 0.000559672
43 *4480:B1 *456:14 4.99006e-05
44 *4480:B1 *526:8 4.01522e-05
45 *4480:B1 *584:14 5.26503e-05
46 *4480:B1 *588:7 2.16355e-05
47 *4480:B1 *1002:41 6.62461e-05
48 *4558:B1 *4458:A 2.26334e-05
49 *4558:B1 *4628:A 2.16355e-05
50 *4558:B1 *4711:A 0.000400335
51 *4558:B1 *437:21 6.01588e-05
52 *4558:B1 *520:36 2.04839e-05
53 *4558:B1 *580:60 2.30978e-05
54 *4558:B1 *646:16 0.000821891
55 *4558:B1 *818:33 0.000177048
56 *4558:B1 *840:15 0.0027522
57 *4558:B1 *1001:86 7.81584e-05
58 *4558:B1 *1009:44 0.000324248
59 *4558:B1 *1010:16 8.10016e-06
60 *428:14 *4604:B1 0
61 *428:14 *4607:A 0.000286943
62 *428:14 *4633:C1 5.79121e-05
63 *428:14 *5079:A 3.98561e-05
64 *428:14 *831:50 0.000287766
65 *428:14 *1007:45 7.8801e-05
66 *428:53 *4604:B1 0
67 *428:68 *4360:A1 0.000158357
68 *428:68 *4363:A2 0.000192472
69 *428:68 *437:39 0
70 *428:68 *439:36 0.000144546
71 *428:68 *441:43 0.00013348
72 *428:68 *1019:8 3.01656e-05
73 *428:68 *1049:15 0
74 *428:91 *576:61 0.000748684
75 *428:91 *1007:45 0.000272316
76 *4360:B2 *428:68 0.00015498
77 *4363:A1 *428:68 0.000223345
78 *4367:A2 *428:68 3.06832e-05
79 *4386:A1 *4439:B1 1.9101e-05
80 *4394:B2 *428:14 0.000110477
81 *4419:A2 *4463:B1 1.03434e-05
82 *4421:B *4463:B1 1.62993e-06
83 *4443:A *4558:B1 0.000317014
84 *4443:A *428:91 0.000150802
85 *4450:A *428:53 2.87136e-06
86 *4450:A *428:91 4.07181e-05
87 *4463:A1 *4463:B1 0.000107496
88 *4831:D *4439:B1 0.000116719
89 *4834:D *428:68 0.000139429
90 *214:27 *4463:B1 0.000520854
91 *214:27 *428:68 4.47816e-05
92 *225:14 *4558:B1 6.93165e-05
93 *229:20 *4558:B1 0.000784921
94 *229:20 *428:91 0.000637137
95 *284:17 *4558:B1 0.000170139
96 *324:14 *4459:B1 9.61123e-05
97 *335:29 *4459:B1 0.000226348
98 *336:129 *428:68 0
99 *348:22 *4558:B1 2.52195e-05
100 *351:23 *4480:B1 1.70204e-05
101 *351:62 *428:53 5.8404e-05
102 *351:62 *428:68 0.000117223
103 *367:20 *428:68 8.93503e-05
104 *376:31 *428:14 8.24006e-05
105 *383:28 *4439:B1 0.000122378
106 *384:17 *4558:B1 0.00233415
107 *393:22 *428:68 0.000151382
108 *393:43 *428:53 2.57277e-05
109 *393:43 *428:68 6.67366e-05
110 *400:54 *4480:B1 0.000535134
111 *400:54 *428:14 0.000129288
112 *401:17 *4558:B1 0.000250244
113 *402:14 *4558:B1 6.84784e-06
*RES
1 *4438:X *428:5 13.7491
2 *428:5 *428:14 28.7312
3 *428:14 *4480:B1 40.9496
4 *428:14 *4459:B1 48.4432
5 *428:5 *428:53 2.87013
6 *428:53 *428:68 48.2084
7 *428:68 *4463:B1 37.6381
8 *428:53 *428:91 7.01371
9 *428:91 *4439:B1 18.7745
10 *428:91 *4558:B1 34.5913
*END
*D_NET *429 0.00232682
*CONN
*I *4440:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4439:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4440:B1 0.000753917
2 *4439:Y 0.000753917
3 *4440:B1 *4386:A2 0.000113968
4 *4440:B1 *432:41 0.000122925
5 *4440:B1 *433:25 0.000164829
6 *4386:B2 *4440:B1 8.14875e-05
7 *4831:D *4440:B1 7.60356e-05
8 *227:19 *4440:B1 3.14978e-05
9 *334:32 *4440:B1 0
10 *383:28 *4440:B1 0.000158974
11 *427:24 *4440:B1 6.92705e-05
*RES
1 *4439:Y *4440:B1 41.7759
*END
*D_NET *430 0.00218784
*CONN
*I *4443:B I *D sky130_fd_sc_hd__nor3_1
*I *4441:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4443:B 0.000401158
2 *4441:Y 0.000401158
3 *4443:B *4448:A1 6.74182e-05
4 *4443:B *432:41 7.71577e-05
5 *4443:B *523:77 8.07867e-05
6 *4443:B *534:33 7.72394e-06
7 *4443:B *565:49 3.83131e-05
8 *4443:B *575:5 0.000400891
9 *4443:B *902:25 0.000122238
10 *4443:B *1000:45 0.000390876
11 *4441:A1 *4443:B 3.83492e-06
12 *377:29 *4443:B 0.00019628
*RES
1 *4441:Y *4443:B 40.1969
*END
*D_NET *431 0.014075
*CONN
*I *4445:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4443:C I *D sky130_fd_sc_hd__nor3_1
*I *4447:C I *D sky130_fd_sc_hd__and3_1
*I *4444:B I *D sky130_fd_sc_hd__and2_1
*I *4442:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4445:A2 0.000123163
2 *4443:C 0.00151571
3 *4447:C 0.000141857
4 *4444:B 0
5 *4442:X 0
6 *431:62 0.00160326
7 *431:54 0.00186824
8 *431:17 0.00049918
9 *431:7 0.00199582
10 *431:4 0.000510937
11 *4443:C *4385:B1 9.5562e-05
12 *4443:C *4436:A 3.82228e-05
13 *4443:C *432:41 1.98043e-05
14 *4443:C *523:77 5.22149e-05
15 *4443:C *560:48 1.01656e-05
16 *4443:C *1008:17 9.82762e-06
17 *4445:A2 *4445:A1 0.000300565
18 *4445:A2 *433:7 4.47134e-05
19 *4447:C *4444:A 0.000269504
20 *4447:C *4503:A 0.000105428
21 *4447:C *1042:9 5.36219e-06
22 *4447:C *1042:13 0.000259093
23 *431:17 *4444:A 0.000117376
24 *431:17 *4503:A 0.000153592
25 *431:17 *4570:C1 0.000362318
26 *431:17 *432:12 7.65791e-05
27 *431:17 *479:11 6.50586e-05
28 *431:17 *1009:27 0
29 *431:54 *4447:A 0.000150247
30 *431:62 *4447:A 0.000324166
31 *431:62 *4451:B 6.50586e-05
32 *431:62 *4464:B1 0.000112553
33 *431:62 *4834:CLK 3.7045e-05
34 *431:62 *437:21 0.000139033
35 *431:62 *437:39 6.15463e-05
36 *431:62 *454:23 5.35886e-05
37 *431:62 *1043:5 0.000159322
38 *431:62 *1046:7 0.000258142
39 *4385:C1 *4443:C 8.74956e-05
40 *4407:B2 *431:62 0.000130532
41 *4440:A1 *4443:C 5.8256e-05
42 *4442:B *431:7 0.000158357
43 *4442:C *431:7 3.52071e-05
44 *4443:A *4443:C 2.12187e-05
45 *4828:D *4443:C 2.2151e-05
46 *4831:D *4443:C 0.000147605
47 *4833:D *431:54 7.51628e-06
48 *4834:D *431:62 0.000103827
49 *4854:D *4443:C 0.000153208
50 *227:19 *4443:C 6.50586e-05
51 *324:14 *431:62 0.000134145
52 *334:32 *4443:C 0.000406674
53 *338:96 *4445:A2 0.000544575
54 *350:32 *431:54 0.000153788
55 *404:21 *4445:A2 0.000107496
56 *427:7 *4443:C 7.02172e-06
57 *427:49 *4443:C 6.41884e-05
58 *427:49 *431:7 6.23875e-05
*RES
1 *4442:X *431:4 9.24915
2 *431:4 *431:7 4.07513
3 *431:7 *431:17 20.4742
4 *431:17 *4444:B 9.24915
5 *431:17 *4447:C 15.7838
6 *431:7 *4443:C 48.0739
7 *431:4 *431:54 16.1288
8 *431:54 *431:62 46.2408
9 *431:62 *4445:A2 15.5186
*END
*D_NET *432 0.0164357
*CONN
*I *4448:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4446:A I *D sky130_fd_sc_hd__nor2_1
*I *4444:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4448:A2 0
2 *4446:A 0.00115739
3 *4444:X 0.00105849
4 *432:41 0.00156398
5 *432:12 0.00377986
6 *4446:A *4449:B 0.00255236
7 *4446:A *4883:CLK 5.36085e-05
8 *4446:A *436:69 1.84675e-05
9 *4446:A *437:21 0.00350456
10 *4446:A *438:19 2.42023e-05
11 *4446:A *534:33 0.000204083
12 *4446:A *1009:27 0.000115893
13 *4446:A *1009:44 9.12416e-06
14 *432:12 *4444:A 9.87648e-05
15 *432:12 *479:11 0.000145406
16 *432:12 *1028:16 4.79538e-05
17 *432:12 *1039:8 3.44112e-05
18 *432:41 *4382:A 0.000126324
19 *432:41 *4448:A1 0.000221129
20 *432:41 *433:25 3.08014e-05
21 *432:41 *523:77 0.000344191
22 *432:41 *537:35 0.000115313
23 *432:41 *584:56 2.81881e-05
24 *432:41 *612:40 0.000196646
25 *432:41 *1008:44 5.90839e-05
26 *432:41 *1011:34 1.92172e-05
27 *4440:B1 *432:41 0.000122925
28 *4441:A1 *432:41 0
29 *4443:A *432:41 0.000210959
30 *4443:B *432:41 7.71577e-05
31 *4443:C *432:41 1.98043e-05
32 *4831:D *432:41 3.00152e-05
33 *4857:D *432:41 0.000158371
34 *225:14 *432:12 0
35 *225:14 *432:41 0
36 *334:32 *432:12 0
37 *348:22 *4446:A 0.000230404
38 *431:17 *432:12 7.65791e-05
*RES
1 *4444:X *432:12 32.1304
2 *432:12 *4446:A 30.6078
3 *432:12 *432:41 48.1425
4 *432:41 *4448:A2 9.24915
*END
*D_NET *433 0.0179512
*CONN
*I *4446:B I *D sky130_fd_sc_hd__nor2_1
*I *4445:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4446:B 0
2 *4445:Y 0.000602668
3 *433:45 0.00160198
4 *433:25 0.00378958
5 *433:7 0.00279026
6 *433:7 *4445:A1 0.000300565
7 *433:7 *576:47 0.00132326
8 *433:7 *619:15 0.00011818
9 *433:7 *620:45 0.000240879
10 *433:25 *4382:A 0.000104166
11 *433:25 *4388:A2 4.58003e-05
12 *433:25 *4389:A2 0.000364342
13 *433:25 *4390:A2 5.94977e-06
14 *433:25 *4390:B1 0.000210197
15 *433:25 *620:38 0.000103537
16 *433:25 *620:45 0.000138613
17 *433:25 *1009:27 0.000117292
18 *433:45 *4705:A 6.88782e-05
19 *433:45 *4710:A 0.000202555
20 *433:45 *4721:A 0.00011818
21 *433:45 *438:19 2.57365e-05
22 *433:45 *826:48 0.000211478
23 *433:45 *985:26 0.000319865
24 *433:45 *1009:44 0.000205006
25 *4380:A *433:25 0.000320436
26 *4385:C1 *433:45 1.80227e-05
27 *4386:B2 *433:25 0.000213739
28 *4386:B2 *433:45 0.000103006
29 *4386:C1 *433:25 0.000128639
30 *4388:A1 *433:25 2.41483e-05
31 *4388:B1 *433:25 0.000420251
32 *4388:B2 *433:25 3.89073e-05
33 *4423:A *433:7 0.000160617
34 *4438:A *433:25 6.50727e-05
35 *4440:B1 *433:25 0.000164829
36 *4445:A2 *433:7 4.47134e-05
37 *4445:B1 *433:7 2.16355e-05
38 *4464:A1 *433:7 0.000317788
39 *4828:D *433:45 0.000116168
40 *4871:D *433:7 4.73518e-05
41 *4890:D *433:45 0.000464113
42 *225:14 *433:25 2.60659e-05
43 *281:11 *433:45 0.000703831
44 *338:96 *433:7 1.04271e-05
45 *383:7 *433:25 3.07848e-05
46 *384:17 *433:45 0.000388585
47 *385:23 *433:45 0.000641736
48 *404:21 *433:7 0.000139947
49 *419:7 *433:7 0.000300565
50 *432:41 *433:25 3.08014e-05
*RES
1 *4445:Y *433:7 33.8446
2 *433:7 *433:25 49.7144
3 *433:25 *433:45 40.896
4 *433:45 *4446:B 9.24915
*END
*D_NET *434 0.016913
*CONN
*I *4451:B I *D sky130_fd_sc_hd__and2_1
*I *4453:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4454:C I *D sky130_fd_sc_hd__and3_1
*I *4449:A I *D sky130_fd_sc_hd__nor2_1
*I *4447:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4451:B 0.000250892
2 *4453:A2 0
3 *4454:C 7.40045e-05
4 *4449:A 0.000540286
5 *4447:X 0
6 *434:48 0.000308193
7 *434:33 0.000399979
8 *434:17 0.00285466
9 *434:4 0.00258305
10 *4449:A *4449:B 0.000118166
11 *4449:A *4676:A 7.94462e-05
12 *4449:A *621:39 0.00030536
13 *4449:A *630:30 6.11311e-05
14 *4451:B *4454:B 8.37812e-05
15 *4451:B *437:21 1.43848e-05
16 *4451:B *490:30 8.47284e-05
17 *4451:B *1044:10 5.50728e-05
18 *4451:B *1044:19 3.5534e-06
19 *4451:B *1044:37 0.000236506
20 *4451:B *1045:53 0
21 *4454:C *4454:A 0.00013806
22 *434:17 *4447:A 1.24564e-05
23 *434:17 *4482:A 0.000288249
24 *434:17 *4503:A 0
25 *434:17 *4676:A 8.92437e-05
26 *434:17 *4678:A 8.52005e-05
27 *434:17 *4698:A 8.11083e-05
28 *434:17 *457:14 0.00134286
29 *434:17 *565:29 0.000170762
30 *434:17 *630:30 0.000118166
31 *434:17 *826:13 0.00067874
32 *434:17 *1042:9 6.08467e-05
33 *434:33 *1042:9 0.000224395
34 *434:48 *4454:B 7.86847e-05
35 *434:48 *490:30 2.692e-05
36 *434:48 *1045:53 0
37 *4548:A *4449:A 0.000183319
38 *4700:C1 *434:17 0.00291858
39 *4883:D *4449:A 0.000316287
40 *84:17 *434:17 0.000315966
41 *214:30 *434:17 0.000301801
42 *249:25 *4449:A 2.08219e-05
43 *268:17 *434:17 9.54357e-06
44 *331:91 *434:17 0
45 *349:13 *4454:C 9.72235e-05
46 *349:13 *434:33 4.92912e-05
47 *349:31 *434:33 2.16355e-05
48 *413:9 *434:17 0.000665887
49 *427:24 *4449:A 0.0004987
50 *431:62 *4451:B 6.50586e-05
*RES
1 *4447:X *434:4 9.24915
2 *434:4 *434:17 48.8955
3 *434:17 *4449:A 34.8513
4 *434:4 *434:33 7.37864
5 *434:33 *4454:C 12.8902
6 *434:33 *434:48 6.332
7 *434:48 *4453:A2 13.7491
8 *434:48 *4451:B 22.5333
*END
*D_NET *435 0.0129179
*CONN
*I *4449:B I *D sky130_fd_sc_hd__nor2_1
*I *4448:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4449:B 0.00126723
2 *4448:Y 0.00115736
3 *435:15 0.00242459
4 *4449:B *4385:A2 1.30821e-05
5 *4449:B *4723:C 2.14842e-06
6 *4449:B *4724:B 6.50727e-05
7 *4449:B *4853:CLK 2.41803e-05
8 *4449:B *437:21 3.84411e-05
9 *4449:B *534:33 0.00266493
10 *4449:B *609:31 0
11 *4449:B *620:38 0.000170917
12 *4449:B *826:48 1.02986e-05
13 *4449:B *1007:62 0.000218638
14 *435:15 *4379:A 0.000303799
15 *435:15 *4448:A1 2.41483e-05
16 *435:15 *520:65 0
17 *435:15 *531:21 7.09666e-06
18 *435:15 *537:35 1.27831e-05
19 *435:15 *560:48 0.000412701
20 *435:15 *584:56 4.16052e-05
21 *435:15 *612:40 8.26234e-06
22 *435:15 *840:15 0.0001495
23 *435:15 *1010:16 4.24095e-05
24 *435:15 *1010:36 2.10081e-05
25 *435:15 *1011:8 4.63742e-05
26 *4446:A *4449:B 0.00255236
27 *4449:A *4449:B 0.000118166
28 *4725:B2 *4449:B 0.000216897
29 *4853:D *4449:B 6.74182e-05
30 *4883:D *4449:B 3.58208e-05
31 *4890:D *4449:B 0.000128153
32 *227:19 *4449:B 5.66868e-06
33 *334:32 *435:15 0.000239574
34 *348:22 *4449:B 7.48886e-05
35 *400:40 *435:15 0.000215785
36 *427:24 *4449:B 0.000136602
*RES
1 *4448:Y *435:15 44.8528
2 *435:15 *4449:B 49.6995
*END
*D_NET *436 0.0362152
*CONN
*I *4493:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4621:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4452:A I *D sky130_fd_sc_hd__nor2_1
*I *4472:A I *D sky130_fd_sc_hd__nor2_1
*I *4475:A I *D sky130_fd_sc_hd__nor2_1
*I *4450:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4493:B1 0.00012401
2 *4621:B1 0.000496007
3 *4452:A 6.67041e-06
4 *4472:A 0.000377857
5 *4475:A 0.000247998
6 *4450:X 0.000629676
7 *436:69 0.00163003
8 *436:59 0.00367163
9 *436:55 0.00542975
10 *436:26 0.00218145
11 *436:8 0.00494674
12 *4452:A *4715:A 6.92705e-05
13 *4472:A *4662:A 0.000226186
14 *4472:A *5056:A 7.89747e-05
15 *4472:A *450:23 0
16 *4472:A *454:70 2.65667e-05
17 *4475:A *5038:A 9.75356e-05
18 *4475:A *5048:A 7.14746e-05
19 *4475:A *452:33 6.08467e-05
20 *4475:A *760:8 0
21 *4493:B1 *457:80 2.1203e-06
22 *4493:B1 *490:30 0.000141909
23 *4493:B1 *561:26 1.43848e-05
24 *4621:B1 *4375:A 6.14756e-06
25 *4621:B1 *4402:A 0.000238947
26 *4621:B1 *5141:A 6.93349e-06
27 *4621:B1 *5142:A 3.58846e-05
28 *4621:B1 *535:41 0.000107496
29 *4621:B1 *620:13 2.80425e-05
30 *436:8 *4669:B1 6.08467e-05
31 *436:8 *4842:CLK 0.000103442
32 *436:8 *574:20 0.000627656
33 *436:8 *574:29 1.1095e-05
34 *436:8 *776:8 0.000656489
35 *436:8 *1006:20 0
36 *436:26 *4620:A 3.52699e-05
37 *436:26 *4662:A 0.000205332
38 *436:26 *5038:A 0.000436809
39 *436:26 *5068:A 3.20325e-05
40 *436:26 *5080:TE_B 0.000317672
41 *436:26 *574:20 0.000554594
42 *436:26 *760:8 0
43 *436:26 *1056:10 0.000498621
44 *436:55 *4363:A2 1.37029e-05
45 *436:55 *4511:A 8.5044e-05
46 *436:55 *4511:B 2.77625e-06
47 *436:55 *4819:CLK 8.4465e-05
48 *436:55 *4844:CLK 4.801e-05
49 *436:55 *5074:A 1.98996e-05
50 *436:55 *752:11 1.41976e-05
51 *436:55 *828:11 0.000262147
52 *436:55 *828:16 2.44829e-05
53 *436:55 *1034:8 6.50727e-05
54 *436:59 *4496:D 0.000576786
55 *436:59 *4505:A2 8.07194e-05
56 *436:59 *4700:A2 0.000307881
57 *436:59 *4844:CLK 0.000139403
58 *436:59 *467:17 0.000431635
59 *436:59 *990:17 0.00041971
60 *436:59 *990:29 0.000423936
61 *436:69 *4458:A 0.00173821
62 *436:69 *4715:A 0.000102003
63 *436:69 *4883:CLK 3.33374e-05
64 *436:69 *5141:A 0.000661118
65 *436:69 *5147:A 0.000256967
66 *436:69 *438:19 0.000159915
67 *436:69 *458:14 7.50722e-05
68 *436:69 *623:24 2.63544e-05
69 *4410:A1 *436:8 0.000559306
70 *4417:A2 *436:55 2.40736e-05
71 *4417:B1 *436:55 6.80614e-05
72 *4446:A *436:69 1.84675e-05
73 *4844:D *436:59 0.000114467
74 *214:27 *436:26 0.000102917
75 *214:30 *4493:B1 0
76 *215:9 *436:55 7.02172e-06
77 *229:20 *4452:A 6.50586e-05
78 *229:20 *436:69 0.000113968
79 *326:63 *436:26 1.41761e-05
80 *332:55 *436:26 1.28327e-05
81 *338:116 *436:26 4.93166e-05
82 *338:117 *4475:A 5.61454e-05
83 *338:117 *436:26 0.000365346
84 *341:70 *436:69 0.000216549
85 *348:22 *4621:B1 5.40526e-05
86 *375:28 *436:55 1.7983e-05
87 *378:20 *4621:B1 7.19128e-05
88 *382:23 *4621:B1 0.000175089
89 *394:37 *436:55 3.24646e-05
90 *396:6 *436:8 0.000863576
91 *396:6 *436:26 0.000756572
92 *401:17 *4621:B1 0.000167764
93 *402:23 *436:69 0.00167578
94 *413:9 *4493:B1 6.50586e-05
95 *413:64 *436:26 0
*RES
1 *4450:X *436:8 42.8807
2 *436:8 *436:26 45.7861
3 *436:26 *4475:A 20.4571
4 *436:26 *4472:A 21.176
5 *436:8 *436:55 47.5903
6 *436:55 *436:59 47.3733
7 *436:59 *436:69 33.8885
8 *436:69 *4452:A 9.97254
9 *436:69 *4621:B1 30.9832
10 *436:55 *4493:B1 21.635
*END
*D_NET *437 0.0230824
*CONN
*I *4457:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4452:B I *D sky130_fd_sc_hd__nor2_1
*I *4451:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4457:A2 0
2 *4452:B 0
3 *4451:X 0
4 *437:39 0.00223493
5 *437:21 0.0029332
6 *437:4 0.00516813
7 *437:21 *4458:A 5.21825e-05
8 *437:21 *4715:A 6.08467e-05
9 *437:21 *534:33 1.42709e-05
10 *437:21 *580:45 0.00209847
11 *437:21 *646:16 3.74542e-05
12 *437:21 *1009:27 0
13 *437:39 *4469:A1 0.00011191
14 *437:39 *4471:B 0
15 *437:39 *4493:A1 0
16 *437:39 *4511:A 0
17 *437:39 *441:43 4.01774e-05
18 *437:39 *451:10 1.19058e-05
19 *437:39 *483:8 0.000113077
20 *437:39 *561:26 0.000723627
21 *437:39 *1045:31 1.25094e-05
22 *4363:A1 *437:39 6.51165e-05
23 *4439:A2 *437:21 8.90266e-05
24 *4446:A *437:21 0.00350456
25 *4449:B *437:21 3.84411e-05
26 *4451:B *437:21 1.43848e-05
27 *4455:A *437:21 0
28 *4558:B1 *437:21 6.01588e-05
29 *4700:C1 *437:39 9.6477e-05
30 *4831:D *437:21 4.51017e-05
31 *227:19 *437:21 0.00216646
32 *229:20 *437:21 6.48564e-05
33 *332:41 *437:39 0.00121469
34 *332:55 *437:39 0.00135881
35 *348:22 *437:21 0.000102545
36 *393:22 *437:39 8.65132e-05
37 *393:43 *437:39 0.000214701
38 *398:8 *437:39 0.000147247
39 *428:68 *437:39 0
40 *431:62 *437:21 0.000139033
41 *431:62 *437:39 6.15463e-05
*RES
1 *4451:X *437:4 9.24915
2 *437:4 *437:21 48.3678
3 *437:21 *4452:B 9.24915
4 *437:4 *437:39 46.9389
5 *437:39 *4457:A2 9.24915
*END
*D_NET *438 0.0124604
*CONN
*I *4453:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4452:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4453:B1 0.00131565
2 *4452:Y 0.000733277
3 *438:37 0.00227487
4 *438:19 0.0016925
5 *4453:B1 *4830:CLK 1.05106e-05
6 *4453:B1 *4831:CLK 3.14645e-05
7 *4453:B1 *826:74 0.000284653
8 *4453:B1 *826:82 0.000231468
9 *4453:B1 *990:12 1.41291e-05
10 *4453:B1 *1028:16 0.000585477
11 *4453:B1 *1042:59 0.000156384
12 *438:19 *4709:A 0.000474491
13 *438:19 *4715:A 0.000167076
14 *438:19 *5148:A 1.5714e-05
15 *438:19 *1009:44 5.01835e-05
16 *438:37 *4721:A 3.45827e-05
17 *438:37 *4722:B 5.11466e-05
18 *438:37 *4722:D 0.000223646
19 *438:37 *457:46 7.48633e-05
20 *438:37 *479:11 0.000117361
21 *438:37 *514:16 0.000373938
22 *438:37 *640:13 0.000164843
23 *438:37 *826:25 0.00014589
24 *438:37 *984:24 0.000274323
25 *438:37 *990:12 0.000423922
26 *4446:A *438:19 2.42023e-05
27 *4829:D *4453:B1 2.27595e-05
28 *4853:D *438:37 2.13584e-05
29 *223:9 *4453:B1 0.000118166
30 *223:9 *438:37 0.000202341
31 *226:15 *438:37 0.000213739
32 *226:25 *4453:B1 7.00325e-05
33 *226:25 *438:37 0.000463773
34 *229:20 *438:19 0.000470934
35 *281:11 *438:19 0.000525651
36 *341:70 *438:37 5.71881e-05
37 *348:22 *438:19 6.39153e-06
38 *349:31 *438:37 0.00013456
39 *413:23 *438:37 2.12616e-05
40 *433:45 *438:19 2.57365e-05
41 *436:69 *438:19 0.000159915
*RES
1 *4452:Y *438:19 42.1222
2 *438:19 *438:37 47.2004
3 *438:37 *4453:B1 43.6828
*END
*D_NET *439 0.028952
*CONN
*I *4459:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4460:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4458:A I *D sky130_fd_sc_hd__nor2_1
*I *4454:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4459:A2 0.000555748
2 *4460:A2 0
3 *4458:A 0.00132968
4 *4454:X 0
5 *439:49 0.00277943
6 *439:36 0.00263393
7 *439:16 0.00311962
8 *439:4 0.00220019
9 *4458:A *4675:A 3.28038e-05
10 *4458:A *4715:A 6.08467e-05
11 *4458:A *5143:A 0
12 *4458:A *514:16 0.000271336
13 *4458:A *565:17 2.55382e-05
14 *4459:A2 *4459:A1 2.16355e-05
15 *4459:A2 *5049:TE_B 0.000501786
16 *4459:A2 *1004:108 0.000111722
17 *4459:A2 *1037:36 5.47537e-05
18 *439:16 *4454:A 0
19 *439:16 *4483:A 0
20 *439:16 *490:30 0
21 *439:16 *561:26 3.33883e-05
22 *439:16 *1028:16 3.05458e-05
23 *439:16 *1028:20 8.04524e-06
24 *439:16 *1045:53 5.92342e-05
25 *439:36 *1045:40 6.49167e-05
26 *439:49 *4460:A1 0.000100477
27 *439:49 *4460:B1 0.00068601
28 *439:49 *4466:A 5.14361e-05
29 *439:49 *4466:C 0.000137919
30 *439:49 *4476:A1 1.19856e-05
31 *439:49 *4476:A2 0.000112193
32 *439:49 *4476:B1 0.000143859
33 *439:49 *5068:A 1.43983e-05
34 *439:49 *5068:TE_B 0.000164374
35 *439:49 *1030:8 6.92705e-05
36 *439:49 *1032:22 5.87524e-05
37 *439:49 *1035:42 1.71611e-05
38 *439:49 *1042:59 3.60379e-05
39 *4459:B1 *4459:A2 1.07248e-05
40 *4558:B1 *4458:A 2.26334e-05
41 *4835:D *439:49 0.000163552
42 *84:17 *4458:A 0.00431608
43 *227:19 *4458:A 9.17227e-05
44 *229:20 *4458:A 6.08467e-05
45 *329:116 *4459:A2 0.000408151
46 *329:122 *4459:A2 0.000969764
47 *335:46 *4459:A2 0.00111192
48 *336:129 *439:36 4.01573e-05
49 *402:14 *4458:A 0.000353007
50 *402:23 *4458:A 0.00102525
51 *412:8 *439:16 1.44467e-05
52 *412:8 *439:36 0.000360145
53 *413:9 *439:16 0.0025389
54 *427:24 *4458:A 3.0724e-05
55 *428:68 *439:36 0.000144546
56 *436:69 *4458:A 0.00173821
57 *437:21 *4458:A 5.21825e-05
*RES
1 *4454:X *439:4 9.24915
2 *439:4 *439:16 47.4273
3 *439:16 *4458:A 42.248
4 *439:4 *439:36 17.3773
5 *439:36 *4460:A2 9.24915
6 *439:36 *439:49 45.9265
7 *439:49 *4459:A2 43.8505
*END
*D_NET *440 0.0164102
*CONN
*I *4482:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4675:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4691:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4680:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4456:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4455:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4482:A 0.000408551
2 *4675:A 0.000160745
3 *4691:A 0.000173751
4 *4680:A 0.000344154
5 *4456:A 0.000241516
6 *4455:X 2.79122e-05
7 *440:50 0.000695664
8 *440:34 0.00172429
9 *440:8 0.00143654
10 *440:6 0.000612521
11 *4456:A *4502:B 1.58551e-05
12 *4456:A *4505:A2 0.000260388
13 *4456:A *999:17 0.000300945
14 *4456:A *1016:54 0.000164815
15 *4482:A *4505:A1 4.20184e-06
16 *4482:A *457:14 0.00113796
17 *4675:A *5143:A 5.38553e-05
18 *4675:A *565:17 0
19 *4675:A *819:8 2.1203e-06
20 *4680:A *624:7 0.000139764
21 *4691:A *4677:A 0.000358297
22 *4691:A *630:7 0.000321092
23 *440:6 *4843:CLK 0
24 *440:8 *4485:A_N 2.72328e-05
25 *440:8 *4843:CLK 0
26 *440:8 *999:17 2.09495e-05
27 *440:34 *4699:B 2.77564e-05
28 *440:34 *4700:A2 6.49003e-05
29 *440:34 *4700:B1 0.00043711
30 *440:34 *4701:B1 6.08467e-05
31 *440:34 *457:28 0.000636366
32 *440:34 *565:17 0.00155829
33 *440:34 *990:17 4.89898e-06
34 *440:34 *996:8 1.5714e-05
35 *440:34 *999:26 4.66492e-05
36 *440:50 *4692:A 2.65667e-05
37 *440:50 *5147:A 7.65401e-05
38 *440:50 *458:14 7.86825e-06
39 *440:50 *565:17 0
40 *440:50 *819:8 5.19229e-05
41 *440:50 *825:5 0.000113968
42 *4458:A *4675:A 3.28038e-05
43 *4878:D *4680:A 7.47979e-05
44 *84:17 *4482:A 0.0018913
45 *268:17 *4691:A 0.000387357
46 *332:25 *440:50 5.04829e-06
47 *332:41 *440:34 0.00160342
48 *334:32 *4456:A 0.000122604
49 *334:32 *440:6 1.57662e-05
50 *334:32 *440:8 7.25134e-05
51 *341:70 *440:34 1.32841e-05
52 *346:75 *4680:A 0.000113438
53 *346:75 *440:50 2.70631e-05
54 *348:22 *4680:A 0
55 *348:22 *440:50 0
56 *434:17 *4482:A 0.000288249
*RES
1 *4455:X *440:6 14.543
2 *440:6 *440:8 4.11588
3 *440:8 *4456:A 23.2489
4 *440:8 *440:34 33.5044
5 *440:34 *4680:A 23.0225
6 *440:34 *440:50 17.8925
7 *440:50 *4691:A 22.2852
8 *440:50 *4675:A 17.2421
9 *440:6 *4482:A 23.3629
*END
*D_NET *441 0.0195801
*CONN
*I *4478:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4469:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4457:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4531:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4544:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4456:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4478:B1 0.000490347
2 *4469:B1 0.000228975
3 *4457:B1 0
4 *4531:B1 0
5 *4544:B1 0.000297443
6 *4456:X 0.000632528
7 *441:49 0.000827794
8 *441:43 0.00250657
9 *441:21 0.00123773
10 *441:11 0.00397092
11 *4469:B1 *4469:A1 1.64789e-05
12 *4469:B1 *449:14 1.03403e-05
13 *4469:B1 *453:18 0.000299323
14 *4469:B1 *828:8 0.000306964
15 *4478:B1 *5051:A 4.97617e-05
16 *4478:B1 *5051:TE_B 0.000154333
17 *4478:B1 *455:7 5.04829e-06
18 *4478:B1 *760:7 0.000111722
19 *4544:B1 *4361:A 0.000137834
20 *4544:B1 *4512:A 0.000186445
21 *4544:B1 *4512:B 0.000160384
22 *4544:B1 *4532:B1 2.99729e-05
23 *4544:B1 *4544:A1 6.08467e-05
24 *4544:B1 *4545:A1 2.16355e-05
25 *4544:B1 *4545:A2 0.000144546
26 *4544:B1 *468:47 6.23875e-05
27 *4544:B1 *610:5 0.000650724
28 *4544:B1 *1016:24 8.04608e-05
29 *441:11 *4494:B1 2.01653e-05
30 *441:11 *4501:B2 3.82228e-05
31 *441:11 *4502:B 0.000111722
32 *441:11 *4514:C1 9.67269e-05
33 *441:11 *4517:A2 0.000889171
34 *441:11 *4517:B1 1.3015e-06
35 *441:11 *470:8 1.66771e-05
36 *441:11 *490:16 0.000246592
37 *441:11 *561:19 6.28948e-05
38 *441:21 *4492:A 1.66626e-05
39 *441:21 *4509:B1 3.6549e-05
40 *441:21 *4521:A1 1.5714e-05
41 *441:21 *4528:A 0.000322613
42 *441:21 *4542:A 0.000260374
43 *441:21 *488:16 3.60843e-05
44 *441:21 *492:16 0.000585462
45 *441:21 *494:11 0.00026071
46 *441:21 *1016:24 4.54077e-05
47 *441:21 *1021:13 5.23916e-05
48 *441:21 *1022:48 0.000182401
49 *441:43 *4457:A1 6.08467e-05
50 *441:43 *4469:A1 3.55968e-05
51 *441:43 *4514:C1 0.000145689
52 *441:43 *4620:A 0.000274405
53 *441:43 *5051:A 5.73392e-05
54 *441:43 *490:16 0.000256437
55 *441:43 *1045:31 0.000316529
56 *441:49 *5051:A 6.63489e-05
57 *4463:B1 *441:43 0
58 *4467:A *4478:B1 0.000214936
59 *331:91 *441:21 0.00024598
60 *332:41 *441:43 0.000301132
61 *332:55 *441:43 0.000128087
62 *359:15 *441:21 3.41747e-05
63 *361:20 *441:11 0.000864536
64 *367:20 *441:43 0.00016317
65 *367:23 *441:21 0
66 *395:12 *4478:B1 0.000222699
67 *398:8 *441:43 3.91944e-05
68 *428:68 *441:43 0.00013348
69 *437:39 *441:43 4.01774e-05
*RES
1 *4456:X *441:11 21.0426
2 *441:11 *441:21 37.8386
3 *441:21 *4544:B1 32.4428
4 *441:21 *4531:B1 9.24915
5 *441:11 *441:43 16.9895
6 *441:43 *4457:B1 9.24915
7 *441:43 *441:49 2.38721
8 *441:49 *4469:B1 25.9457
9 *441:49 *4478:B1 31.3686
*END
*D_NET *442 0.0218661
*CONN
*I *4458:B I *D sky130_fd_sc_hd__nor2_1
*I *4457:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4458:B 0.000843959
2 *4457:Y 0.00106865
3 *442:41 0.00216947
4 *442:38 0.00237598
5 *442:27 0.00379113
6 *442:17 0.00380931
7 *4458:B *4575:A 0.000513291
8 *4458:B *4690:A 0
9 *4458:B *4693:A 5.88662e-05
10 *4458:B *4715:A 0.000158371
11 *4458:B *565:17 0.000119349
12 *4458:B *819:8 0
13 *442:17 *4347:A 6.08467e-05
14 *442:17 *4357:A 0.000248289
15 *442:17 *4457:A1 3.95516e-05
16 *442:17 *4662:B 3.88655e-06
17 *442:17 *4821:CLK 0.000114594
18 *442:17 *5051:A 0.000608998
19 *442:17 *867:13 0.000123352
20 *442:17 *1050:5 2.58106e-05
21 *442:27 *4360:A1 0.00030253
22 *442:27 *4365:A 0.000111722
23 *442:27 *4507:A2 2.82745e-05
24 *442:27 *4507:C1 6.53312e-05
25 *442:27 *4516:B 7.31835e-05
26 *442:27 *4517:B1 0.000730839
27 *442:27 *470:34 0.000117097
28 *442:27 *482:26 0.000502138
29 *442:27 *561:19 4.40311e-06
30 *442:27 *827:64 1.80122e-05
31 *442:38 *4502:B 0
32 *442:38 *4517:B1 1.9101e-05
33 *442:38 *4701:A1 0.000114508
34 *442:38 *4701:A3 2.65831e-05
35 *442:38 *4845:CLK 1.90101e-05
36 *442:38 *891:8 4.38078e-05
37 *442:38 *996:8 1.16874e-05
38 *442:41 *4687:A 2.96881e-06
39 *442:41 *4687:B 6.24819e-05
40 *442:41 *4688:A 0.000472804
41 *442:41 *4877:CLK 6.08467e-05
42 *442:41 *475:22 0.000107496
43 *4360:A2 *442:27 6.12686e-06
44 *4367:A1 *442:27 0.000122083
45 *4421:B *442:17 5.04829e-06
46 *4421:C *442:17 8.62625e-06
47 *4494:A1 *442:27 0.000131713
48 *4507:A1 *442:27 3.88213e-05
49 *4701:A2 *442:38 0.000114773
50 *4821:D *442:17 0.000498153
51 *4843:D *4458:B 0
52 *4846:D *442:27 3.18826e-06
53 *4877:D *442:41 6.12744e-05
54 *233:13 *442:17 4.18944e-06
55 *237:35 *442:17 0.000109598
56 *284:17 *4458:B 5.97576e-05
57 *328:20 *4458:B 0.000146501
58 *332:25 *4458:B 0.00113577
59 *332:41 *4458:B 0
60 *349:10 *442:17 2.72654e-05
61 *352:18 *442:27 0.000118485
62 *359:15 *442:27 8.2584e-05
63 *360:12 *442:27 0.000163609
*RES
1 *4457:Y *442:17 48.2656
2 *442:17 *442:27 47.6232
3 *442:27 *442:38 34.6349
4 *442:38 *442:41 25.1891
5 *442:41 *4458:B 44.4051
*END
*D_NET *443 0.011628
*CONN
*I *4460:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4459:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4460:B1 0.00126253
2 *4459:Y 0.00222171
3 *443:25 0.00348423
4 *4460:B1 *4477:B 8.8567e-05
5 *4460:B1 *4622:A2 0.000292728
6 *4460:B1 *454:23 4.49592e-05
7 *4460:B1 *574:34 1.86757e-05
8 *4460:B1 *576:61 0.000199747
9 *4460:B1 *619:15 0.000150211
10 *4460:B1 *828:56 5.19205e-05
11 *4460:B1 *1000:83 2.0456e-06
12 *4460:B1 *1001:40 7.96573e-05
13 *4460:B1 *1001:56 5.65463e-05
14 *4460:B1 *1042:59 2.93429e-05
15 *443:25 *4644:C 5.12691e-05
16 *443:25 *4665:A1 6.7671e-06
17 *443:25 *4665:A2 4.58003e-05
18 *443:25 *4665:B1 0.00061422
19 *443:25 *4666:A1 0.000804572
20 *443:25 *4669:C1 5.95083e-05
21 *443:25 *5049:A 4.97804e-05
22 *443:25 *490:33 0.000101323
23 *443:25 *574:81 0.000110067
24 *443:25 *580:33 6.44644e-05
25 *443:25 *582:35 3.51329e-05
26 *443:25 *583:52 8.20799e-06
27 *443:25 *612:73 3.80612e-05
28 *443:25 *614:29 4.08344e-05
29 *443:25 *1001:40 5.76913e-05
30 *443:25 *1004:108 8.65358e-05
31 *443:25 *1046:44 3.36048e-05
32 *4450:A *4460:B1 0.000574427
33 *4459:B1 *443:25 0.000101336
34 *4836:D *4460:B1 4.82779e-06
35 *84:17 *443:25 0
36 *329:116 *443:25 3.58315e-06
37 *397:29 *443:25 1.91391e-05
38 *402:23 *4460:B1 0
39 *419:10 *4460:B1 3.22124e-05
40 *419:59 *4460:B1 1.5714e-05
41 *439:49 *4460:B1 0.00068601
*RES
1 *4459:Y *443:25 42.3362
2 *443:25 *4460:B1 47.0272
*END
*D_NET *444 0.0132469
*CONN
*I *4466:C I *D sky130_fd_sc_hd__and3_1
*I *4465:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4463:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4462:B I *D sky130_fd_sc_hd__nor2_1
*I *4464:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4461:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4466:C 3.24742e-05
2 *4465:A2 0.000203503
3 *4463:A2 3.89649e-05
4 *4462:B 0.001132
5 *4464:A2 0.000280951
6 *4461:X 0
7 *444:38 0.00125092
8 *444:33 0.00241077
9 *444:20 0.00230459
10 *444:4 0.000425762
11 *4462:B *4467:B 0.000204835
12 *4462:B *5149:A 9.14346e-05
13 *4462:B *5150:A 2.99929e-05
14 *4462:B *448:11 0.000108054
15 *4462:B *449:14 1.73636e-05
16 *4462:B *451:10 0.000315272
17 *4462:B *453:18 7.85788e-05
18 *4462:B *924:8 0.000405614
19 *4462:B *924:26 0.000200629
20 *4462:B *942:14 0.00017648
21 *4463:A2 *4469:A1 1.65872e-05
22 *4463:A2 *4469:A2 0.000211478
23 *4464:A2 *4403:B1 0.000122083
24 *4464:A2 *4464:B1 0.000309756
25 *4464:A2 *4477:A 4.73169e-05
26 *4464:A2 *1030:8 1.07248e-05
27 *4464:A2 *1042:59 0.000110306
28 *4465:A2 *4465:B1 5.19758e-05
29 *4465:A2 *4467:B 0.000154145
30 *4465:A2 *455:7 7.42891e-05
31 *4466:C *4466:A 9.12701e-05
32 *4466:C *1032:22 6.50727e-05
33 *444:20 *4406:A_N 8.62625e-06
34 *444:20 *4477:A 0.000320387
35 *444:20 *1032:35 0.000156854
36 *444:20 *1042:59 0.00022284
37 *444:33 *4471:B 0
38 *444:33 *4481:A2 0
39 *444:33 *4620:A 5.39404e-06
40 *444:33 *5068:A 6.23101e-05
41 *444:33 *452:13 2.53098e-05
42 *444:33 *574:20 0
43 *444:33 *580:100 0
44 *444:33 *1032:35 1.9101e-05
45 *444:38 *4467:B 0.000133967
46 *444:38 *445:14 6.22259e-05
47 *444:38 *451:10 6.34524e-06
48 *4407:C1 *444:20 1.41689e-05
49 *4421:A *4465:A2 6.64392e-05
50 *4432:B1 *4462:B 0.000247443
51 *4463:A1 *444:33 1.89527e-06
52 *4463:B1 *4462:B 5.65463e-05
53 *4463:B1 *4463:A2 0.000164829
54 *4463:B1 *444:38 2.09495e-05
55 *231:8 *4462:B 1.03403e-05
56 *234:7 *4462:B 4.41404e-05
57 *237:35 *444:20 0.000154145
58 *324:14 *4464:A2 0.000118485
59 *346:104 *444:20 6.24361e-05
60 *346:104 *444:33 4.69495e-06
61 *356:23 *4462:B 1.9101e-05
62 *413:64 *4462:B 1.1539e-05
63 *413:64 *444:33 7.42298e-05
64 *413:80 *4462:B 4.10512e-05
65 *419:62 *444:33 0
66 *420:13 *4462:B 0
67 *439:49 *4466:C 0.000137919
*RES
1 *4461:X *444:4 9.24915
2 *444:4 *4464:A2 25.2708
3 *444:4 *444:20 12.1834
4 *444:20 *444:33 11.9094
5 *444:33 *444:38 2.6625
6 *444:38 *4462:B 49.1648
7 *444:38 *4463:A2 16.1364
8 *444:33 *4465:A2 19.5363
9 *444:20 *4466:C 15.3888
*END
*D_NET *445 0.0076546
*CONN
*I *4464:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4463:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4464:B1 0.000970918
2 *4463:Y 0.000970044
3 *445:14 0.00194096
4 *4464:B1 *4407:A2 7.2587e-06
5 *445:14 *4469:A1 0.000164829
6 *445:14 *451:10 5.26677e-05
7 *445:14 *453:18 7.74864e-05
8 *445:14 *1033:11 0.00032485
9 *445:14 *1033:31 4.15236e-05
10 *445:14 *1033:49 0.000595948
11 *445:14 *1034:8 0.000196638
12 *445:14 *1034:19 0.000133298
13 *445:14 *1034:28 0.000468125
14 *4264:A *4464:B1 0.000364342
15 *4407:A1 *4464:B1 1.36598e-05
16 *4407:B2 *4464:B1 4.32443e-06
17 *4408:B2 *4464:B1 5.85252e-05
18 *4419:A2 *445:14 0
19 *4463:A1 *445:14 3.29488e-05
20 *4463:B1 *445:14 5.24081e-05
21 *4464:A2 *4464:B1 0.000309756
22 *334:91 *4464:B1 2.52287e-06
23 *404:21 *4464:B1 6.36525e-06
24 *404:45 *445:14 0.000248079
25 *413:50 *445:14 0.000428817
26 *413:64 *445:14 1.35257e-05
27 *431:62 *4464:B1 0.000112553
28 *444:38 *445:14 6.22259e-05
*RES
1 *4463:Y *445:14 46.7209
2 *445:14 *4464:B1 32.2922
*END
*D_NET *446 0.00206618
*CONN
*I *4467:B I *D sky130_fd_sc_hd__nor3_1
*I *4465:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4467:B 0.000550544
2 *4465:Y 0.000550544
3 *4467:B *4467:C 2.65831e-05
4 *4467:B *5150:A 0.000163428
5 *4467:B *453:18 0.000203888
6 *4462:B *4467:B 0.000204835
7 *4465:A2 *4467:B 0.000154145
8 *4467:A *4467:B 7.82499e-05
9 *444:38 *4467:B 0.000133967
*RES
1 *4465:Y *4467:B 41.4455
*END
*D_NET *447 0.00719833
*CONN
*I *4471:C I *D sky130_fd_sc_hd__and3_1
*I *4468:B I *D sky130_fd_sc_hd__and2_1
*I *4469:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4467:C I *D sky130_fd_sc_hd__nor3_1
*I *4466:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4471:C 0.000244315
2 *4468:B 2.86212e-05
3 *4469:A2 0.000194111
4 *4467:C 0.000149099
5 *4466:X 0.000301898
6 *447:12 0.000631159
7 *447:10 0.000465802
8 *447:8 0.000695444
9 *4467:C *448:11 0.000151528
10 *4468:B *4468:A 6.08467e-05
11 *4469:A2 *4469:A1 1.88827e-05
12 *4469:A2 *449:14 0.000203604
13 *4471:C *4471:A 0.000555067
14 *447:8 *4465:B1 8.74104e-05
15 *447:8 *4473:A2 0.000169093
16 *447:8 *4476:A2 0.000101923
17 *447:8 *1032:22 0.000195124
18 *447:10 *4465:B1 0.000379064
19 *447:10 *4473:A2 0.00033349
20 *447:10 *448:11 5.26705e-05
21 *447:12 *4465:B1 0.000359864
22 *447:12 *448:11 0.000532552
23 *4463:A1 *4467:C 5.15415e-05
24 *4463:A1 *4469:A2 7.23857e-05
25 *4463:A1 *447:12 0.000115745
26 *4463:A2 *4469:A2 0.000211478
27 *4463:B1 *4469:A2 0.000209459
28 *4467:B *4467:C 2.65831e-05
29 *233:13 *4467:C 5.04829e-06
30 *234:11 *4471:C 0.000161929
31 *346:104 *4467:C 4.04142e-05
32 *346:104 *447:12 2.16006e-05
33 *398:8 *447:8 4.52324e-05
34 *413:50 *4471:C 0.000325344
*RES
1 *4466:X *447:8 21.845
2 *447:8 *447:10 7.23027
3 *447:10 *447:12 10.5523
4 *447:12 *4467:C 18.1049
5 *447:12 *4469:A2 20.7902
6 *447:10 *4468:B 14.4725
7 *447:8 *4471:C 24.3831
*END
*D_NET *448 0.00734341
*CONN
*I *4473:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4470:A I *D sky130_fd_sc_hd__nor2_1
*I *4468:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4473:A2 0.000312502
2 *4470:A 0
3 *4468:X 0
4 *448:11 0.000945416
5 *448:5 0.00125792
6 *4473:A2 *4473:B1 6.50727e-05
7 *4473:A2 *452:13 8.39059e-05
8 *4473:A2 *1035:17 0.000109731
9 *448:11 *4479:B 9.6019e-05
10 *448:11 *904:9 0.000699664
11 *448:11 *924:26 0.000120444
12 *4362:A *448:11 1.5714e-05
13 *4462:B *448:11 0.000108054
14 *4467:C *448:11 0.000151528
15 *234:7 *448:11 0.000207266
16 *346:104 *448:11 4.87997e-06
17 *398:8 *4473:A2 0.000506181
18 *398:8 *448:11 0.00157131
19 *447:8 *4473:A2 0.000169093
20 *447:10 *4473:A2 0.00033349
21 *447:10 *448:11 5.26705e-05
22 *447:12 *448:11 0.000532552
*RES
1 *4468:X *448:5 13.7491
2 *448:5 *448:11 41.8269
3 *448:11 *4470:A 9.24915
4 *448:5 *4473:A2 27.1067
*END
*D_NET *449 0.00424603
*CONN
*I *4470:B I *D sky130_fd_sc_hd__nor2_1
*I *4469:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4470:B 0
2 *4469:Y 0.000766013
3 *449:14 0.000766013
4 *449:14 *4479:B 0.00114525
5 *449:14 *451:10 0.00121001
6 *4462:B *449:14 1.73636e-05
7 *4463:B1 *449:14 1.5714e-05
8 *4467:A *449:14 0.000111722
9 *4469:A2 *449:14 0.000203604
10 *4469:B1 *449:14 1.03403e-05
*RES
1 *4469:Y *449:14 49.8739
2 *449:14 *4470:B 13.7491
*END
*D_NET *450 0.0131311
*CONN
*I *4476:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4474:B I *D sky130_fd_sc_hd__and2_1
*I *4477:C I *D sky130_fd_sc_hd__and3_1
*I *4472:B I *D sky130_fd_sc_hd__nor2_1
*I *4471:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4476:A2 0.00039974
2 *4474:B 0
3 *4477:C 0.000131382
4 *4472:B 0
5 *4471:X 0
6 *450:36 0.000255983
7 *450:29 0.000668071
8 *450:23 0.00147579
9 *450:5 0.00161952
10 *4476:A2 *4466:A 0.00021569
11 *4476:A2 *4476:B1 0.00011818
12 *4476:A2 *1032:22 0.000199593
13 *4477:C *4477:A 2.23105e-05
14 *4477:C *4477:B 0.000339753
15 *450:23 *4471:B 6.11707e-05
16 *450:23 *4620:A 0.000710288
17 *450:23 *4662:A 0.000113002
18 *450:23 *454:70 0.00349257
19 *450:23 *610:5 2.20702e-05
20 *450:23 *827:20 0
21 *450:23 *827:24 0
22 *450:23 *867:13 0
23 *450:29 *4471:B 4.36466e-06
24 *450:36 *828:26 6.78596e-05
25 *450:36 *828:36 0.000171648
26 *450:36 *1035:17 0.000505768
27 *4416:A *450:23 4.0752e-05
28 *4433:B *450:23 0
29 *4466:B *4476:A2 2.16355e-05
30 *4472:A *450:23 0
31 *4822:D *450:23 2.40371e-05
32 *4823:D *450:23 7.66738e-05
33 *4835:D *4477:C 0.000226281
34 *4835:D *450:23 0
35 *4840:D *4476:A2 0.00021569
36 *324:14 *4476:A2 0.000221185
37 *324:14 *450:23 1.91391e-05
38 *324:14 *450:29 0.00019928
39 *330:121 *450:23 0.000543509
40 *345:33 *4476:A2 0
41 *345:33 *4477:C 4.37999e-05
42 *345:33 *450:29 0
43 *356:23 *450:23 0.000165771
44 *414:60 *450:23 0.000417478
45 *418:37 *450:23 0.000107019
46 *439:49 *4476:A2 0.000112193
47 *447:8 *4476:A2 0.000101923
*RES
1 *4471:X *450:5 13.7491
2 *450:5 *450:23 40.9852
3 *450:23 *4472:B 9.24915
4 *450:5 *450:29 3.90826
5 *450:29 *450:36 10.9717
6 *450:36 *4477:C 24.4989
7 *450:36 *4474:B 9.24915
8 *450:29 *4476:A2 28.3637
*END
*D_NET *451 0.00653364
*CONN
*I *4473:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4472:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4473:B1 9.34923e-06
2 *4472:Y 0.000109908
3 *451:10 0.00117838
4 *451:7 0.00127894
5 *4473:B1 *1035:17 6.50727e-05
6 *451:7 *4662:A 7.22498e-05
7 *451:10 *4469:A1 0.00046577
8 *451:10 *4479:B 0.000111797
9 *451:10 *5149:A 0.000211007
10 *451:10 *5150:A 6.79599e-05
11 *451:10 *924:8 0.000513974
12 *451:10 *1007:25 2.04806e-05
13 *451:10 *1033:11 0.000138324
14 *451:10 *1033:31 1.41396e-05
15 *451:10 *1033:49 7.66323e-05
16 *4462:B *451:10 0.000315272
17 *4463:A1 *451:10 1.54795e-05
18 *4463:B1 *451:10 2.35832e-05
19 *4473:A2 *4473:B1 6.50727e-05
20 *4839:D *451:10 0.000349357
21 *356:23 *451:10 1.9101e-05
22 *398:8 *451:10 6.77948e-06
23 *404:45 *451:10 9.29978e-05
24 *413:50 *451:10 3.10924e-05
25 *437:39 *451:10 1.19058e-05
26 *444:38 *451:10 6.34524e-06
27 *445:14 *451:10 5.26677e-05
28 *449:14 *451:10 0.00121001
*RES
1 *4472:Y *451:7 15.5817
2 *451:7 *451:10 49.9335
3 *451:10 *4473:B1 9.97254
*END
*D_NET *452 0.0125869
*CONN
*I *4478:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4475:B I *D sky130_fd_sc_hd__nor2_1
*I *4474:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4478:A2 0
2 *4475:B 0
3 *4474:X 0.00159828
4 *452:33 0.000792377
5 *452:27 0.00115997
6 *452:14 0.000674127
7 *452:13 0.00190482
8 *452:13 *4471:B 1.20847e-05
9 *452:13 *828:26 8.10982e-05
10 *452:13 *828:36 0.000140525
11 *452:13 *1007:25 1.97947e-05
12 *452:13 *1033:49 1.51735e-05
13 *452:13 *1035:17 2.1415e-05
14 *452:14 *1006:20 0.000442964
15 *452:27 *760:8 2.67922e-05
16 *452:27 *1006:20 0.000121489
17 *452:33 *5108:TE_B 4.3116e-06
18 *452:33 *453:10 1.03403e-05
19 *452:33 *760:8 0.00184532
20 *452:33 *932:7 0.00040678
21 *452:33 *1006:27 0.00125676
22 *4467:A *452:27 0.000107729
23 *4473:A2 *452:13 8.39059e-05
24 *4475:A *452:33 6.08467e-05
25 *233:13 *452:13 3.1845e-05
26 *326:69 *452:14 0.000931628
27 *326:69 *452:27 7.65861e-05
28 *337:26 *452:33 0
29 *342:37 *452:33 0.000130808
30 *342:42 *452:33 8.62625e-06
31 *375:28 *452:14 0.000487513
32 *395:12 *452:27 0.000107729
33 *444:33 *452:13 2.53098e-05
*RES
1 *4474:X *452:13 37.6974
2 *452:13 *452:14 16.9887
3 *452:14 *452:27 27.8235
4 *452:27 *452:33 42.245
5 *452:33 *4475:B 9.24915
6 *452:14 *4478:A2 13.7491
*END
*D_NET *453 0.00832742
*CONN
*I *4476:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4475:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4476:B1 7.88544e-05
2 *4475:Y 0.000472225
3 *453:18 0.00112809
4 *453:10 0.00152146
5 *4476:B1 *4476:A1 0.000205006
6 *453:10 *5056:A 7.84205e-05
7 *453:10 *5108:A 0.000237008
8 *453:10 *942:14 0.000154474
9 *453:18 *828:8 0.000321597
10 *453:18 *828:95 8.53807e-05
11 *453:18 *828:105 2.75995e-05
12 *453:18 *1034:8 0.000196638
13 *453:18 *1034:19 0.0001454
14 *453:18 *1034:28 0.000188504
15 *453:18 *1035:53 8.62625e-06
16 *4462:B *453:18 7.85788e-05
17 *4467:B *453:18 0.000203888
18 *4469:B1 *453:18 0.000299323
19 *4476:A2 *4476:B1 0.00011818
20 *4840:D *4476:B1 1.67988e-05
21 *4840:D *453:18 0.000312524
22 *338:117 *453:10 0.000980094
23 *338:117 *453:18 0.000275396
24 *393:15 *453:18 0.00017419
25 *413:50 *453:18 0.000434847
26 *413:64 *453:18 2.11104e-05
27 *413:80 *453:10 1.55811e-05
28 *413:80 *453:18 0.000315947
29 *439:49 *4476:B1 0.000143859
30 *445:14 *453:18 7.74864e-05
31 *452:33 *453:10 1.03403e-05
*RES
1 *4475:Y *453:10 32.6093
2 *453:10 *453:18 46.0619
3 *453:18 *4476:B1 13.3002
*END
*D_NET *454 0.0293605
*CONN
*I *4481:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4479:A I *D sky130_fd_sc_hd__nor2_1
*I *4480:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4477:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4481:A2 0.00206565
2 *4479:A 1.2064e-05
3 *4480:A2 0
4 *4477:X 0
5 *454:70 0.00438573
6 *454:52 0.00671272
7 *454:41 0.0013792
8 *454:23 0.0023132
9 *454:4 0.00120741
10 *4479:A *5038:A 6.08467e-05
11 *4479:A *942:14 6.50586e-05
12 *4481:A2 *4481:B1 1.03403e-05
13 *4481:A2 *4669:C1 0.000297357
14 *4481:A2 *576:31 0.000251489
15 *4481:A2 *576:46 0.000123139
16 *4481:A2 *580:100 1.43499e-05
17 *4481:A2 *840:15 0
18 *4481:A2 *1006:20 0
19 *4481:A2 *1038:8 8.99474e-05
20 *454:23 *4477:B 3.20069e-06
21 *454:23 *576:61 2.33103e-06
22 *454:23 *620:45 0.00107041
23 *454:23 *1042:59 6.50586e-05
24 *454:41 *4391:B1 6.3082e-06
25 *454:41 *4480:A1 0.000113968
26 *454:41 *4598:A 0.000155681
27 *454:41 *4600:A1 0.000161825
28 *454:41 *4604:A2 0.000264364
29 *454:41 *4605:A1 1.41976e-05
30 *454:41 *4609:A2 0.000110649
31 *454:41 *4670:B1 7.05806e-05
32 *454:41 *4880:CLK 0
33 *454:41 *490:30 8.38007e-05
34 *454:41 *523:91 0.000295502
35 *454:41 *526:8 6.41084e-05
36 *454:41 *526:10 1.47773e-05
37 *454:41 *556:10 4.01302e-05
38 *454:41 *556:28 5.04829e-06
39 *454:41 *559:11 1.96574e-05
40 *454:41 *584:14 0.000113968
41 *454:41 *1013:8 9.23638e-05
42 *454:41 *1014:14 8.80821e-05
43 *454:52 *4403:B1 9.93974e-05
44 *454:52 *1042:59 0.000304143
45 *454:70 *4479:B 4.20662e-05
46 *454:70 *4620:A 0.00043365
47 *454:70 *4662:A 1.777e-05
48 *454:70 *924:8 0.000113374
49 *4390:A1 *454:41 2.69795e-05
50 *4390:B2 *454:41 1.22756e-05
51 *4390:C1 *454:41 6.39153e-06
52 *4391:B2 *454:41 9.91536e-05
53 *4394:B1 *454:23 0.00052146
54 *4413:B1 *4481:A2 0.000513366
55 *4433:B *454:70 0
56 *4445:B1 *4481:A2 6.09719e-05
57 *4460:B1 *454:23 4.49592e-05
58 *4472:A *454:70 2.65667e-05
59 *4822:D *454:70 0.000352091
60 *4842:D *4481:A2 1.2819e-05
61 *324:14 *454:70 7.12909e-05
62 *329:116 *4481:A2 1.8078e-05
63 *330:121 *454:70 1.60156e-05
64 *338:81 *454:23 2.95757e-05
65 *338:96 *454:23 6.08467e-05
66 *345:33 *454:23 7.14746e-05
67 *345:33 *454:52 0
68 *351:23 *454:41 4.58003e-05
69 *356:23 *454:70 5.60804e-05
70 *377:37 *454:23 6.08467e-05
71 *402:23 *454:23 0.000106898
72 *412:46 *454:41 0
73 *412:78 *4481:A2 0.000536739
74 *419:10 *454:23 0.000110844
75 *419:59 *4481:A2 8.71307e-05
76 *419:59 *454:23 3.88655e-06
77 *419:59 *454:70 6.88205e-06
78 *431:62 *454:23 5.35886e-05
79 *444:33 *4481:A2 0
80 *450:23 *454:70 0.00349257
*RES
1 *4477:X *454:4 9.24915
2 *454:4 *454:23 47.9326
3 *454:23 *454:41 47.6884
4 *454:41 *4480:A2 9.24915
5 *454:4 *454:52 13.4048
6 *454:52 *454:70 49.7886
7 *454:70 *4479:A 9.97254
8 *454:52 *4481:A2 41.6932
*END
*D_NET *455 0.00656949
*CONN
*I *4479:B I *D sky130_fd_sc_hd__nor2_1
*I *4478:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4479:B 0.000833346
2 *4478:Y 0.00044573
3 *455:7 0.00127908
4 *4479:B *4469:A1 0.000174942
5 *4479:B *5038:A 6.50727e-05
6 *4479:B *904:9 0.00010565
7 *4479:B *924:8 3.3652e-05
8 *4479:B *942:14 6.92705e-05
9 *455:7 *4465:B1 0.000442462
10 *455:7 *4478:A1 6.08467e-05
11 *455:7 *760:7 2.137e-05
12 *4465:A1 *455:7 0.000340742
13 *4465:A2 *455:7 7.42891e-05
14 *4478:B1 *455:7 5.04829e-06
15 *326:63 *455:7 3.99086e-06
16 *398:8 *4479:B 0.00121887
17 *448:11 *4479:B 9.6019e-05
18 *449:14 *4479:B 0.00114525
19 *451:10 *4479:B 0.000111797
20 *454:70 *4479:B 4.20662e-05
*RES
1 *4478:Y *455:7 25.01
2 *455:7 *4479:B 48.279
*END
*D_NET *456 0.0128944
*CONN
*I *4481:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4480:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4481:B1 7.28963e-05
2 *4480:Y 0.00154106
3 *456:14 0.00161396
4 *456:14 *4665:A1 0.000675229
5 *456:14 *5099:A 0.00432884
6 *456:14 *579:13 0.00166789
7 *456:14 *579:49 0.00168085
8 *456:14 *718:45 3.06232e-05
9 *456:14 *756:10 0
10 *456:14 *1002:41 1.63131e-05
11 *456:14 *1005:29 0.000165631
12 *456:14 *1038:8 0.000811119
13 *4480:B1 *456:14 4.99006e-05
14 *4481:A2 *4481:B1 1.03403e-05
15 *4842:D *4481:B1 1.82679e-05
16 *329:116 *4481:B1 0.000211478
17 *350:36 *456:14 0
*RES
1 *4480:Y *456:14 48.0751
2 *456:14 *4481:B1 11.6364
*END
*D_NET *457 0.0212278
*CONN
*I *4796:A I *D sky130_fd_sc_hd__and2_1
*I *4483:B I *D sky130_fd_sc_hd__and2_1
*I *4519:A I *D sky130_fd_sc_hd__buf_2
*I *4506:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4577:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4482:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4796:A 0
2 *4483:B 6.87534e-05
3 *4519:A 0.000499331
4 *4506:A 0
5 *4577:A 0
6 *4482:X 0
7 *457:80 0.000975511
8 *457:46 0.001897
9 *457:34 0.00226003
10 *457:28 0.00143023
11 *457:14 0.00106703
12 *457:4 0.00140592
13 *4483:B *4483:A 5.04829e-06
14 *4483:B *458:14 9.97706e-05
15 *4519:A *4504:A 0.000364369
16 *4519:A *623:24 4.31143e-05
17 *4519:A *891:8 7.60448e-05
18 *4519:A *996:17 3.21069e-05
19 *457:14 *4483:A 1.30227e-05
20 *457:14 *1028:20 9.84424e-06
21 *457:28 *4483:A 4.58003e-05
22 *457:28 *4678:A 1.07248e-05
23 *457:28 *4698:A 0.000156593
24 *457:28 *4699:A 7.77741e-05
25 *457:28 *4699:B 2.46841e-05
26 *457:28 *4699:D 6.08467e-05
27 *457:28 *4700:A1 3.20069e-06
28 *457:28 *4700:A2 0.00063813
29 *457:28 *4843:CLK 0
30 *457:28 *458:14 0.0013032
31 *457:28 *623:24 8.96465e-06
32 *457:28 *990:17 2.30991e-05
33 *457:28 *996:17 1.24457e-05
34 *457:28 *997:17 0
35 *457:34 *623:24 2.60879e-06
36 *457:34 *891:8 6.32133e-05
37 *457:46 *4581:B1 0.000261828
38 *457:46 *4710:A 5.33881e-06
39 *457:46 *4710:B 0.000155113
40 *457:46 *4721:A 5.1493e-06
41 *457:46 *4722:D 3.8104e-05
42 *457:46 *4887:CLK 0.000163056
43 *457:46 *535:41 3.03763e-05
44 *457:46 *537:17 6.80007e-05
45 *457:46 *540:24 8.57046e-05
46 *457:46 *621:39 3.64265e-05
47 *457:46 *630:30 0.00029232
48 *457:46 *655:11 6.12042e-05
49 *457:46 *696:45 2.27118e-06
50 *457:46 *818:33 8.70837e-05
51 *457:46 *826:6 1.13071e-05
52 *457:46 *826:13 2.91863e-05
53 *457:46 *982:12 0.000107336
54 *457:80 *4389:A2 2.17643e-05
55 *457:80 *4796:B 0.000317707
56 *457:80 *490:30 0.000115165
57 *457:80 *523:91 7.26543e-05
58 *457:80 *561:19 0.00035858
59 *457:80 *580:45 0.000432416
60 *457:80 *1042:9 2.06743e-05
61 *457:80 *1043:18 0
62 *4455:A *457:28 3.14978e-05
63 *4482:A *457:14 0.00113796
64 *4493:B1 *457:80 2.1203e-06
65 *4548:A *457:46 6.50727e-05
66 *4832:D *457:80 3.77659e-05
67 *84:17 *457:14 5.07294e-05
68 *214:30 *457:80 0
69 *226:15 *457:46 0.000314511
70 *268:17 *457:28 4.02027e-06
71 *268:17 *457:34 2.00606e-05
72 *275:11 *457:46 8.91782e-05
73 *288:14 *457:46 0.000113916
74 *331:91 *457:14 7.99851e-05
75 *349:31 *457:46 3.80872e-05
76 *349:31 *457:80 3.77568e-05
77 *350:9 *457:80 1.91246e-05
78 *378:24 *457:80 9.94871e-05
79 *400:20 *457:46 6.22259e-05
80 *413:9 *4483:B 2.20702e-05
81 *413:9 *457:28 0.000275587
82 *413:9 *457:80 0.000831653
83 *413:23 *457:46 0.000383703
84 *434:17 *457:14 0.00134286
85 *438:37 *457:46 7.48633e-05
86 *440:34 *457:28 0.000636366
*RES
1 *4482:X *457:4 9.24915
2 *457:4 *457:14 19.965
3 *457:14 *457:28 42.2436
4 *457:28 *457:34 11.2173
5 *457:34 *457:46 48.8793
6 *457:46 *4577:A 13.7491
7 *457:34 *4506:A 9.24915
8 *457:28 *4519:A 25.0304
9 *457:14 *4483:B 11.5158
10 *457:4 *457:80 41.2267
11 *457:80 *4796:A 9.24915
*END
*D_NET *458 0.00862511
*CONN
*I *4484:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4483:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4484:A 0
2 *4483:X 0.00211326
3 *458:14 0.00211326
4 *458:14 *4699:B 4.04556e-05
5 *458:14 *561:19 6.50727e-05
6 *458:14 *565:17 0.00220503
7 *458:14 *576:61 0.000474588
8 *458:14 *623:24 2.68066e-05
9 *4483:B *458:14 9.97706e-05
10 *341:70 *458:14 1.34199e-05
11 *413:9 *458:14 8.7297e-05
12 *436:69 *458:14 7.50722e-05
13 *440:50 *458:14 7.86825e-06
14 *457:28 *458:14 0.0013032
*RES
1 *4483:X *458:14 49.1843
2 *458:14 *4484:A 13.7491
*END
*D_NET *459 0.00503231
*CONN
*I *4508:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4497:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4501:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4489:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4485:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4508:A1 0.000312795
2 *4497:A1 0
3 *4501:A1 4.0441e-05
4 *4489:A1 0.000147927
5 *4485:X 0.000307169
6 *459:40 0.000585733
7 *459:21 0.000546456
8 *459:7 0.000688174
9 *4489:A1 *4489:B1 6.92705e-05
10 *4489:A1 *462:8 1.07248e-05
11 *4489:A1 *462:15 0.000129054
12 *4489:A1 *475:40 0
13 *4501:A1 *4501:A2 6.58948e-05
14 *4501:A1 *4502:B 0.000121067
15 *4508:A1 *4487:A 9.24241e-05
16 *4508:A1 *4505:B1 9.60216e-05
17 *4508:A1 *4508:A2 0.000111316
18 *4508:A1 *4508:B1 0.00021688
19 *4508:A1 *4509:A2 5.53426e-05
20 *459:7 *488:16 0.000453443
21 *459:21 *462:15 3.76888e-05
22 *459:21 *475:40 0
23 *459:21 *561:19 7.17919e-05
24 *459:40 *4496:D 0.000122098
25 *459:40 *4497:A2 0.000111343
26 *459:40 *4505:A2 6.19246e-05
27 *459:40 *462:28 4.04727e-05
28 *459:40 *561:19 0.000173494
29 *4494:A1 *4489:A1 6.92705e-05
30 *4844:D *4508:A1 0.000294093
*RES
1 *4485:X *459:7 18.9094
2 *459:7 *4489:A1 17.8678
3 *459:7 *459:21 4.64105
4 *459:21 *4501:A1 15.841
5 *459:21 *459:40 12.3654
6 *459:40 *4497:A1 9.24915
7 *459:40 *4508:A1 26.5977
*END
*D_NET *460 0.00331501
*CONN
*I *4501:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4489:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4486:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4501:B2 0.000113423
2 *4489:A2 0.000503015
3 *4486:X 0.00022087
4 *460:8 0.000837308
5 *4489:A2 *4488:A 0.000306912
6 *4489:A2 *4489:B1 6.46887e-05
7 *4489:A2 *4494:B1 0.000321309
8 *4489:A2 *463:8 1.03403e-05
9 *4501:B2 *4502:B 6.21526e-05
10 *4501:B2 *4505:A2 0.00040678
11 *460:8 *4488:A 0.00010234
12 *460:8 *4488:B 4.72992e-05
13 *460:8 *4494:B1 8.14007e-05
14 *460:8 *999:34 6.50727e-05
15 *4494:A1 *4489:A2 2.38958e-05
16 *240:13 *460:8 2.18741e-05
17 *332:41 *460:8 8.81102e-05
18 *441:11 *4501:B2 3.82228e-05
*RES
1 *4486:X *460:8 20.0418
2 *460:8 *4489:A2 24.8939
3 *460:8 *4501:B2 18.3548
*END
*D_NET *461 0.00377644
*CONN
*I *4501:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4489:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4487:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4501:A2 0.000115507
2 *4489:B1 0.000342102
3 *4487:X 0.000370974
4 *461:8 0.000828583
5 *4489:B1 *4505:B1 0.000340225
6 *4489:B1 *475:40 0.000340225
7 *4501:A2 *4502:B 2.65689e-05
8 *4501:A2 *4505:A2 6.50727e-05
9 *4501:A2 *4509:B1 2.23124e-05
10 *461:8 *4487:A 1.72129e-05
11 *461:8 *4505:B1 0.000330151
12 *461:8 *475:40 0.000432479
13 *461:8 *561:19 0.000167076
14 *4489:A1 *4489:B1 6.92705e-05
15 *4489:A2 *4489:B1 6.46887e-05
16 *4494:A1 *4489:B1 0.000178097
17 *4501:A1 *4501:A2 6.58948e-05
*RES
1 *4487:X *461:8 24.473
2 *461:8 *4489:B1 26.3031
3 *461:8 *4501:A2 17.2456
*END
*D_NET *462 0.0049533
*CONN
*I *4497:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4508:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4501:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4489:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4488:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4497:A2 6.58456e-05
2 *4508:A2 8.90899e-05
3 *4501:B1 0
4 *4489:B2 0
5 *4488:Y 0.000448349
6 *462:28 0.000426046
7 *462:15 0.000550497
8 *462:8 0.000727735
9 *4497:A2 *4496:D 2.04806e-05
10 *4497:A2 *4505:A2 0.000163997
11 *4508:A2 *4487:A 0.000215306
12 *4508:A2 *4497:B1 5.04829e-06
13 *4508:A2 *4508:B1 8.05343e-05
14 *4508:A2 *4509:A2 0.000111316
15 *462:8 *4490:A 0.000120742
16 *462:8 *4498:A 0.000158371
17 *462:8 *4539:A 0
18 *462:8 *463:8 7.0954e-05
19 *462:8 *463:10 0.000134506
20 *462:8 *464:10 1.41291e-05
21 *462:8 *475:40 0
22 *462:15 *486:39 3.38973e-05
23 *462:15 *561:19 9.39505e-05
24 *462:28 *4487:A 0.000199527
25 *462:28 *4505:A2 4.42142e-05
26 *462:28 *470:8 0
27 *462:28 *561:19 0.000148525
28 *462:28 *1029:15 6.08467e-05
29 *4489:A1 *462:8 1.07248e-05
30 *4489:A1 *462:15 0.000129054
31 *4500:A *462:15 4.79725e-05
32 *4501:C1 *462:15 2.09155e-05
33 *4508:A1 *4508:A2 0.000111316
34 *344:73 *462:8 0.000459901
35 *459:21 *462:15 3.76888e-05
36 *459:40 *4497:A2 0.000111343
37 *459:40 *462:28 4.04727e-05
*RES
1 *4488:Y *462:8 28.2159
2 *462:8 *4489:B2 13.7491
3 *462:8 *462:15 6.81502
4 *462:15 *4501:B1 13.7491
5 *462:15 *462:28 16.0211
6 *462:28 *4508:A2 17.7519
7 *462:28 *4497:A2 16.8269
*END
*D_NET *463 0.00575158
*CONN
*I *4539:B I *D sky130_fd_sc_hd__or2_1
*I *4490:A I *D sky130_fd_sc_hd__inv_2
*I *4492:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4489:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4539:B 0
2 *4490:A 0.000133648
3 *4492:A 0.000958016
4 *4489:X 9.73123e-05
5 *463:10 0.00131515
6 *463:8 0.000320802
7 *4490:A *4498:A 0.000111708
8 *4490:A *464:10 0.000746778
9 *4492:A *4358:A 0
10 *4492:A *4525:A 0
11 *4492:A *4530:B 6.23875e-05
12 *4492:A *4537:B1 0.000631741
13 *4492:A *465:16 2.49773e-05
14 *4489:A2 *463:8 1.03403e-05
15 *4494:A1 *463:8 6.08467e-05
16 *4500:A *463:8 0.000158368
17 *4500:A *463:10 0.000389058
18 *331:91 *4492:A 2.02035e-05
19 *344:73 *4490:A 0.000106845
20 *344:73 *463:10 0
21 *344:88 *4492:A 0.000140807
22 *370:26 *4492:A 3.58044e-05
23 *370:30 *4492:A 8.39223e-05
24 *441:21 *4492:A 1.66626e-05
25 *462:8 *4490:A 0.000120742
26 *462:8 *463:8 7.0954e-05
27 *462:8 *463:10 0.000134506
*RES
1 *4489:X *463:8 17.5503
2 *463:8 *463:10 7.4379
3 *463:10 *4492:A 26.3239
4 *463:10 *4490:A 22.8157
5 *463:8 *4539:B 13.7491
*END
*D_NET *464 0.00857063
*CONN
*I *4491:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4518:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4490:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4491:A 6.40325e-05
2 *4518:B1 0
3 *4490:Y 0.000481273
4 *464:25 0.000848801
5 *464:11 0.00150229
6 *464:10 0.00107073
7 *4491:A *465:8 7.22498e-05
8 *464:10 *4498:A 2.41483e-05
9 *464:10 *471:8 0.000446927
10 *464:10 *471:12 7.09666e-06
11 *464:10 *495:25 3.99086e-06
12 *464:10 *505:8 0.000154145
13 *464:10 *1022:20 0.000187452
14 *464:11 *4530:A 0.000406808
15 *464:11 *4532:A2 0.000154145
16 *464:11 *4536:B1 9.1844e-05
17 *464:11 *4540:A2 1.31897e-05
18 *464:11 *465:8 9.78632e-05
19 *464:11 *472:15 0.000164829
20 *464:25 *4355:A 0
21 *464:25 *4357:A 4.33819e-05
22 *464:25 *4514:A2 3.88655e-06
23 *464:25 *4514:C1 0.000111708
24 *464:25 *4516:B 0.000167965
25 *464:25 *466:41 0.000140457
26 *464:25 *468:8 0.000495438
27 *464:25 *468:12 5.09872e-05
28 *464:25 *484:33 0.000237564
29 *464:25 *486:19 0.000428726
30 *464:25 *486:23 0.000175422
31 *4490:A *464:10 0.000746778
32 *4523:A *464:11 0.000114594
33 *344:73 *464:10 7.02172e-06
34 *361:51 *464:25 4.0752e-05
35 *462:8 *464:10 1.41291e-05
*RES
1 *4490:Y *464:10 34.6668
2 *464:10 *464:11 15.6977
3 *464:11 *464:25 43.0726
4 *464:25 *4518:B1 9.24915
5 *464:11 *4491:A 11.0817
*END
*D_NET *465 0.00891562
*CONN
*I *4513:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4540:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4505:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4526:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4494:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4491:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4513:B1 7.02602e-05
2 *4540:A1 1.4462e-05
3 *4505:B1 0.00056047
4 *4526:B1 0
5 *4494:A2 0.000429196
6 *4491:X 0.000271834
7 *465:18 0.000429196
8 *465:16 0.000820515
9 *465:11 0.00071802
10 *465:8 0.000785607
11 *4494:A2 *4488:A 0.000143032
12 *4494:A2 *4494:B1 6.08467e-05
13 *4494:A2 *4527:B1 0.000113968
14 *4494:A2 *4539:A 0.000220183
15 *4494:A2 *470:8 3.69003e-05
16 *4494:A2 *470:22 0.000308881
17 *4505:B1 *4487:A 3.58733e-05
18 *4505:B1 *4505:A2 0.000213739
19 *4505:B1 *4517:A2 4.23622e-05
20 *4505:B1 *4526:A2 1.77537e-06
21 *4505:B1 *475:40 7.30155e-05
22 *4505:B1 *479:16 0
23 *4505:B1 *479:25 0
24 *4505:B1 *486:39 4.30872e-05
25 *4505:B1 *1017:10 3.06917e-06
26 *4513:B1 *4512:A 2.57465e-06
27 *4513:B1 *4512:B 2.88865e-05
28 *4513:B1 *4527:C1 6.08467e-05
29 *4513:B1 *481:27 1.65872e-05
30 *4513:B1 *819:9 0.000111722
31 *4540:A1 *4526:A2 1.91391e-05
32 *4540:A1 *4540:B1 3.5534e-06
33 *465:8 *4512:A 0.000329413
34 *465:8 *4512:B 0.000310275
35 *465:8 *4536:B1 0.00049362
36 *465:11 *4358:A 0.000146204
37 *465:11 *4537:B1 0.000170987
38 *465:16 *4358:A 5.96638e-05
39 *465:16 *4526:A2 3.61144e-05
40 *465:16 *4537:B1 2.05416e-05
41 *465:16 *4540:A2 1.51914e-05
42 *465:16 *475:40 3.96199e-05
43 *465:16 *1022:20 9.21574e-05
44 *4489:B1 *4505:B1 0.000340225
45 *4491:A *465:8 7.22498e-05
46 *4492:A *465:16 2.49773e-05
47 *4494:A1 *4494:A2 6.08467e-05
48 *4494:A1 *4505:B1 3.9199e-05
49 *4508:A1 *4505:B1 9.60216e-05
50 *4515:A *465:11 0.000148852
51 *4844:D *4505:B1 0.000213739
52 *4845:D *4505:B1 0.000138103
53 *461:8 *4505:B1 0.000330151
54 *464:11 *465:8 9.78632e-05
*RES
1 *4491:X *465:8 25.6561
2 *465:8 *465:11 4.719
3 *465:11 *465:16 7.84051
4 *465:16 *465:18 4.5
5 *465:18 *4494:A2 31.425
6 *465:18 *4526:B1 9.24915
7 *465:16 *4505:B1 32.5022
8 *465:11 *4540:A1 17.4965
9 *465:8 *4513:B1 16.1386
*END
*D_NET *466 0.00836758
*CONN
*I *4493:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4520:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4495:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4544:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4531:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4492:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4493:A2 0.000212716
2 *4520:A2 2.64699e-05
3 *4495:A 0
4 *4544:A2 0.000663145
5 *4531:A2 0.00014135
6 *4492:X 0
7 *466:41 0.00119221
8 *466:10 0.00084526
9 *466:6 0.000166021
10 *466:4 0.00107828
11 *4493:A2 *4493:A1 7.80789e-05
12 *4493:A2 *4511:B 0.00017504
13 *4493:A2 *490:16 0
14 *4493:A2 *490:30 0.000341516
15 *4493:A2 *561:26 0
16 *4520:A2 *490:30 2.65667e-05
17 *4531:A2 *4542:A 0.000211492
18 *4544:A2 *4542:A 9.55447e-05
19 *4544:A2 *4544:A1 0.000196623
20 *4544:A2 *610:5 6.50727e-05
21 *4544:A2 *827:27 7.03358e-05
22 *4544:A2 *827:31 1.00846e-05
23 *4544:A2 *1023:18 0
24 *466:6 *4512:B 0.00037072
25 *466:6 *827:52 0.000372872
26 *466:10 *4512:B 0.000153225
27 *466:10 *827:52 0.000153225
28 *466:41 *4355:A 3.55968e-05
29 *466:41 *4511:B 1.38521e-05
30 *466:41 *4514:C1 4.69495e-06
31 *466:41 *4520:A1 0.000595142
32 *466:41 *4520:B2 4.84618e-05
33 *466:41 *4537:B1 4.69495e-06
34 *466:41 *481:27 0
35 *466:41 *484:12 3.88655e-06
36 *466:41 *486:23 2.32143e-05
37 *466:41 *487:8 3.33173e-06
38 *466:41 *827:64 5.92798e-05
39 *466:41 *1019:25 2.02035e-05
40 *4515:A *4544:A2 7.84205e-05
41 *4515:A *466:41 9.21233e-05
42 *4848:D *466:41 0.000151395
43 *214:30 *466:41 0
44 *370:8 *466:41 0
45 *370:26 *466:41 0.000446971
46 *464:25 *466:41 0.000140457
*RES
1 *4492:X *466:4 9.24915
2 *466:4 *466:6 11.315
3 *466:6 *466:10 7.1625
4 *466:10 *4531:A2 11.6364
5 *466:10 *4544:A2 31.5024
6 *466:6 *4495:A 13.7491
7 *466:4 *466:41 30.2518
8 *466:41 *4520:A2 14.4725
9 *466:41 *4493:A2 21.7122
*END
*D_NET *467 0.0072322
*CONN
*I *4494:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4493:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4494:B1 0.000595206
2 *4493:X 0.00101909
3 *467:17 0.00161429
4 *4494:B1 *4483:A 3.74433e-05
5 *4494:B1 *4488:A 1.59945e-05
6 *4494:B1 *4496:A_N 9.90599e-06
7 *4494:B1 *470:8 0.000402356
8 *467:17 *4487:A 7.60356e-05
9 *467:17 *4496:D 0.000413252
10 *467:17 *4505:A2 0.000311249
11 *467:17 *479:16 7.60356e-05
12 *467:17 *561:19 0.00123053
13 *4489:A2 *4494:B1 0.000321309
14 *4494:A1 *4494:B1 3.8122e-05
15 *4494:A2 *4494:B1 6.08467e-05
16 *4844:D *467:17 7.86024e-05
17 *240:13 *4494:B1 3.14978e-05
18 *240:13 *467:17 0.000283698
19 *332:41 *4494:B1 8.35409e-05
20 *334:32 *4494:B1 0
21 *436:59 *467:17 0.000431635
22 *441:11 *4494:B1 2.01653e-05
23 *460:8 *4494:B1 8.14007e-05
*RES
1 *4493:X *467:17 48.405
2 *467:17 *4494:B1 31.6325
*END
*D_NET *468 0.00763732
*CONN
*I *4532:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4545:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4527:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4514:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4507:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4495:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4532:A1 0
2 *4545:A1 0.00014664
3 *4527:A2 0.000101329
4 *4514:A2 0.000151253
5 *4507:A2 0.000384406
6 *4495:X 0
7 *468:47 0.000482814
8 *468:12 0.000719386
9 *468:8 0.000648945
10 *468:4 0.000700062
11 *4507:A2 *4507:C1 7.47061e-05
12 *4507:A2 *4516:B 0.000201488
13 *4507:A2 *470:34 7.39264e-05
14 *4507:A2 *492:16 0.000111722
15 *4514:A2 *4512:B 4.55115e-05
16 *4514:A2 *4514:B1 3.0814e-05
17 *4514:A2 *4518:A3 7.08433e-05
18 *4514:A2 *484:33 0.000191287
19 *4527:A2 *4527:B1 3.15947e-05
20 *4527:A2 *4527:C1 1.99131e-05
21 *4545:A1 *4361:A 6.08467e-05
22 *4545:A1 *4545:A2 3.77804e-05
23 *4545:A1 *4545:B1 0.00011818
24 *468:8 *4512:B 4.73562e-05
25 *468:8 *4532:A2 0.000149628
26 *468:8 *4542:A 2.16355e-05
27 *468:8 *486:19 9.97297e-06
28 *468:8 *827:52 0.000540783
29 *468:12 *4512:B 0.000155841
30 *468:47 *4361:A 0.000150646
31 *468:47 *4542:A 3.31745e-05
32 *468:47 *484:12 8.61936e-05
33 *468:47 *486:19 0.000568098
34 *4515:A *468:47 2.18741e-05
35 *4544:B1 *4545:A1 2.16355e-05
36 *4544:B1 *468:47 6.23875e-05
37 *4848:D *4527:A2 6.23875e-05
38 *4849:D *468:47 0.000284033
39 *4851:D *4545:A1 9.24241e-05
40 *359:15 *4507:A2 0.000347214
41 *442:27 *4507:A2 2.82745e-05
42 *464:25 *4514:A2 3.88655e-06
43 *464:25 *468:8 0.000495438
44 *464:25 *468:12 5.09872e-05
*RES
1 *4495:X *468:4 9.24915
2 *468:4 *468:8 18.2672
3 *468:8 *468:12 4.2258
4 *468:12 *4507:A2 25.0341
5 *468:12 *4514:A2 18.0727
6 *468:8 *4527:A2 16.7392
7 *468:4 *468:47 22.6629
8 *468:47 *4545:A1 22.0825
9 *468:47 *4532:A1 9.24915
*END
*D_NET *469 0.00173458
*CONN
*I *4508:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4497:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4496:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *4508:B1 0.000396311
2 *4497:B1 0.000172979
3 *4496:X 0
4 *469:4 0.00056929
5 *4497:B1 *4487:A 1.94454e-05
6 *4497:B1 *4496:C 7.34948e-06
7 *4497:B1 *4496:D 0.000131653
8 *4497:B1 *561:19 0.000122083
9 *4508:B1 *4509:A2 1.30026e-05
10 *4508:A1 *4508:B1 0.00021688
11 *4508:A2 *4497:B1 5.04829e-06
12 *4508:A2 *4508:B1 8.05343e-05
*RES
1 *4496:X *469:4 9.24915
2 *469:4 *4497:B1 22.329
3 *469:4 *4508:B1 15.9526
*END
*D_NET *470 0.00844737
*CONN
*I *4516:B I *D sky130_fd_sc_hd__xor2_1
*I *4510:B I *D sky130_fd_sc_hd__xor2_1
*I *4521:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4498:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4497:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4516:B 0.000371682
2 *4510:B 0
3 *4521:B1 0
4 *4498:A 0.00025132
5 *4497:X 0.000656723
6 *470:34 0.000589254
7 *470:22 0.000527711
8 *470:8 0.00121818
9 *4498:A *4488:A 0
10 *4516:B *4355:A 0
11 *4516:B *482:26 7.62036e-05
12 *4516:B *484:33 0.000155821
13 *4516:B *827:64 2.57465e-06
14 *470:8 *4488:A 0
15 *470:8 *4496:A_N 0.000122083
16 *470:8 *561:19 0
17 *470:8 *999:34 6.08467e-05
18 *470:22 *4527:B1 0.000211201
19 *470:22 *4539:A 0.000220183
20 *470:22 *4540:B1 0.000670112
21 *470:34 *4527:B1 0.000110297
22 *470:34 *492:16 0.000107496
23 *470:34 *1016:24 0.000172302
24 *4490:A *4498:A 0.000111708
25 *4494:A2 *470:8 3.69003e-05
26 *4494:A2 *470:22 0.000308881
27 *4494:B1 *470:8 0.000402356
28 *4500:A *4498:A 0.000334153
29 *4500:A *470:8 0.000469639
30 *4501:C1 *470:8 2.09085e-05
31 *4507:A2 *4516:B 0.000201488
32 *4507:A2 *470:34 7.39264e-05
33 *4521:A2 *470:22 4.31603e-06
34 *4521:A2 *470:34 1.61631e-05
35 *4848:D *4516:B 8.3124e-05
36 *240:13 *470:8 6.49003e-05
37 *331:91 *470:34 0.000180134
38 *359:15 *4516:B 5.73392e-05
39 *441:11 *470:8 1.66771e-05
40 *442:27 *4516:B 7.31835e-05
41 *442:27 *470:34 0.000117097
42 *462:8 *4498:A 0.000158371
43 *462:28 *470:8 0
44 *464:10 *4498:A 2.41483e-05
45 *464:25 *4516:B 0.000167965
*RES
1 *4497:X *470:8 32.0869
2 *470:8 *4498:A 21.9815
3 *470:8 *470:22 18.0034
4 *470:22 *4521:B1 9.24915
5 *470:22 *470:34 17.2674
6 *470:34 *4510:B 9.24915
7 *470:34 *4516:B 30.0371
*END
*D_NET *471 0.00735174
*CONN
*I *4499:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4536:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4523:B I *D sky130_fd_sc_hd__xor2_1
*I *4528:B I *D sky130_fd_sc_hd__xor2_1
*I *4534:B I *D sky130_fd_sc_hd__and2_1
*I *4498:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4499:A 0.00015276
2 *4536:B1 0.000775627
3 *4523:B 0
4 *4528:B 0
5 *4534:B 0
6 *4498:X 0.000160752
7 *471:33 0.000963707
8 *471:20 0.000413462
9 *471:12 0.000454791
10 *471:8 0.000542921
11 *4499:A *4500:B 6.92705e-05
12 *4499:A *4540:A2 1.21461e-06
13 *4499:A *472:15 0.000629879
14 *4536:B1 *4351:A 1.61631e-05
15 *4536:B1 *4530:A 0.000318111
16 *4536:B1 *4537:B1 3.5534e-06
17 *4536:B1 *493:7 0.000164044
18 *471:8 *495:25 0.000207931
19 *471:8 *1022:20 5.1493e-06
20 *471:12 *4535:A 0
21 *471:12 *4541:B1 4.6905e-05
22 *471:12 *495:25 0.000128815
23 *471:12 *1022:20 0.000128857
24 *471:20 *4534:A 0.000118166
25 *471:20 *4543:A 4.87198e-05
26 *471:20 *472:19 0.000220356
27 *471:20 *472:22 2.95757e-05
28 *471:20 *497:8 0
29 *471:20 *502:5 2.44829e-05
30 *471:20 *1022:20 0
31 *471:33 *4529:A2 0
32 *471:33 *472:19 4.31324e-06
33 *471:33 *493:12 0.00016034
34 *471:33 *497:8 0
35 *4369:B2 *4536:B1 3.46206e-05
36 *4372:A2 *4536:B1 0.000104731
37 *4500:A *4499:A 0.000228593
38 *4515:A *4536:B1 0
39 *4523:A *4536:B1 0.000111802
40 *331:91 *471:20 0
41 *344:73 *471:8 4.26431e-05
42 *464:10 *471:8 0.000446927
43 *464:10 *471:12 7.09666e-06
44 *464:11 *4536:B1 9.1844e-05
45 *465:8 *4536:B1 0.00049362
*RES
1 *4498:X *471:8 20.5992
2 *471:8 *471:12 10.8998
3 *471:12 *4534:B 9.24915
4 *471:12 *471:20 12.044
5 *471:20 *4528:B 13.7491
6 *471:20 *471:33 9.31204
7 *471:33 *4523:B 9.24915
8 *471:33 *4536:B1 39.4359
9 *471:8 *4499:A 21.1278
*END
*D_NET *472 0.00897285
*CONN
*I *4529:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4542:B I *D sky130_fd_sc_hd__xor2_1
*I *4533:B I *D sky130_fd_sc_hd__nor2_1
*I *4515:B I *D sky130_fd_sc_hd__nand2_1
*I *4500:B I *D sky130_fd_sc_hd__nor2_1
*I *4499:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4529:A2 0.000568907
2 *4542:B 0
3 *4533:B 0.000250733
4 *4515:B 0.00111872
5 *4500:B 0.000402795
6 *4499:X 0
7 *472:22 0.00145904
8 *472:19 0.00038999
9 *472:15 0.0013783
10 *472:4 0.000911789
11 *4500:B *4502:A 1.10375e-06
12 *4515:B *4543:A 3.31733e-05
13 *4515:B *4543:B 0
14 *4529:A2 *4537:A2 0
15 *4529:A2 *4537:A3 0.000519422
16 *4529:A2 *4540:A2 7.50872e-05
17 *4529:A2 *493:12 0
18 *4529:A2 *1022:20 4.37487e-05
19 *4533:B *4533:A 0.000118166
20 *4533:B *4535:A 6.92705e-05
21 *472:15 *4540:A2 1.75637e-06
22 *472:19 *1022:20 0.000112353
23 *472:22 *4533:A 0
24 *472:22 *4543:A 0.000175689
25 *4499:A *4500:B 6.92705e-05
26 *4499:A *472:15 0.000629879
27 *4500:A *4500:B 0.000185642
28 *4515:A *4515:B 4.19401e-06
29 *4523:A *4529:A2 1.65872e-05
30 *4851:D *4515:B 4.19401e-06
31 *331:91 *4515:B 0
32 *370:30 *4529:A2 1.39501e-05
33 *464:11 *472:15 0.000164829
34 *471:20 *472:19 0.000220356
35 *471:20 *472:22 2.95757e-05
36 *471:33 *4529:A2 0
37 *471:33 *472:19 4.31324e-06
*RES
1 *4499:X *472:4 9.24915
2 *472:4 *4500:B 16.5072
3 *472:4 *472:15 13.5424
4 *472:15 *472:19 12.4565
5 *472:19 *472:22 7.57775
6 *472:22 *4515:B 29.6971
7 *472:22 *4533:B 20.0427
8 *472:19 *4542:B 9.24915
9 *472:15 *4529:A2 25.0548
*END
*D_NET *473 0.000905288
*CONN
*I *4502:A I *D sky130_fd_sc_hd__nor2_1
*I *4500:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4502:A 0.000452092
2 *4500:Y 0.000452092
3 *4502:A *4358:A 0
4 *4500:B *4502:A 1.10375e-06
5 *334:32 *4502:A 0
*RES
1 *4500:Y *4502:A 36.0094
*END
*D_NET *474 0.00651025
*CONN
*I *4509:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4502:B I *D sky130_fd_sc_hd__nor2_1
*I *4501:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4509:B1 0.000782118
2 *4502:B 0.00129925
3 *4501:X 0
4 *474:4 0.00208137
5 *4502:B *4358:A 0
6 *4502:B *4485:B 6.08232e-05
7 *4502:B *4505:A2 9.54335e-06
8 *4502:B *4517:B1 0
9 *4502:B *819:9 0.000265436
10 *4502:B *999:17 5.22654e-06
11 *4502:B *1016:54 3.82228e-05
12 *4509:B1 *4517:A2 2.15184e-05
13 *4509:B1 *486:39 0.000595444
14 *4509:B1 *488:16 0
15 *4456:A *4502:B 1.58551e-05
16 *4501:A1 *4502:B 0.000121067
17 *4501:A2 *4502:B 2.65689e-05
18 *4501:A2 *4509:B1 2.23124e-05
19 *4501:B2 *4502:B 6.21526e-05
20 *4875:D *4502:B 5.56461e-05
21 *214:30 *4509:B1 0
22 *331:91 *4509:B1 0.00023022
23 *334:32 *4502:B 0.000644519
24 *360:12 *4502:B 2.46841e-05
25 *441:11 *4502:B 0.000111722
26 *441:21 *4509:B1 3.6549e-05
27 *442:38 *4502:B 0
*RES
1 *4501:X *474:4 9.24915
2 *474:4 *4502:B 48.0169
3 *474:4 *4509:B1 32.585
*END
*D_NET *475 0.0132323
*CONN
*I *4503:B I *D sky130_fd_sc_hd__or2_1
*I *4504:B I *D sky130_fd_sc_hd__nand2_1
*I *4502:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4503:B 0
2 *4504:B 7.09481e-05
3 *4502:Y 0
4 *475:40 0.00206283
5 *475:22 0.00161732
6 *475:4 0.0036092
7 *4504:B *4504:A 0.000167076
8 *4504:B *477:19 7.92757e-06
9 *4504:B *624:7 0.000264586
10 *475:22 *4686:A 0
11 *475:22 *4687:A 6.71124e-05
12 *475:22 *4687:B 6.50586e-05
13 *475:22 *4689:B 2.09495e-05
14 *475:22 *624:8 0.000108023
15 *475:22 *624:17 0.000143995
16 *475:22 *819:9 0.00172569
17 *475:22 *825:27 0
18 *475:22 *825:29 0.000153796
19 *475:22 *825:47 0.000303621
20 *475:22 *891:8 0
21 *475:40 *4505:A2 0.000272808
22 *475:40 *4539:A 2.32954e-05
23 *475:40 *479:16 0
24 *475:40 *819:9 0.00139426
25 *475:40 *1022:20 9.21574e-05
26 *475:40 *1028:16 0
27 *4489:A1 *475:40 0
28 *4489:B1 *475:40 0.000340225
29 *4505:B1 *475:40 7.30155e-05
30 *4876:D *475:22 4.09467e-05
31 *4878:D *4504:B 7.97098e-06
32 *344:69 *475:22 1.98557e-05
33 *346:80 *475:22 0
34 *442:41 *475:22 0.000107496
35 *459:21 *475:40 0
36 *461:8 *475:40 0.000432479
37 *462:8 *475:40 0
38 *465:16 *475:40 3.96199e-05
*RES
1 *4502:Y *475:4 9.24915
2 *475:4 *475:22 49.6634
3 *475:22 *4504:B 17.2697
4 *475:4 *475:40 48.8329
5 *475:40 *4503:B 13.7491
*END
*D_NET *476 0.00122713
*CONN
*I *4505:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4503:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4505:A1 0.000454404
2 *4503:X 0.000454404
3 *4505:A1 *4503:A 0.000217937
4 *4505:A1 *479:16 9.61828e-05
5 *4482:A *4505:A1 4.20184e-06
6 *331:91 *4505:A1 0
*RES
1 *4503:X *4505:A1 35.87
*END
*D_NET *477 0.00815249
*CONN
*I *4505:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4504:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4505:A2 0.000936771
2 *4504:Y 0.00128345
3 *477:19 0.00222022
4 *4505:A2 *4496:D 4.42987e-06
5 *4505:A2 *1016:54 0.000114662
6 *477:19 *4504:A 0.0010934
7 *477:19 *998:8 0.000169733
8 *477:19 *1016:54 9.59122e-05
9 *4456:A *4505:A2 0.000260388
10 *4497:A2 *4505:A2 0.000163997
11 *4501:A2 *4505:A2 6.50727e-05
12 *4501:B2 *4505:A2 0.00040678
13 *4502:B *4505:A2 9.54335e-06
14 *4504:B *477:19 7.92757e-06
15 *4505:B1 *4505:A2 0.000213739
16 *4844:D *4505:A2 0.000117606
17 *4878:D *477:19 0.000217937
18 *436:59 *4505:A2 8.07194e-05
19 *459:40 *4505:A2 6.19246e-05
20 *462:28 *4505:A2 4.42142e-05
21 *467:17 *4505:A2 0.000311249
22 *475:40 *4505:A2 0.000272808
*RES
1 *4504:Y *477:19 37.1963
2 *477:19 *4505:A2 46.2365
*END
*D_NET *478 0.00220782
*CONN
*I *4507:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4505:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4507:B1 0.000652976
2 *4505:X 0.000652976
3 *4507:B1 *4508:C1 0.000129229
4 *4507:B1 *479:16 0.000336084
5 *4507:B1 *479:18 0.000165084
6 *4507:B1 *479:25 5.77352e-05
7 *4507:B1 *1016:14 0
8 *4507:B1 *1016:24 0
9 *4844:D *4507:B1 0.000213739
*RES
1 *4505:X *4507:B1 42.514
*END
*D_NET *479 0.0171447
*CONN
*I *4570:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4514:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4507:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4527:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4540:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4506:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4570:C1 0.00118163
2 *4514:C1 0.000633938
3 *4507:C1 7.31364e-05
4 *4527:C1 0.000547902
5 *4540:C1 1.80357e-05
6 *4506:X 0.000902039
7 *479:25 0.000879704
8 *479:18 0.000470354
9 *479:16 0.00146159
10 *479:11 0.00282787
11 *4514:C1 *4357:A 0.000115934
12 *4514:C1 *4517:B1 0.000245244
13 *4514:C1 *486:23 1.5714e-05
14 *4527:C1 *4512:A 2.43314e-05
15 *4527:C1 *4512:B 1.10925e-05
16 *4527:C1 *4514:B1 0.00020181
17 *4527:C1 *4527:B1 0.000122294
18 *4527:C1 *481:8 0.000182837
19 *4527:C1 *481:27 6.08467e-05
20 *4527:C1 *819:9 0.000231067
21 *4527:C1 *1022:48 0.000155206
22 *4540:C1 *4540:A2 9.51479e-06
23 *4570:C1 *4385:B1 0
24 *4570:C1 *4387:A2 1.64462e-05
25 *4570:C1 *4586:A1 2.57847e-05
26 *4570:C1 *4596:A2 0
27 *4570:C1 *560:20 2.96378e-05
28 *4570:C1 *831:27 6.36477e-05
29 *4570:C1 *1001:56 0
30 *4570:C1 *1009:27 0.000272314
31 *4570:C1 *1009:57 5.46401e-05
32 *4570:C1 *1041:8 0.000190042
33 *479:11 *4503:A 0.000224381
34 *479:11 *4549:B 0.000113968
35 *479:11 *4678:A 0.000223689
36 *479:11 *4678:B 0.000164829
37 *479:11 *513:22 0.000719022
38 *479:11 *514:16 6.56723e-05
39 *479:11 *623:24 0.0002646
40 *479:16 *4487:A 8.79679e-05
41 *479:25 *4522:B1 7.18816e-06
42 *479:25 *4526:A2 5.75395e-05
43 *479:25 *4540:A2 1.61631e-05
44 *479:25 *4540:B1 0.000108145
45 *479:25 *819:9 3.7124e-05
46 *479:25 *1016:24 0
47 *479:25 *1022:48 0.000161243
48 *4380:A *4570:C1 5.1493e-06
49 *4386:A1 *4570:C1 0
50 *4388:B2 *4570:C1 0.000127189
51 *4439:A2 *4570:C1 0.000171081
52 *4442:B *4570:C1 0.000103002
53 *4494:A1 *479:25 5.68225e-06
54 *4505:A1 *479:16 9.61828e-05
55 *4505:B1 *479:16 0
56 *4505:B1 *479:25 0
57 *4507:A1 *479:16 7.93333e-05
58 *4507:A1 *479:18 0.000157868
59 *4507:A1 *479:25 5.61855e-05
60 *4507:A2 *4507:C1 7.47061e-05
61 *4507:B1 *479:16 0.000336084
62 *4507:B1 *479:18 0.000165084
63 *4507:B1 *479:25 5.77352e-05
64 *4513:B1 *4527:C1 6.08467e-05
65 *4527:A2 *4527:C1 1.99131e-05
66 *4827:D *479:11 0.000210603
67 *331:91 *4570:C1 0
68 *331:91 *479:16 0
69 *349:31 *479:11 0.000132235
70 *361:8 *479:16 7.00663e-05
71 *367:20 *4514:C1 0.000588087
72 *413:23 *479:11 0.000161234
73 *431:17 *4570:C1 0.000362318
74 *431:17 *479:11 6.50586e-05
75 *432:12 *479:11 0.000145406
76 *438:37 *479:11 0.000117361
77 *441:11 *4514:C1 9.67269e-05
78 *441:43 *4514:C1 0.000145689
79 *442:27 *4507:C1 6.53312e-05
80 *464:25 *4514:C1 0.000111708
81 *466:41 *4514:C1 4.69495e-06
82 *467:17 *479:16 7.60356e-05
83 *475:40 *479:16 0
*RES
1 *4506:X *479:11 46.8325
2 *479:11 *479:16 17.062
3 *479:16 *479:18 3.28538
4 *479:18 *479:25 13.7263
5 *479:25 *4540:C1 9.82786
6 *479:25 *4527:C1 33.3271
7 *479:18 *4507:C1 16.7392
8 *479:16 *4514:C1 24.029
9 *479:11 *4570:C1 41.0243
*END
*D_NET *480 0.00110033
*CONN
*I *4509:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4508:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4509:A2 0.000331827
2 *4508:X 0.000331827
3 *4509:A2 *4487:A 6.50586e-05
4 *4509:A2 *4509:A1 8.39059e-05
5 *4509:A2 *481:8 0.000108054
6 *4508:A1 *4509:A2 5.53426e-05
7 *4508:A2 *4509:A2 0.000111316
8 *4508:B1 *4509:A2 1.30026e-05
*RES
1 *4508:X *4509:A2 28.1195
*END
*D_NET *481 0.0098488
*CONN
*I *4511:A I *D sky130_fd_sc_hd__or2_1
*I *4522:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4512:A I *D sky130_fd_sc_hd__nand2_1
*I *4509:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4511:A 0.000362579
2 *4522:A1 0
3 *4512:A 0.000424468
4 *4509:X 0.000763228
5 *481:27 0.00119764
6 *481:8 0.00202275
7 *4511:A *4511:B 0.000224395
8 *4511:A *4522:B1 9.12416e-06
9 *4511:A *4844:CLK 0.000377707
10 *4511:A *483:8 0
11 *4511:A *827:67 0.00018037
12 *4512:A *4512:B 0.000217664
13 *4512:A *4545:A2 2.65831e-05
14 *4512:A *1016:24 0.000840168
15 *481:8 *4514:B1 1.3857e-05
16 *481:8 *4520:B1 4.58003e-05
17 *481:8 *488:16 0.000108499
18 *481:27 *4513:A2 0.000233813
19 *481:27 *4514:B1 0.000111722
20 *481:27 *4522:B1 0.000256882
21 *481:27 *5144:A 6.37267e-05
22 *481:27 *483:8 0
23 *481:27 *487:8 5.48536e-05
24 *481:27 *819:9 1.46936e-05
25 *481:27 *827:64 7.92757e-06
26 *481:27 *827:67 0.000173518
27 *4509:A2 *481:8 0.000108054
28 *4513:B1 *4512:A 2.57465e-06
29 *4513:B1 *481:27 1.65872e-05
30 *4514:A1 *481:8 3.29488e-05
31 *4515:A *4512:A 1.91391e-05
32 *4515:A *481:8 0.000117121
33 *4527:A1 *4512:A 2.22492e-05
34 *4527:C1 *4512:A 2.43314e-05
35 *4527:C1 *481:8 0.000182837
36 *4527:C1 *481:27 6.08467e-05
37 *4544:B1 *4512:A 0.000186445
38 *4847:D *4511:A 6.52156e-05
39 *214:30 *481:8 0.000512411
40 *367:21 *481:8 0.000159926
41 *367:23 *481:8 0.000167187
42 *370:8 *481:27 2.45002e-05
43 *436:55 *4511:A 8.5044e-05
44 *437:39 *4511:A 0
45 *465:8 *4512:A 0.000329413
46 *466:41 *481:27 0
*RES
1 *4509:X *481:8 33.4748
2 *481:8 *4512:A 31.6689
3 *481:8 *481:27 27.6812
4 *481:27 *4522:A1 13.7491
5 *481:27 *4511:A 27.3826
*END
*D_NET *482 0.00745076
*CONN
*I *4511:B I *D sky130_fd_sc_hd__or2_1
*I *4522:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4512:B I *D sky130_fd_sc_hd__nand2_1
*I *4510:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4511:B 0.000335554
2 *4522:A2 8.62224e-05
3 *4512:B 0.000805926
4 *4510:X 0
5 *482:26 0.000699423
6 *482:4 0.00108357
7 *4511:B *4493:A1 2.95757e-05
8 *4511:B *4520:A1 0.000279458
9 *4511:B *4844:CLK 0.000249561
10 *4511:B *827:67 5.76738e-05
11 *4511:B *1016:5 6.08467e-05
12 *4511:B *1016:7 6.08467e-05
13 *4512:B *4514:B1 0.000278041
14 *4512:B *4532:B1 9.24241e-05
15 *4512:B *4545:A2 4.41134e-05
16 *4512:B *4826:CLK 0
17 *4512:B *484:12 0.000154145
18 *4512:B *827:34 1.90335e-05
19 *4512:B *827:52 0.000113913
20 *4522:A2 *4357:A 2.09034e-05
21 *482:26 *4355:A 0.000118166
22 *482:26 *4520:A1 0.000162669
23 *482:26 *4522:A3 6.65672e-05
24 *482:26 *487:8 7.00554e-05
25 *482:26 *827:64 5.47093e-05
26 *482:26 *827:67 9.22013e-06
27 *4493:A2 *4511:B 0.00017504
28 *4511:A *4511:B 0.000224395
29 *4512:A *4512:B 0.000217664
30 *4513:B1 *4512:B 2.88865e-05
31 *4514:A2 *4512:B 4.55115e-05
32 *4516:B *482:26 7.62036e-05
33 *4527:C1 *4512:B 1.10925e-05
34 *4544:B1 *4512:B 0.000160384
35 *359:15 *482:26 2.77625e-06
36 *436:55 *4511:B 2.77625e-06
37 *442:27 *482:26 0.000502138
38 *465:8 *4512:B 0.000310275
39 *466:6 *4512:B 0.00037072
40 *466:10 *4512:B 0.000153225
41 *466:41 *4511:B 1.38521e-05
42 *468:8 *4512:B 4.73562e-05
43 *468:12 *4512:B 0.000155841
*RES
1 *4510:X *482:4 9.24915
2 *482:4 *4512:B 45.6833
3 *482:4 *482:26 14.2865
4 *482:26 *4522:A2 15.6059
5 *482:26 *4511:B 26.6914
*END
*D_NET *483 0.00481756
*CONN
*I *4513:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4511:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4513:A1 0.000728418
2 *4511:X 0.000713761
3 *483:8 0.00144218
4 *4513:A1 *5144:A 0.000623933
5 *4513:A1 *819:9 0.000393863
6 *483:8 *4522:B1 0
7 *4363:A1 *483:8 0.000256553
8 *4371:C1 *483:8 5.68404e-05
9 *4511:A *483:8 0
10 *4846:D *483:8 0
11 *4847:D *483:8 0
12 *4848:D *4513:A1 1.87611e-05
13 *363:31 *483:8 0.000470175
14 *370:8 *483:8 0
15 *437:39 *483:8 0.000113077
16 *481:27 *483:8 0
*RES
1 *4511:X *483:8 38.3522
2 *483:8 *4513:A1 21.6192
*END
*D_NET *484 0.012923
*CONN
*I *4518:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4517:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4513:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4512:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4518:A2 0
2 *4517:A2 0.000846078
3 *4513:A2 0.000104815
4 *4512:Y 0.000934523
5 *484:33 0.00143497
6 *484:12 0.00162823
7 *4513:A2 *5144:A 0
8 *4513:A2 *819:9 1.65872e-05
9 *4513:A2 *827:52 0.000200794
10 *4513:A2 *827:64 1.41976e-05
11 *4517:A2 *4359:A 6.50586e-05
12 *4517:A2 *4485:A_N 3.71274e-05
13 *4517:A2 *4508:C1 5.51483e-06
14 *4517:A2 *4517:B1 3.67528e-06
15 *4517:A2 *4518:A3 6.50727e-05
16 *4517:A2 *4700:A1 0
17 *4517:A2 *486:39 0.000756189
18 *4517:A2 *490:16 0.00164202
19 *4517:A2 *561:19 0.000137089
20 *4517:A2 *1017:10 9.7112e-06
21 *484:12 *4826:CLK 0.000304791
22 *484:12 *486:19 0.000211812
23 *484:12 *827:64 4.76283e-05
24 *484:33 *4518:A3 5.59907e-05
25 *484:33 *486:19 0.000135099
26 *484:33 *486:23 3.42406e-05
27 *484:33 *827:64 0.000271124
28 *4494:A1 *484:33 2.37709e-05
29 *4505:B1 *4517:A2 4.23622e-05
30 *4509:B1 *4517:A2 2.15184e-05
31 *4512:B *484:12 0.000154145
32 *4514:A2 *484:33 0.000191287
33 *4515:A *484:12 0.000792754
34 *4516:B *484:33 0.000155821
35 *4848:D *484:12 4.3116e-06
36 *4849:D *484:12 9.24241e-05
37 *214:27 *484:33 0.000189208
38 *214:30 *484:33 0
39 *361:8 *4517:A2 6.08467e-05
40 *361:51 *4517:A2 0.000781637
41 *441:11 *4517:A2 0.000889171
42 *464:25 *484:33 0.000237564
43 *466:41 *484:12 3.88655e-06
44 *468:47 *484:12 8.61936e-05
45 *481:27 *4513:A2 0.000233813
*RES
1 *4512:Y *484:12 37.85
2 *484:12 *4513:A2 17.8484
3 *484:12 *484:33 24.7317
4 *484:33 *4517:A2 45.8996
5 *484:33 *4518:A2 9.24915
*END
*D_NET *485 0.00236924
*CONN
*I *4514:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4513:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4514:B1 0.000659787
2 *4513:X 0.000659787
3 *4514:B1 *4357:A 1.98189e-05
4 *4514:B1 *4518:A3 0.000109395
5 *4512:B *4514:B1 0.000278041
6 *4514:A1 *4514:B1 1.33419e-05
7 *4514:A2 *4514:B1 3.0814e-05
8 *4527:C1 *4514:B1 0.00020181
9 *214:30 *4514:B1 0.000270866
10 *481:8 *4514:B1 1.3857e-05
11 *481:27 *4514:B1 0.000111722
*RES
1 *4513:X *4514:B1 42.8438
*END
*D_NET *486 0.0116466
*CONN
*I *4518:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4517:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4515:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4518:A1 0
2 *4517:A1 0
3 *4515:Y 0.00117503
4 *486:39 0.0013486
5 *486:23 0.00167604
6 *486:19 0.00150246
7 *486:19 *4358:A 5.60804e-05
8 *486:19 *4532:A2 0.000691748
9 *486:19 *4545:B1 0.000122378
10 *486:39 *4517:B1 0.000264539
11 *486:39 *4518:A3 6.50727e-05
12 *486:39 *4845:CLK 0.000883983
13 *486:39 *561:19 0.000152746
14 *486:39 *1017:10 8.5985e-05
15 *4494:A1 *486:19 0.000114394
16 *4500:A *486:39 1.40356e-05
17 *4505:B1 *486:39 4.30872e-05
18 *4507:A1 *486:39 1.0656e-05
19 *4509:B1 *486:39 0.000595444
20 *4514:C1 *486:23 1.5714e-05
21 *4515:A *486:19 6.23101e-05
22 *4517:A2 *486:39 0.000756189
23 *4851:D *486:19 4.37999e-05
24 *361:20 *486:39 0.000219926
25 *361:51 *486:23 0.000118166
26 *361:51 *486:39 7.68538e-06
27 *462:15 *486:39 3.38973e-05
28 *464:25 *486:19 0.000428726
29 *464:25 *486:23 0.000175422
30 *466:41 *486:23 2.32143e-05
31 *468:8 *486:19 9.97297e-06
32 *468:47 *486:19 0.000568098
33 *484:12 *486:19 0.000211812
34 *484:33 *486:19 0.000135099
35 *484:33 *486:23 3.42406e-05
*RES
1 *4515:Y *486:19 47.254
2 *486:19 *486:23 12.3854
3 *486:23 *486:39 44.5737
4 *486:39 *4517:A1 9.24915
5 *486:23 *4518:A1 9.24915
*END
*D_NET *487 0.00860511
*CONN
*I *4522:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4518:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4517:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4516:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4522:A3 4.77099e-05
2 *4518:A3 0.000172264
3 *4517:B1 0.00106402
4 *4516:X 0.00028062
5 *487:11 0.00137114
6 *487:8 0.000463178
7 *4517:B1 *4485:A_N 0
8 *4517:B1 *4485:B 0
9 *4517:B1 *4700:A1 0
10 *4517:B1 *4701:A1 1.32509e-05
11 *4517:B1 *561:19 0.000234754
12 *4522:A3 *827:67 7.85226e-05
13 *487:8 *4520:A1 5.90269e-05
14 *487:8 *827:67 0.000119536
15 *487:8 *1019:22 0.000113968
16 *487:8 *1019:25 5.39635e-06
17 *4494:A1 *4517:B1 0.00118455
18 *4494:A1 *487:11 0.000615744
19 *4502:B *4517:B1 0
20 *4514:A2 *4518:A3 7.08433e-05
21 *4514:B1 *4518:A3 0.000109395
22 *4514:C1 *4517:B1 0.000245244
23 *4517:A2 *4517:B1 3.67528e-06
24 *4517:A2 *4518:A3 6.50727e-05
25 *214:30 *4518:A3 4.32126e-05
26 *361:20 *4517:B1 1.65711e-05
27 *367:20 *4517:B1 0.000254083
28 *367:20 *487:11 0.00064168
29 *441:11 *4517:B1 1.3015e-06
30 *442:27 *4517:B1 0.000730839
31 *442:38 *4517:B1 1.9101e-05
32 *466:41 *487:8 3.33173e-06
33 *481:27 *487:8 5.48536e-05
34 *482:26 *4522:A3 6.65672e-05
35 *482:26 *487:8 7.00554e-05
36 *484:33 *4518:A3 5.59907e-05
37 *486:39 *4517:B1 0.000264539
38 *486:39 *4518:A3 6.50727e-05
*RES
1 *4516:X *487:8 20.804
2 *487:8 *487:11 4.26006
3 *487:11 *4517:B1 22.8462
4 *487:11 *4518:A3 22.3725
5 *487:8 *4522:A3 15.3735
*END
*D_NET *488 0.0062362
*CONN
*I *4520:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4517:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4520:B1 0.000245736
2 *4517:Y 0.00181028
3 *488:16 0.00205601
4 *4520:B1 *4520:A1 0
5 *4520:B1 *490:30 9.94592e-05
6 *488:16 *4357:A 5.73392e-05
7 *488:16 *4485:A_N 2.41483e-05
8 *488:16 *4485:B 0.000264586
9 *488:16 *4845:CLK 4.65017e-05
10 *488:16 *492:16 0.000107496
11 *488:16 *1016:54 0.000682834
12 *4509:B1 *488:16 0
13 *4514:A1 *488:16 3.22927e-05
14 *4845:D *488:16 5.36789e-05
15 *214:30 *488:16 8.28859e-06
16 *359:15 *488:16 6.62712e-05
17 *367:21 *488:16 3.74542e-05
18 *441:21 *488:16 3.60843e-05
19 *459:7 *488:16 0.000453443
20 *481:8 *4520:B1 4.58003e-05
21 *481:8 *488:16 0.000108499
*RES
1 *4517:Y *488:16 49.6795
2 *488:16 *4520:B1 20.2115
*END
*D_NET *489 0.00106155
*CONN
*I *4520:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4518:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4520:B2 0.000300905
2 *4518:X 0.000300905
3 *4520:B2 *490:16 2.33595e-05
4 *361:51 *4520:B2 0.000387915
5 *466:41 *4520:B2 4.84618e-05
*RES
1 *4518:X *4520:B2 33.7966
*END
*D_NET *490 0.0275202
*CONN
*I *4669:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4655:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4640:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4646:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4520:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4519:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4669:C1 0.00111041
2 *4655:C1 0.000671002
3 *4640:C1 0
4 *4646:C1 5.01165e-05
5 *4520:C1 0
6 *4519:X 0.00181336
7 *490:55 0.00152584
8 *490:36 0.000950597
9 *490:33 0.00235463
10 *490:30 0.00275418
11 *490:16 0.00336896
12 *4646:C1 *4644:C 6.08467e-05
13 *4646:C1 *4646:A1 1.98354e-05
14 *4646:C1 *4646:B1 1.18748e-05
15 *4646:C1 *596:11 3.64255e-05
16 *4646:C1 *612:73 6.08467e-05
17 *4655:C1 *4655:A2 0.000225627
18 *4655:C1 *4655:B1 0.000153225
19 *4655:C1 *5078:A 7.90067e-06
20 *4655:C1 *576:15 7.74511e-05
21 *4655:C1 *602:10 7.19245e-05
22 *4655:C1 *605:20 0
23 *4669:C1 *4666:A1 5.6234e-05
24 *4669:C1 *576:31 1.27071e-05
25 *4669:C1 *576:46 3.31733e-05
26 *4669:C1 *592:64 5.15507e-05
27 *4669:C1 *1006:57 2.54507e-05
28 *4669:C1 *1037:24 5.59357e-05
29 *490:16 *4485:A_N 0.000126733
30 *490:16 *4504:A 6.44576e-05
31 *490:16 *4700:A1 0
32 *490:16 *1016:54 0.000216844
33 *490:30 *4454:A 0
34 *490:30 *4609:A2 4.35192e-05
35 *490:30 *4670:B1 0.000160966
36 *490:30 *580:45 0.000201141
37 *490:30 *1013:8 0.000356727
38 *490:30 *1044:10 0.000141579
39 *490:30 *1044:19 0.000127395
40 *490:30 *1045:53 0
41 *490:33 *580:33 0.000133413
42 *490:33 *583:52 1.00725e-05
43 *490:33 *592:64 0.000699691
44 *490:33 *612:73 0.000450956
45 *490:33 *616:49 0.00124432
46 *490:33 *1001:40 0.000306145
47 *490:36 *4644:A 5.74949e-05
48 *490:36 *612:73 1.5714e-05
49 *490:55 *4644:A 8.62625e-06
50 *490:55 *4644:B 0.000458051
51 *490:55 *4644:C 1.5714e-05
52 *490:55 *4646:A1 1.56321e-05
53 *490:55 *4648:B1 0.000120583
54 *490:55 *574:81 0
55 *490:55 *576:15 0.000328067
56 *490:55 *579:90 0.000156005
57 *490:55 *580:16 1.79334e-05
58 *490:55 *587:82 1.19375e-05
59 *490:55 *602:10 0.000176237
60 *490:55 *855:14 6.46117e-05
61 *490:55 *1003:26 0.000361752
62 *4390:C1 *490:30 0.000108553
63 *4451:B *490:30 8.47284e-05
64 *4459:B1 *4669:C1 0
65 *4481:A2 *4669:C1 0.000297357
66 *4493:A2 *490:16 0
67 *4493:A2 *490:30 0.000341516
68 *4493:B1 *490:30 0.000141909
69 *4517:A2 *490:16 0.00164202
70 *4520:A2 *490:30 2.65667e-05
71 *4520:B1 *490:30 9.94592e-05
72 *4520:B2 *490:16 2.33595e-05
73 *4671:A *4669:C1 2.93365e-05
74 *4700:C1 *490:16 0
75 *4832:D *490:30 9.60216e-05
76 *4881:D *490:16 0.000238197
77 *38:17 *4669:C1 0
78 *214:30 *490:16 0
79 *214:30 *490:30 0
80 *329:108 *490:55 6.97627e-05
81 *332:41 *490:16 0.000893015
82 *334:84 *490:55 9.12416e-06
83 *334:91 *490:55 0.000125921
84 *346:102 *490:55 8.21849e-06
85 *350:9 *490:30 7.12632e-06
86 *397:15 *490:55 0.000409133
87 *419:33 *490:33 0.000326719
88 *434:48 *490:30 2.692e-05
89 *439:16 *490:30 0
90 *441:11 *490:16 0.000246592
91 *441:43 *490:16 0.000256437
92 *443:25 *4669:C1 5.95083e-05
93 *443:25 *490:33 0.000101323
94 *454:41 *490:30 8.38007e-05
95 *457:80 *490:30 0.000115165
*RES
1 *4519:X *490:16 45.1847
2 *490:16 *4520:C1 9.24915
3 *490:16 *490:30 47.9214
4 *490:30 *490:33 7.54914
5 *490:33 *490:36 4.5779
6 *490:36 *4646:C1 15.847
7 *490:36 *490:55 30.0885
8 *490:55 *4640:C1 13.7491
9 *490:55 *4655:C1 35.3162
10 *490:33 *4669:C1 25.6547
*END
*D_NET *491 0.00442282
*CONN
*I *4522:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4521:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4522:B1 0.000797724
2 *4521:X 0.000797724
3 *4522:B1 *4521:A1 0.000900762
4 *4522:B1 *1016:24 4.19401e-06
5 *4494:A1 *4522:B1 6.94411e-05
6 *4511:A *4522:B1 9.12416e-06
7 *4846:D *4522:B1 0.000137978
8 *214:27 *4522:B1 0.000919352
9 *360:12 *4522:B1 0.00052245
10 *479:25 *4522:B1 7.18816e-06
11 *481:27 *4522:B1 0.000256882
12 *483:8 *4522:B1 0
*RES
1 *4521:X *4522:B1 42.4571
*END
*D_NET *492 0.00982367
*CONN
*I *4524:A I *D sky130_fd_sc_hd__or2_1
*I *4525:A I *D sky130_fd_sc_hd__nand2_1
*I *4537:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4522:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4524:A 0.000182105
2 *4525:A 0.00124958
3 *4537:A1 0.000232006
4 *4522:X 0.000533604
5 *492:34 0.00167644
6 *492:17 0.00055216
7 *492:16 0.000609002
8 *4524:A *4524:B 0.000111722
9 *4524:A *4528:A 1.92172e-05
10 *4525:A *4541:A1 0.000150008
11 *4525:A *497:8 3.77699e-05
12 *4537:A1 *4529:B1_N 2.07503e-05
13 *4537:A1 *4537:A2 0.000121129
14 *4537:A1 *4537:A3 0.000339969
15 *4537:A1 *4537:B1 6.50727e-05
16 *492:16 *4357:A 0.000779987
17 *492:34 *4537:A3 0.000150348
18 *492:34 *497:8 9.93953e-05
19 *4492:A *4525:A 0
20 *4507:A2 *492:16 0.000111722
21 *4523:A *4537:A1 9.86249e-05
22 *4846:D *492:16 0.00059339
23 *331:91 *4525:A 3.55968e-05
24 *331:91 *492:16 0.000447355
25 *331:91 *492:34 0.000346583
26 *334:32 *4525:A 1.91246e-05
27 *344:88 *4525:A 0.000174604
28 *359:15 *492:16 0.000145551
29 *370:30 *4537:A1 2.28768e-05
30 *370:30 *492:17 9.75243e-05
31 *441:21 *492:16 0.000585462
32 *470:34 *492:16 0.000107496
33 *488:16 *492:16 0.000107496
*RES
1 *4522:X *492:16 49.5386
2 *492:16 *492:17 1.278
3 *492:17 *4537:A1 17.4235
4 *492:17 *492:34 11.315
5 *492:34 *4525:A 24.3097
6 *492:34 *4524:A 16.7151
*END
*D_NET *493 0.00589328
*CONN
*I *4537:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4524:B I *D sky130_fd_sc_hd__or2_1
*I *4525:B I *D sky130_fd_sc_hd__nand2_1
*I *4523:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4537:A2 0.00025736
2 *4524:B 0.000131377
3 *4525:B 0.000803731
4 *4523:X 6.60937e-05
5 *493:12 0.00110017
6 *493:7 0.000488517
7 *4524:B *4528:A 0.000402538
8 *4525:B *4528:A 6.3657e-05
9 *4525:B *4541:A2 5.62161e-05
10 *4525:B *4543:A 0.000399602
11 *4525:B *495:25 0.000987572
12 *4525:B *503:8 0
13 *4537:A2 *4529:B1_N 0.000203819
14 *4537:A2 *4537:A3 1.00981e-05
15 *4537:A2 *4537:B1 6.08467e-05
16 *4537:A2 *4540:A2 0
17 *4537:A2 *495:25 0.000164815
18 *493:12 *497:8 0
19 *4523:A *4537:A2 0.000125625
20 *4523:A *493:12 1.40062e-05
21 *4524:A *4524:B 0.000111722
22 *4529:A2 *4537:A2 0
23 *4529:A2 *493:12 0
24 *4536:B1 *493:7 0.000164044
25 *4537:A1 *4537:A2 0.000121129
26 *334:32 *4525:B 0
27 *471:33 *493:12 0.00016034
*RES
1 *4523:X *493:7 15.5817
2 *493:7 *493:12 8.7258
3 *493:12 *4525:B 41.3866
4 *493:12 *4524:B 13.8548
5 *493:7 *4537:A2 21.8719
*END
*D_NET *494 0.003769
*CONN
*I *4526:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4524:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4526:A1 0
2 *4524:X 0.000994855
3 *494:11 0.000994855
4 *494:11 *4521:A1 1.5714e-05
5 *494:11 *4527:B1 0.000748251
6 *494:11 *4530:B 0.000122083
7 *494:11 *1016:24 0.000118788
8 *494:11 *1022:48 0.000178804
9 *4527:A1 *494:11 0.000323641
10 *367:23 *494:11 1.12969e-05
11 *441:21 *494:11 0.00026071
*RES
1 *4524:X *494:11 42.1652
2 *494:11 *4526:A1 9.24915
*END
*D_NET *495 0.00490279
*CONN
*I *4529:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4526:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4525:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4529:B1_N 0.000166788
2 *4526:A2 0.000237139
3 *4525:Y 0.000856035
4 *495:25 0.00125996
5 *4526:A2 *4540:A2 0.00013689
6 *4526:A2 *4540:B1 8.49586e-06
7 *4526:A2 *1016:24 0
8 *495:25 *4537:A3 3.99086e-06
9 *495:25 *4541:A1 1.5714e-05
10 *495:25 *4541:A2 8.44056e-05
11 *495:25 *4541:B1 9.12416e-06
12 *495:25 *503:8 2.27118e-06
13 *495:25 *1022:20 2.16655e-05
14 *4505:B1 *4526:A2 1.77537e-06
15 *4525:B *495:25 0.000987572
16 *4537:A1 *4529:B1_N 2.07503e-05
17 *4537:A2 *4529:B1_N 0.000203819
18 *4537:A2 *495:25 0.000164815
19 *4540:A1 *4526:A2 1.91391e-05
20 *331:91 *4526:A2 0
21 *344:73 *495:25 0.000268044
22 *464:10 *495:25 3.99086e-06
23 *465:16 *4526:A2 3.61144e-05
24 *471:8 *495:25 0.000207931
25 *471:12 *495:25 0.000128815
26 *479:25 *4526:A2 5.75395e-05
*RES
1 *4525:Y *495:25 43.8831
2 *495:25 *4526:A2 24.2337
3 *495:25 *4529:B1_N 12.191
*END
*D_NET *496 0.00241873
*CONN
*I *4527:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4526:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4527:B1 0.000362568
2 *4526:X 0.000362568
3 *4527:B1 *4521:A1 0.000154145
4 *4494:A2 *4527:B1 0.000113968
5 *4521:A2 *4527:B1 4.4925e-05
6 *4527:A1 *4527:B1 0.000154145
7 *4527:A2 *4527:B1 3.15947e-05
8 *4527:C1 *4527:B1 0.000122294
9 *4848:D *4527:B1 2.77625e-06
10 *470:22 *4527:B1 0.000211201
11 *470:34 *4527:B1 0.000110297
12 *494:11 *4527:B1 0.000748251
*RES
1 *4526:X *4527:B1 33.1109
*END
*D_NET *497 0.00324704
*CONN
*I *4537:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4530:A I *D sky130_fd_sc_hd__xnor2_1
*I *4528:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4537:A3 0.000256153
2 *4530:A 7.70999e-05
3 *4528:X 0.000189907
4 *497:8 0.00052316
5 *497:8 *4528:A 0.000114584
6 *4523:A *4537:A3 0.000190239
7 *4523:A *497:8 1.05272e-06
8 *4525:A *497:8 3.77699e-05
9 *4529:A2 *4537:A3 0.000519422
10 *4536:B1 *4530:A 0.000318111
11 *4537:A1 *4537:A3 0.000339969
12 *4537:A2 *4537:A3 1.00981e-05
13 *331:91 *497:8 8.93512e-06
14 *464:11 *4530:A 0.000406808
15 *471:20 *497:8 0
16 *471:33 *497:8 0
17 *492:34 *4537:A3 0.000150348
18 *492:34 *497:8 9.93953e-05
19 *493:12 *497:8 0
20 *495:25 *4537:A3 3.99086e-06
*RES
1 *4528:X *497:8 18.5201
2 *497:8 *4530:A 18.3548
3 *497:8 *4537:A3 24.2297
*END
*D_NET *498 0.000635664
*CONN
*I *4530:B I *D sky130_fd_sc_hd__xnor2_1
*I *4529:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4530:B 0.000164556
2 *4529:X 0.000164556
3 *4530:B *1016:24 0.000122083
4 *4492:A *4530:B 6.23875e-05
5 *494:11 *4530:B 0.000122083
*RES
1 *4529:X *4530:B 31.5781
*END
*D_NET *499 0.00217331
*CONN
*I *4532:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4530:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4532:A2 0.000383928
2 *4530:Y 0.000383928
3 *4532:A2 *4542:A 9.24241e-05
4 *4532:A2 *827:34 6.07931e-05
5 *4532:A2 *827:52 4.06401e-05
6 *4851:D *4532:A2 0.000216073
7 *464:11 *4532:A2 0.000154145
8 *468:8 *4532:A2 0.000149628
9 *486:19 *4532:A2 0.000691748
*RES
1 *4530:Y *4532:A2 41.9594
*END
*D_NET *500 0.00105613
*CONN
*I *4532:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4531:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4532:B1 0.00022271
2 *4531:Y 0.00022271
3 *4532:B1 *4545:A2 0.000169093
4 *4532:B1 *827:34 0.000122068
5 *4532:B1 *1021:13 0.000107496
6 *4512:B *4532:B1 9.24241e-05
7 *4544:B1 *4532:B1 2.99729e-05
8 *4851:D *4532:B1 8.9652e-05
*RES
1 *4531:Y *4532:B1 34.7608
*END
*D_NET *501 0.000726591
*CONN
*I *4535:A I *D sky130_fd_sc_hd__nor2_1
*I *4533:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4535:A 0.00032866
2 *4533:Y 0.00032866
3 *4535:A *4533:A 0
4 *4535:A *1022:20 0
5 *4533:B *4535:A 6.92705e-05
6 *471:12 *4535:A 0
*RES
1 *4533:Y *4535:A 33.242
*END
*D_NET *502 0.00114559
*CONN
*I *4541:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4535:B I *D sky130_fd_sc_hd__nor2_1
*I *4534:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4541:B1 0.000107631
2 *4535:B 0.000169583
3 *4534:X 0.000153817
4 *502:5 0.000431031
5 *4535:B *4534:A 7.34948e-06
6 *4535:B *503:8 0.000128091
7 *4541:B1 *503:8 5.04584e-05
8 *502:5 *4534:A 1.71154e-05
9 *471:12 *4541:B1 4.6905e-05
10 *471:20 *502:5 2.44829e-05
11 *495:25 *4541:B1 9.12416e-06
*RES
1 *4534:X *502:5 11.6364
2 *502:5 *4535:B 14.8675
3 *502:5 *4541:B1 20.9116
*END
*D_NET *503 0.00183892
*CONN
*I *4538:A I *D sky130_fd_sc_hd__xor2_1
*I *4541:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4535:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4538:A 8.21915e-05
2 *4541:A1 0.000140831
3 *4535:Y 0.000384181
4 *503:8 0.000607203
5 *4538:A *4541:A2 0.000102058
6 *4541:A1 *4541:A2 0.000130832
7 *503:8 *4541:A2 3.29488e-05
8 *4525:A *4541:A1 0.000150008
9 *4525:B *503:8 0
10 *4535:B *503:8 0.000128091
11 *4541:B1 *503:8 5.04584e-05
12 *344:88 *4541:A1 1.2128e-05
13 *495:25 *4541:A1 1.5714e-05
14 *495:25 *503:8 2.27118e-06
*RES
1 *4535:Y *503:8 20.3916
2 *503:8 *4541:A1 22.3462
3 *503:8 *4538:A 15.7888
*END
*D_NET *504 0.00284823
*CONN
*I *4537:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4536:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4537:B1 0.000838257
2 *4536:X 0.000838257
3 *4537:B1 *4358:A 0
4 *4537:B1 *4540:A2 1.9101e-05
5 *4492:A *4537:B1 0.000631741
6 *4515:A *4537:B1 8.73244e-05
7 *4536:B1 *4537:B1 3.5534e-06
8 *4537:A1 *4537:B1 6.50727e-05
9 *4537:A2 *4537:B1 6.08467e-05
10 *344:88 *4537:B1 0.000107853
11 *465:11 *4537:B1 0.000170987
12 *465:16 *4537:B1 2.05416e-05
13 *466:41 *4537:B1 4.69495e-06
*RES
1 *4536:X *4537:B1 38.1376
*END
*D_NET *505 0.00146611
*CONN
*I *4538:B I *D sky130_fd_sc_hd__xor2_1
*I *4541:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4537:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4538:B 0
2 *4541:A2 0.00018821
3 *4537:X 0.000176581
4 *505:8 0.000364791
5 *4500:A *4541:A2 0
6 *4500:A *505:8 0
7 *4525:B *4541:A2 5.62161e-05
8 *4538:A *4541:A2 0.000102058
9 *4541:A1 *4541:A2 0.000130832
10 *344:73 *4541:A2 4.87595e-05
11 *344:73 *505:8 0.000127164
12 *464:10 *505:8 0.000154145
13 *495:25 *4541:A2 8.44056e-05
14 *503:8 *4541:A2 3.29488e-05
*RES
1 *4537:X *505:8 17.829
2 *505:8 *4541:A2 19.7928
3 *505:8 *4538:B 13.7491
*END
*D_NET *506 0.00150131
*CONN
*I *4540:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4538:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4540:A2 0.000467057
2 *4538:X 0.000467057
3 *4540:A2 *819:9 6.73186e-05
4 *4540:A2 *1022:20 0.000151797
5 *4540:A2 *1022:48 5.99691e-05
6 *4499:A *4540:A2 1.21461e-06
7 *4526:A2 *4540:A2 0.00013689
8 *4529:A2 *4540:A2 7.50872e-05
9 *4537:A2 *4540:A2 0
10 *4537:B1 *4540:A2 1.9101e-05
11 *4540:C1 *4540:A2 9.51479e-06
12 *4850:D *4540:A2 0
13 *464:11 *4540:A2 1.31897e-05
14 *465:16 *4540:A2 1.51914e-05
15 *472:15 *4540:A2 1.75637e-06
16 *479:25 *4540:A2 1.61631e-05
*RES
1 *4538:X *4540:A2 39.1923
*END
*D_NET *507 0.00158125
*CONN
*I *4540:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4539:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4540:B1 0.000395469
2 *4539:X 0.000395469
3 *4540:B1 *1016:24 0
4 *4526:A2 *4540:B1 8.49586e-06
5 *4540:A1 *4540:B1 3.5534e-06
6 *470:22 *4540:B1 0.000670112
7 *479:25 *4540:B1 0.000108145
*RES
1 *4539:X *4540:B1 37.9547
*END
*D_NET *508 0.00207345
*CONN
*I *4543:A I *D sky130_fd_sc_hd__xnor2_1
*I *4541:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4543:A 0.00070094
2 *4541:X 0.00070094
3 *4543:A *4528:A 1.43848e-05
4 *4515:B *4543:A 3.31733e-05
5 *4525:B *4543:A 0.000399602
6 *471:20 *4543:A 4.87198e-05
7 *472:22 *4543:A 0.000175689
*RES
1 *4541:X *4543:A 41.7242
*END
*D_NET *509 0.000599925
*CONN
*I *4543:B I *D sky130_fd_sc_hd__xnor2_1
*I *4542:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4543:B 0.000223111
2 *4542:X 0.000223111
3 *4543:B *4542:A 6.36477e-05
4 *4515:B *4543:B 0
5 *331:91 *4543:B 9.00546e-05
*RES
1 *4542:X *4543:B 33.4079
*END
*D_NET *510 0.0014262
*CONN
*I *4545:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4543:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4545:A2 0.000483896
2 *4543:Y 0.000483896
3 *4545:A2 *610:10 0
4 *4545:A2 *1016:24 2.35336e-05
5 *4512:A *4545:A2 2.65831e-05
6 *4512:B *4545:A2 4.41134e-05
7 *4532:B1 *4545:A2 0.000169093
8 *4544:B1 *4545:A2 0.000144546
9 *4545:A1 *4545:A2 3.77804e-05
10 *4851:D *4545:A2 1.27615e-05
*RES
1 *4543:Y *4545:A2 39.9304
*END
*D_NET *511 0.00116197
*CONN
*I *4545:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4544:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4545:B1 0.000295066
2 *4544:Y 0.000295066
3 *4515:A *4545:B1 9.19786e-05
4 *4545:A1 *4545:B1 0.00011818
5 *342:32 *4545:B1 0.000144695
6 *342:34 *4545:B1 9.46038e-05
7 *486:19 *4545:B1 0.000122378
*RES
1 *4544:Y *4545:B1 37.2635
*END
*D_NET *512 0.00621603
*CONN
*I *4547:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4546:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4547:A 0.000255009
2 *4546:X 0.000681346
3 *512:23 0.000936355
4 *4547:A *4554:A 1.02986e-05
5 *4547:A *566:19 0.000160617
6 *4547:A *598:48 9.84424e-06
7 *4547:A *830:76 7.09666e-06
8 *512:23 *4617:A 0.000892186
9 *512:23 *518:37 7.09666e-06
10 *512:23 *566:19 0.000464505
11 *512:23 *592:40 2.09053e-05
12 *512:23 *609:24 5.8518e-05
13 *512:23 *782:19 6.50586e-05
14 *512:23 *806:19 6.08467e-05
15 *512:23 *973:10 0.000293548
16 *4298:A *512:23 0.000119117
17 *4333:A *4547:A 0.000220183
18 *4333:A *512:23 0
19 *4891:D *4547:A 7.12198e-05
20 *4891:D *512:23 0.000274248
21 *258:21 *4547:A 0.000195436
22 *258:21 *512:23 0.000519074
23 *276:26 *512:23 0.000891396
24 *346:62 *4547:A 0
25 *350:98 *512:23 2.1203e-06
*RES
1 *4546:X *512:23 46.4861
2 *512:23 *4547:A 33.8872
*END
*D_NET *513 0.040088
*CONN
*I *4678:B I *D sky130_fd_sc_hd__and2_1
*I *4612:B I *D sky130_fd_sc_hd__and2_1
*I *4800:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4610:B I *D sky130_fd_sc_hd__and2_1
*I *4549:B I *D sky130_fd_sc_hd__and2_1
*I *4548:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4678:B 2.37123e-05
2 *4612:B 1.2064e-05
3 *4800:A 0.000114136
4 *4610:B 0.000721333
5 *4549:B 2.40228e-05
6 *4548:X 0.00079284
7 *513:73 0.00224112
8 *513:60 0.00366958
9 *513:48 0.00192551
10 *513:37 0.00174771
11 *513:34 0.00248536
12 *513:22 0.00241154
13 *513:14 0.00137423
14 *4549:B *514:16 4.58003e-05
15 *4610:B *4572:A2 0.000113968
16 *4610:B *4572:B1 6.50586e-05
17 *4610:B *4790:A 6.08467e-05
18 *4610:B *4790:B 0.000260388
19 *4610:B *4790:C 0.000114518
20 *4610:B *4790:D 6.50586e-05
21 *4610:B *4917:CLK 0.000207883
22 *4610:B *518:42 0.00056613
23 *4610:B *534:16 0.000107496
24 *4610:B *566:7 2.73136e-05
25 *4610:B *598:48 0.000236928
26 *4610:B *603:47 0.000199646
27 *4610:B *1025:17 0.000453997
28 *4612:B *600:60 6.08467e-05
29 *4678:B *4678:A 0.000164829
30 *4800:A *643:45 0.000311249
31 *4800:A *643:54 0.000106215
32 *4800:A *698:17 0.000556
33 *513:14 *514:16 0.000672703
34 *513:14 *576:61 9.84424e-06
35 *513:14 *630:30 3.4389e-05
36 *513:14 *826:13 2.94637e-05
37 *513:14 *865:22 3.92985e-05
38 *513:14 *1009:27 0.000150865
39 *513:22 *4843:CLK 1.42855e-05
40 *513:22 *576:61 1.42855e-05
41 *513:34 *4583:A 3.53847e-05
42 *513:34 *4827:CLK 0
43 *513:34 *4843:CLK 0.000121674
44 *513:34 *520:65 0.000199992
45 *513:34 *527:94 0.000319954
46 *513:34 *534:33 0
47 *513:34 *554:21 3.57291e-06
48 *513:34 *592:40 2.91863e-05
49 *513:34 *609:31 0.00128058
50 *513:34 *826:51 5.84243e-05
51 *513:37 *4552:A_N 0.000155855
52 *513:37 *4552:B 5.1493e-06
53 *513:37 *4617:A 3.77568e-05
54 *513:37 *529:26 0.00020972
55 *513:37 *531:21 7.09666e-06
56 *513:37 *534:16 0
57 *513:37 *557:53 1.9101e-05
58 *513:37 *584:56 9.54065e-06
59 *513:37 *587:41 4.61004e-06
60 *513:37 *592:40 0
61 *513:37 *972:8 2.58814e-05
62 *513:37 *972:10 0.000103553
63 *513:37 *1002:62 3.33781e-05
64 *513:37 *1026:6 0
65 *513:48 *4612:A 7.835e-05
66 *513:48 *4617:A 7.60356e-05
67 *513:48 *704:25 2.1203e-06
68 *513:48 *972:8 9.82202e-06
69 *513:60 *4612:A 9.12416e-06
70 *513:60 *4765:B 4.15661e-05
71 *513:60 *4770:A 4.33147e-05
72 *513:60 *4770:C 2.72559e-05
73 *513:60 *4772:B1 2.69627e-05
74 *513:60 *4910:CLK 7.06769e-05
75 *513:60 *592:34 0.000308996
76 *513:60 *592:40 0.000866305
77 *513:60 *699:38 0.000258128
78 *513:60 *704:25 8.12577e-06
79 *513:60 *880:37 0
80 *513:60 *969:6 1.48503e-05
81 *513:60 *970:8 5.88009e-05
82 *513:60 *1004:67 0
83 *513:73 *4812:A 7.99851e-05
84 *513:73 *592:30 0
85 *513:73 *653:11 1.10925e-05
86 *513:73 *669:22 0.000110505
87 *513:73 *674:19 0.0015277
88 *513:73 *698:17 1.41853e-05
89 *513:73 *835:14 0.00170842
90 *513:73 *838:16 0.00118315
91 *513:73 *881:25 0.00186573
92 *513:73 *897:15 0.00194445
93 io_oeb[27] *513:60 0.000167698
94 *4455:A *513:22 7.41833e-06
95 *4455:A *513:34 2.026e-05
96 *4827:D *513:34 0
97 *4902:D *513:73 0.00019404
98 *223:9 *513:14 0.000347214
99 *225:14 *513:34 0.000419856
100 *258:29 *513:37 2.77564e-05
101 *258:29 *513:48 4.44699e-05
102 *306:10 *513:73 0.000537546
103 *307:32 *513:60 5.01835e-05
104 *308:27 *513:73 1.69923e-05
105 *310:24 *513:73 2.57465e-06
106 *311:15 *4612:B 6.50586e-05
107 *311:15 *513:73 4.11147e-05
108 *316:47 *513:60 1.17108e-05
109 *320:52 *513:60 3.29488e-05
110 *328:19 *513:73 7.83998e-06
111 *328:75 *513:73 0.000273023
112 *330:14 *513:73 1.62891e-05
113 *336:76 *4800:A 5.14926e-05
114 *336:76 *513:73 0.000107496
115 *338:28 *513:60 3.50319e-05
116 *341:70 *513:14 7.72394e-06
117 *341:70 *513:22 1.42855e-05
118 *402:23 *513:14 0.00135163
119 *479:11 *4549:B 0.000113968
120 *479:11 *4678:B 0.000164829
121 *479:11 *513:22 0.000719022
*RES
1 *4548:X *513:14 29.1804
2 *513:14 *513:22 18.9362
3 *513:22 *4549:B 15.0271
4 *513:22 *513:34 47.2011
5 *513:34 *513:37 18.3743
6 *513:37 *4610:B 38.8992
7 *513:37 *513:48 7.23027
8 *513:48 *513:60 46.9064
9 *513:60 *513:73 46.4195
10 *513:73 *4800:A 16.0973
11 *513:48 *4612:B 14.4725
12 *513:14 *4678:B 15.5817
*END
*D_NET *514 0.00727428
*CONN
*I *4550:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4549:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4550:A 0.000103561
2 *4549:X 0.000807953
3 *514:16 0.000911514
4 *514:16 *4678:A 0.000522
5 *514:16 *865:22 0.000251548
6 *514:16 *984:24 4.69495e-06
7 *514:16 *1009:27 0.00109446
8 *4458:A *514:16 0.000271336
9 *4549:B *514:16 4.58003e-05
10 *4883:D *4550:A 0.000107496
11 *249:25 *4550:A 1.58551e-05
12 *349:31 *514:16 0.00132831
13 *402:14 *514:16 0.000275789
14 *402:23 *514:16 0.000421652
15 *438:37 *514:16 0.000373938
16 *479:11 *514:16 6.56723e-05
17 *513:14 *514:16 0.000672703
*RES
1 *4549:X *514:16 48.1518
2 *514:16 *4550:A 11.6364
*END
*D_NET *515 0.00419903
*CONN
*I *4571:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4566:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4562:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4555:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4551:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4571:A1 5.0345e-05
2 *4566:A1 2.06838e-05
3 *4562:A1 0.000279467
4 *4555:A1 0
5 *4551:X 8.95686e-05
6 *515:15 0.000466335
7 *515:8 0.000328845
8 *515:7 0.000261207
9 *4562:A1 *4553:A 0.000260388
10 *4562:A1 *4562:A2 6.50586e-05
11 *4562:A1 *525:16 9.96332e-05
12 *4562:A1 *608:40 1.5714e-05
13 *4562:A1 *1009:78 2.31718e-05
14 *4562:A1 *1012:33 5.31074e-05
15 *4566:A1 *517:15 0.000111708
16 *4566:A1 *632:45 0.000111708
17 *4571:A1 *4571:C1 1.48605e-05
18 *4571:A1 *4572:A1 3.44695e-05
19 *515:8 *4571:C1 2.40072e-05
20 *515:8 *4572:A1 0.000128114
21 *515:8 *579:49 6.1449e-05
22 *515:15 *4562:A2 0.000115934
23 *515:15 *4572:A1 0.00013521
24 *515:15 *4590:B1_N 0
25 *515:15 *579:49 8.91475e-05
26 *515:15 *1009:78 1.74104e-05
27 *515:15 *1012:33 0.000115934
28 *314:37 *515:7 0.000612779
29 *350:61 *515:7 0.000612779
*RES
1 *4551:X *515:7 20.5732
2 *515:7 *515:8 3.07775
3 *515:8 *515:15 9.58855
4 *515:15 *4555:A1 9.24915
5 *515:15 *4562:A1 24.1616
6 *515:8 *4566:A1 15.0271
7 *515:7 *4571:A1 14.7506
*END
*D_NET *516 0.00272637
*CONN
*I *4555:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4566:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4552:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4555:A2 0.000317573
2 *4566:B2 0.000235081
3 *4552:X 0.000221831
4 *516:5 0.000774485
5 *4555:A2 *4553:B 6.08467e-05
6 *4555:A2 *4590:B1_N 7.09666e-06
7 *4555:A2 *519:8 5.88009e-05
8 *4555:A2 *584:33 0.000293303
9 *4555:A2 *1009:78 7.09666e-06
10 *4566:B2 *4568:B 7.66983e-06
11 *4566:B2 *518:46 9.12416e-06
12 *4566:B2 *518:51 0.000118854
13 *4566:B2 *534:16 4.0534e-05
14 *4566:B2 *718:45 0.000192601
15 *516:5 *584:33 0.000381471
*RES
1 *4552:X *516:5 13.3002
2 *516:5 *4566:B2 23.8184
3 *516:5 *4555:A2 23.8857
*END
*D_NET *517 0.00344476
*CONN
*I *4555:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4566:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4553:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4555:B1 0.000135329
2 *4566:A2 2.1033e-05
3 *4553:X 0.000259371
4 *517:15 0.000415733
5 *4555:B1 *4568:B 5.01835e-05
6 *4555:B1 *4572:A1 1.80225e-05
7 *4555:B1 *518:51 0.000107496
8 *4555:B1 *718:45 0.000117341
9 *4555:B1 *1012:33 0.000111708
10 *4566:A2 *4553:A 2.70444e-06
11 *4566:A2 *632:45 2.38532e-05
12 *517:15 *4553:A 0.000419361
13 *517:15 *4553:B 0.000266783
14 *517:15 *4571:B1 3.44695e-05
15 *517:15 *524:8 7.09666e-06
16 *517:15 *525:16 4.49912e-05
17 *517:15 *525:59 9.12416e-06
18 *517:15 *608:45 0.00067013
19 *517:15 *632:45 0.000545136
20 *517:15 *759:17 9.54357e-06
21 *4566:A1 *517:15 0.000111708
22 *350:59 *517:15 6.36477e-05
*RES
1 *4553:X *517:15 32.7239
2 *517:15 *4566:A2 10.0871
3 *517:15 *4555:B1 22.1896
*END
*D_NET *518 0.010469
*CONN
*I *4571:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4566:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4562:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4555:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4554:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4571:A2 3.77257e-05
2 *4566:B1 0
3 *4562:A2 0.000204969
4 *4555:B2 2.66026e-05
5 *4554:Y 0.00070989
6 *518:51 0.00039606
7 *518:46 0.00035527
8 *518:42 0.000598198
9 *518:37 0.00107958
10 *4562:A2 *4553:B 1.77524e-05
11 *4562:A2 *524:8 0.000357912
12 *4562:A2 *588:7 0.000107496
13 *4562:A2 *632:18 5.12109e-05
14 *4562:A2 *759:17 2.78219e-06
15 *4562:A2 *1012:33 0.000109842
16 *4571:A2 *1024:26 0.000207266
17 *518:37 *4554:A 0.000171273
18 *518:37 *4554:B 0.000355922
19 *518:37 *4702:A 6.08467e-05
20 *518:37 *4758:A 0.000303331
21 *518:37 *4758:B 6.92705e-05
22 *518:37 *4768:D 9.54357e-06
23 *518:37 *4769:A 1.45135e-05
24 *518:37 *4769:B 3.43177e-05
25 *518:37 *566:19 9.16621e-05
26 *518:37 *621:78 0.000111722
27 *518:37 *638:19 2.13679e-05
28 *518:37 *672:19 5.75508e-05
29 *518:37 *679:17 0.000126123
30 *518:37 *689:31 0.000350726
31 *518:37 *782:19 0.000111722
32 *518:37 *830:76 0.000167076
33 *518:37 *880:37 9.6423e-05
34 *518:37 *966:21 0.000254466
35 *518:37 *973:10 2.55661e-06
36 *518:42 *4572:A2 1.34848e-05
37 *518:42 *4572:B1 7.79877e-06
38 *518:42 *534:16 1.44999e-05
39 *518:42 *566:7 1.82099e-05
40 *518:42 *566:19 0.00010541
41 *518:42 *598:48 0.000759199
42 *518:42 *718:45 5.49916e-05
43 *518:42 *1002:41 9.30719e-05
44 *518:46 *534:16 0.000167391
45 *518:46 *718:45 5.15144e-05
46 *518:51 *524:8 0.000294093
47 *518:51 *534:16 0.000122265
48 *518:51 *1012:33 7.8874e-05
49 *4268:A *4562:A2 6.08467e-05
50 *4298:A *518:37 0.000192798
51 *4555:B1 *518:51 0.000107496
52 *4562:A1 *4562:A2 6.50586e-05
53 *4566:B2 *518:46 9.12416e-06
54 *4566:B2 *518:51 0.000118854
55 *4610:B *518:42 0.00056613
56 *4858:D *4562:A2 2.1203e-06
57 *4908:D *518:42 9.82494e-05
58 *258:21 *518:37 0.000100006
59 *258:21 *518:42 0.000238642
60 *335:74 *4562:A2 0.0001214
61 *350:61 *4571:A2 0.000211478
62 *512:23 *518:37 7.09666e-06
63 *515:15 *4562:A2 0.000115934
*RES
1 *4554:Y *518:37 46.694
2 *518:37 *518:42 21.6116
3 *518:42 *518:46 4.64105
4 *518:46 *518:51 10.6589
5 *518:51 *4555:B2 9.82786
6 *518:51 *4562:A2 26.6265
7 *518:46 *4566:B1 13.7491
8 *518:42 *4571:A2 16.1364
*END
*D_NET *519 0.006344
*CONN
*I *4590:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4583:C_N I *D sky130_fd_sc_hd__or3b_1
*I *4556:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4560:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4555:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4590:B1_N 0.00047056
2 *4583:C_N 2.13544e-05
3 *4556:A 0
4 *4560:A 0.000171924
5 *4555:X 0.000187842
6 *519:27 0.000561432
7 *519:13 0.000594974
8 *519:8 0.000842514
9 *4560:A *520:5 0.000111722
10 *4560:A *520:26 0.000311235
11 *4590:B1_N *4591:A1 2.57986e-05
12 *4590:B1_N *4591:A2 2.34052e-05
13 *4590:B1_N *4591:B1 0.000403308
14 *4590:B1_N *525:16 2.75941e-05
15 *4590:B1_N *539:52 6.78364e-06
16 *4590:B1_N *584:33 0.00114336
17 *4590:B1_N *1009:78 3.32165e-06
18 *519:8 *4553:B 0.000158357
19 *519:8 *4572:A1 7.75563e-05
20 *519:13 *4572:A1 3.29488e-05
21 *519:13 *4583:A 5.73392e-05
22 *519:13 *525:16 9.32704e-05
23 *519:13 *1008:44 0.000110505
24 *519:27 *4448:A1 0.000217136
25 *519:27 *4583:A 5.73392e-05
26 *519:27 *520:5 0.000106215
27 *4555:A2 *4590:B1_N 7.09666e-06
28 *4555:A2 *519:8 5.88009e-05
29 *400:40 *519:27 8.1744e-05
30 *400:54 *519:13 0.000160617
31 *400:54 *519:27 0.000217937
32 *515:15 *4590:B1_N 0
*RES
1 *4555:X *519:8 17.6214
2 *519:8 *519:13 9.61798
3 *519:13 *519:27 10.8751
4 *519:27 *4560:A 13.8548
5 *519:27 *4556:A 9.24915
6 *519:13 *4583:C_N 9.82786
7 *519:8 *4590:B1_N 30.0885
*END
*D_NET *520 0.0147754
*CONN
*I *4557:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4576:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4558:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4595:B I *D sky130_fd_sc_hd__nor2_1
*I *4608:B I *D sky130_fd_sc_hd__nor2_1
*I *4556:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4557:A 0
2 *4576:B1_N 0.000251701
3 *4558:A2 0
4 *4595:B 0.000325779
5 *4608:B 0
6 *4556:X 2.50366e-05
7 *520:65 0.000443904
8 *520:36 0.000586891
9 *520:26 0.00194598
10 *520:5 0.00190211
11 *4576:B1_N *521:11 0.00027167
12 *4576:B1_N *584:56 3.83336e-05
13 *4595:B *1060:DIODE 2.04806e-05
14 *4595:B *4595:A 1.03434e-05
15 *4595:B *4628:A 1.65872e-05
16 *4595:B *4673:A 0.000200794
17 *4595:B *4673:B 6.08467e-05
18 *4595:B *4711:A 0.000398075
19 *4595:B *4715:A 0.000143032
20 *4595:B *4731:A 1.61631e-05
21 *4595:B *620:13 4.89898e-06
22 *4595:B *1009:44 2.54649e-05
23 *520:26 *4558:A1 2.43314e-05
24 *520:26 *4589:A 6.1578e-06
25 *520:26 *4621:A2 4.49912e-05
26 *520:26 *4741:A 0.000158097
27 *520:26 *5142:A 1.44159e-05
28 *520:26 *527:39 0.000197511
29 *520:26 *583:20 5.01835e-05
30 *520:26 *588:29 0.000214982
31 *520:26 *612:15 7.94607e-05
32 *520:26 *615:36 7.72394e-06
33 *520:26 *621:39 1.50389e-06
34 *520:26 *654:17 2.55661e-06
35 *520:26 *718:24 0.000101888
36 *520:26 *829:37 0.000238638
37 *520:26 *829:78 2.02035e-05
38 *520:26 *865:47 1.66771e-05
39 *520:26 *902:25 6.33959e-05
40 *520:26 *1014:37 0.00198665
41 *520:36 *4558:A1 3.27792e-05
42 *520:36 *4628:A 0.000347214
43 *520:36 *4673:A 4.76248e-05
44 *520:36 *4711:A 1.28832e-05
45 *520:36 *522:13 0.000154675
46 *520:36 *565:17 4.78118e-05
47 *520:36 *620:13 0.000650738
48 *520:65 *4583:A 6.22259e-05
49 *520:65 *584:56 6.08467e-05
50 *520:65 *592:40 3.74433e-05
51 *4558:B1 *520:36 2.04839e-05
52 *4560:A *520:5 0.000111722
53 *4560:A *520:26 0.000311235
54 *38:29 *4595:B 8.62625e-06
55 *283:15 *520:26 2.57465e-05
56 *330:16 *4595:B 0.000325947
57 *346:70 *520:26 0.000289737
58 *346:75 *520:26 5.35941e-05
59 *379:42 *520:26 0.00117678
60 *400:40 *520:5 0.000203739
61 *400:40 *520:26 0.000457655
62 *401:17 *520:36 0.00011222
63 *435:15 *520:65 0
64 *513:34 *520:65 0.000199992
65 *519:27 *520:5 0.000106215
*RES
1 *4556:X *520:5 11.6364
2 *520:5 *520:26 46.1217
3 *520:26 *520:36 20.756
4 *520:36 *4608:B 9.24915
5 *520:36 *4595:B 30.7201
6 *520:26 *4558:A2 9.24915
7 *520:5 *520:65 14.0469
8 *520:65 *4576:B1_N 13.8789
9 *520:65 *4557:A 9.24915
*END
*D_NET *521 0.00959049
*CONN
*I *4591:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4584:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4570:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4559:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4578:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4557:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4591:A2 0.000621106
2 *4584:A2 8.48138e-05
3 *4570:A2 0.000183497
4 *4559:A2 0.00019815
5 *4578:A2 2.44155e-05
6 *4557:X 0.000488134
7 *521:27 0.000496562
8 *521:25 0.000362552
9 *521:12 0.000272404
10 *521:11 0.00119441
11 *4559:A2 *4576:A1 3.74542e-05
12 *4559:A2 *534:33 0.000321236
13 *4559:A2 *554:21 0.000417478
14 *4559:A2 *575:17 0.000130222
15 *4559:A2 *1001:56 8.60109e-05
16 *4570:A2 *4570:A1 2.43387e-05
17 *4570:A2 *4581:B1 0.00043355
18 *4570:A2 *4586:A1 0.000127978
19 *4570:A2 *615:22 0.000425846
20 *4570:A2 *831:27 0.000111789
21 *4578:A2 *4578:B1 1.57948e-05
22 *4578:A2 *4585:A2 2.90584e-05
23 *4584:A2 *4584:C1 2.90981e-05
24 *4584:A2 *4585:A1 0.00029452
25 *4584:A2 *544:18 2.99843e-05
26 *4584:A2 *585:23 6.12686e-06
27 *4591:A2 *4576:A1 1.72347e-05
28 *4591:A2 *4591:A1 6.64392e-05
29 *4591:A2 *4591:B1 9.95922e-06
30 *4591:A2 *4591:C1 6.1478e-06
31 *4591:A2 *525:16 0.00026249
32 *4591:A2 *539:52 0.000493004
33 *4591:A2 *544:18 0.000178899
34 *4591:A2 *584:33 0.000105636
35 *4591:A2 *588:29 0.00011818
36 *521:11 *4576:A1 0.000427437
37 *521:11 *4582:B 4.89898e-06
38 *521:11 *523:77 0.000172908
39 *521:11 *525:22 6.08467e-05
40 *521:11 *537:35 5.51483e-06
41 *521:11 *584:56 0.000220733
42 *521:12 *4576:A1 9.09818e-05
43 *521:12 *544:18 9.80784e-05
44 *521:25 *4576:A1 0.000182079
45 *521:25 *4585:B1 1.62104e-05
46 *521:25 *544:18 0.00012774
47 *521:27 *4576:A1 9.7756e-05
48 *4267:A *4591:A2 3.3239e-06
49 *4573:A *4570:A2 1.66771e-05
50 *4576:B1_N *521:11 0.00027167
51 *4590:B1_N *4591:A2 2.34052e-05
52 *4855:D *4570:A2 2.02035e-05
53 *377:29 *4559:A2 2.55661e-06
54 *380:22 *4559:A2 2.02413e-05
55 *380:22 *521:27 2.27175e-05
*RES
1 *4557:X *521:11 25.2029
2 *521:11 *521:12 2.24725
3 *521:12 *4578:A2 14.4883
4 *521:12 *521:25 4.32351
5 *521:25 *521:27 2.45487
6 *521:27 *4559:A2 21.6401
7 *521:27 *4570:A2 24.8566
8 *521:25 *4584:A2 17.2697
9 *521:11 *4591:A2 30.766
*END
*D_NET *522 0.00729812
*CONN
*I *4559:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4558:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4559:B1 0.00021612
2 *4558:Y 0.00175292
3 *522:13 0.00196904
4 *4559:B1 *534:33 2.78668e-05
5 *4559:B1 *554:21 0.000533811
6 *4559:B1 *818:9 0.000546755
7 *522:13 *4558:A1 6.11359e-06
8 *522:13 *4711:A 9.80242e-07
9 *522:13 *4720:D 0.000200794
10 *522:13 *565:29 0.000506564
11 *522:13 *565:49 2.65035e-05
12 *522:13 *645:20 1.00981e-05
13 *522:13 *829:53 4.15661e-05
14 *522:13 *902:25 0.00050853
15 *522:13 *982:12 4.03555e-05
16 *522:13 *1001:78 7.95651e-05
17 *4854:D *522:13 6.96362e-05
18 *4889:D *522:13 0.000499295
19 *225:14 *4559:B1 9.66954e-05
20 *378:20 *522:13 1.02264e-05
21 *520:36 *522:13 0.000154675
*RES
1 *4558:Y *522:13 47.6331
2 *522:13 *4559:B1 26.7658
*END
*D_NET *523 0.0246571
*CONN
*I *4604:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4609:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *4596:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *4569:A I *D sky130_fd_sc_hd__nand2_1
*I *4603:A I *D sky130_fd_sc_hd__nand2_1
*I *4560:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4604:A2 0.000822489
2 *4609:A1 0
3 *4596:A1 0
4 *4569:A 1.98947e-05
5 *4603:A 0.00029054
6 *4560:X 0.000165263
7 *523:91 0.00191709
8 *523:83 0.00152217
9 *523:77 0.00139964
10 *523:44 0.000978511
11 *523:38 0.00168173
12 *523:10 0.00215099
13 *4569:A *4569:B 2.16355e-05
14 *4569:A *4597:A 6.08467e-05
15 *4603:A *4400:A 7.09666e-06
16 *4603:A *4632:B 0.000200794
17 *4603:A *4731:A 5.13937e-05
18 *4603:A *582:42 0.000323767
19 *4603:A *616:7 0.00020502
20 *4604:A2 *4598:A 0.000155681
21 *4604:A2 *4602:A 0.000271058
22 *4604:A2 *4604:A1 6.49635e-06
23 *4604:A2 *4604:B1 0
24 *4604:A2 *4605:A1 6.92664e-05
25 *4604:A2 *4880:CLK 0
26 *4604:A2 *831:54 0
27 *4604:A2 *1014:9 3.86408e-05
28 *4604:A2 *1014:14 0.000430947
29 *523:10 *527:30 9.80784e-05
30 *523:10 *531:21 6.08467e-05
31 *523:10 *865:47 2.1203e-06
32 *523:38 *4589:A 1.65872e-05
33 *523:38 *4728:A 0.000160617
34 *523:38 *4728:B 6.50727e-05
35 *523:38 *4729:A 0.000169041
36 *523:38 *4741:A 6.08467e-05
37 *523:38 *4892:CLK 0.000165854
38 *523:38 *527:30 0.000536423
39 *523:38 *532:19 7.09666e-06
40 *523:38 *546:15 0.00124569
41 *523:38 *654:17 0.000121801
42 *523:38 *696:45 4.07966e-05
43 *523:38 *824:25 0.000174077
44 *523:38 *974:21 0.000572524
45 *523:44 *4400:A 0.000104441
46 *523:44 *4641:B 0
47 *523:44 *4742:A 0.000404628
48 *523:44 *582:42 9.58043e-06
49 *523:44 *696:62 0.000325034
50 *523:77 *4582:B 0
51 *523:77 *4583:A 0.000382434
52 *523:77 *527:30 1.92172e-05
53 *523:77 *537:35 0.000107496
54 *523:77 *546:15 0.000123688
55 *523:77 *565:49 0.000403731
56 *523:77 *584:56 0.000555996
57 *523:77 *902:25 0.000143303
58 *523:77 *1008:17 6.71498e-05
59 *523:77 *1008:36 8.75567e-05
60 *523:77 *1008:44 0.000595467
61 *523:83 *4596:A2 4.97978e-05
62 *523:83 *620:45 0.00044737
63 *523:83 *840:15 0.00125816
64 *523:91 *4596:B1 0.000107496
65 *523:91 *4796:B 3.9195e-05
66 *523:91 *565:49 4.49414e-05
67 *523:91 *696:20 4.3405e-05
68 *4389:B2 *523:91 0.000311263
69 *4441:A1 *523:77 4.69495e-06
70 *4443:B *523:77 8.07867e-05
71 *4443:C *523:77 5.22149e-05
72 *4480:B1 *4604:A2 0.000122763
73 *4606:A *4604:A2 9.84424e-06
74 *4609:C1 *4604:A2 0
75 *4609:C1 *523:91 0
76 *4854:D *523:77 0.000153208
77 *4859:D *523:91 6.50586e-05
78 *4897:D *523:44 6.63616e-05
79 *330:16 *4603:A 9.33514e-05
80 *331:91 *523:83 7.00663e-05
81 *348:20 *523:38 0.000206411
82 *378:21 *523:83 7.23735e-05
83 *379:30 *523:38 0
84 *382:23 *523:83 0.000590599
85 *432:41 *523:77 0.000344191
86 *454:41 *4604:A2 0.000264364
87 *454:41 *523:91 0.000295502
88 *457:80 *523:91 7.26543e-05
89 *521:11 *523:77 0.000172908
*RES
1 *4560:X *523:10 21.2198
2 *523:10 *523:38 48.9039
3 *523:38 *523:44 20.8443
4 *523:44 *4603:A 22.9514
5 *523:44 *4569:A 14.4725
6 *523:10 *523:77 48.9787
7 *523:77 *523:83 11.2258
8 *523:83 *4596:A1 9.24915
9 *523:83 *523:91 23.9638
10 *523:91 *4609:A1 13.7491
11 *523:91 *4604:A2 35.16
*END
*D_NET *524 0.00330633
*CONN
*I *4562:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4571:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4561:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *4562:B1 5.1852e-05
2 *4571:B1 0.000247507
3 *4561:X 0.000658799
4 *524:8 0.000958158
5 *4562:B1 *4553:A 4.17481e-05
6 *4562:B1 *632:45 4.17481e-05
7 *4571:B1 *4786:A 9.70097e-06
8 *4571:B1 *525:59 2.99238e-05
9 *4571:B1 *1024:26 9.82426e-05
10 *524:8 *4553:B 1.5714e-05
11 *524:8 *525:16 2.63358e-05
12 *524:8 *588:29 7.09666e-06
13 *524:8 *1012:33 3.61993e-05
14 *4562:A2 *524:8 0.000357912
15 *314:37 *4571:B1 1.92172e-05
16 *335:74 *4571:B1 2.57604e-05
17 *335:74 *524:8 3.34723e-05
18 *350:59 *4571:B1 0.000311279
19 *517:15 *4571:B1 3.44695e-05
20 *517:15 *524:8 7.09666e-06
21 *518:51 *524:8 0.000294093
*RES
1 *4561:X *524:8 25.0388
2 *524:8 *4571:B1 21.0219
3 *524:8 *4562:B1 14.928
*END
*D_NET *525 0.00983218
*CONN
*I *4563:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4573:B I *D sky130_fd_sc_hd__xnor2_1
*I *4580:B I *D sky130_fd_sc_hd__xnor2_1
*I *4585:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4562:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4563:A 0
2 *4573:B 0
3 *4580:B 0.000316324
4 *4585:B1 0.00021904
5 *4562:X 0
6 *525:59 0.00122343
7 *525:22 0.000707361
8 *525:16 0.000963488
9 *525:5 0.00201492
10 *4580:B *4578:C1 1.65872e-05
11 *4580:B *4585:A2 1.78704e-05
12 *4580:B *4586:B1 0.000107496
13 *4580:B *612:53 6.80344e-05
14 *4585:B1 *4585:A1 4.0752e-05
15 *4585:B1 *4586:B1 2.04539e-05
16 *4585:B1 *4633:B1 3.14978e-05
17 *4585:B1 *535:16 0.00011162
18 *4585:B1 *544:18 0.000171941
19 *4585:B1 *585:23 2.42273e-05
20 *4585:B1 *611:18 6.50727e-05
21 *525:16 *4553:B 8.94611e-05
22 *525:16 *534:16 1.7307e-05
23 *525:16 *588:29 2.05344e-05
24 *525:16 *608:40 2.8323e-05
25 *525:16 *1008:44 5.31843e-05
26 *525:16 *1009:78 7.66983e-06
27 *525:22 *4582:B 2.27254e-05
28 *525:22 *535:16 6.22259e-05
29 *525:22 *539:52 3.3239e-06
30 *525:22 *544:18 7.3747e-05
31 *525:59 *4571:C1 1.89815e-05
32 *525:59 *4572:A2 1.00824e-05
33 *525:59 *4786:A 0
34 *525:59 *4790:B 7.981e-05
35 *525:59 *4794:B 0.000230958
36 *525:59 *4917:CLK 0.000111802
37 *525:59 *526:8 0.000835879
38 *525:59 *598:34 0.000373044
39 *525:59 *603:31 0.000168057
40 *525:59 *608:40 8.03699e-06
41 *525:59 *962:7 2.57847e-05
42 *525:59 *1004:23 0.000210023
43 *525:59 *1004:39 0.000315461
44 *4562:A1 *525:16 9.96332e-05
45 *4571:B1 *525:59 2.99238e-05
46 *4573:A *525:22 0.000107496
47 *4590:B1_N *525:16 2.75941e-05
48 *4591:A2 *525:16 0.00026249
49 *4917:D *525:59 0.000122744
50 *350:59 *525:59 4.49912e-05
51 *517:15 *525:16 4.49912e-05
52 *517:15 *525:59 9.12416e-06
53 *519:13 *525:16 9.32704e-05
54 *521:11 *525:22 6.08467e-05
55 *521:25 *4585:B1 1.62104e-05
56 *524:8 *525:16 2.63358e-05
*RES
1 *4562:X *525:5 13.7491
2 *525:5 *525:16 21.8673
3 *525:16 *525:22 9.15324
4 *525:22 *4585:B1 20.3205
5 *525:22 *4580:B 19.3675
6 *525:16 *4573:B 9.24915
7 *525:5 *525:59 43.0706
8 *525:59 *4563:A 9.24915
*END
*D_NET *526 0.0155548
*CONN
*I *4600:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4592:B I *D sky130_fd_sc_hd__xnor2_1
*I *4587:B I *D sky130_fd_sc_hd__xnor2_1
*I *4564:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4598:B I *D sky130_fd_sc_hd__and2_1
*I *4563:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4600:B1 2.80381e-05
2 *4592:B 0
3 *4587:B 4.18757e-05
4 *4564:A 0.000636757
5 *4598:B 0.000204871
6 *4563:X 0.00071861
7 *526:31 0.00111423
8 *526:22 0.000583636
9 *526:10 0.000579133
10 *526:8 0.00097287
11 *4564:A *527:30 0.000115045
12 *4564:A *527:94 0.000222215
13 *4564:A *549:23 0.000213619
14 *4564:A *582:35 6.30363e-05
15 *4564:A *592:64 0.00180462
16 *4564:A *865:47 3.42709e-05
17 *4564:A *1009:78 1.91246e-05
18 *4564:A *1014:37 0.00020951
19 *4587:B *5079:TE_B 2.29454e-05
20 *4587:B *527:94 4.66492e-05
21 *4587:B *549:23 0.000114594
22 *4598:B *4601:B1 0.000168675
23 *4598:B *4670:B1 8.39223e-05
24 *4598:B *556:10 0.000262339
25 *4598:B *612:53 3.58208e-05
26 *4598:B *777:14 2.78272e-05
27 *4598:B *1013:8 0.000163867
28 *4600:B1 *4600:A1 1.61631e-05
29 *4600:B1 *4600:A2 1.43983e-05
30 *526:8 *4817:A 0.000458227
31 *526:8 *598:34 0.000937882
32 *526:8 *946:8 2.33068e-05
33 *526:8 *1002:41 0.000574863
34 *526:8 *1004:23 1.62073e-05
35 *526:10 *4600:A1 0.000154728
36 *526:10 *4601:B1 0.00028141
37 *526:10 *1013:8 8.94611e-05
38 *526:22 *4594:A 0.000171288
39 *526:22 *546:36 5.04829e-06
40 *526:22 *556:28 0.00036013
41 *526:22 *584:14 3.58044e-05
42 *526:31 *4582:B 2.43314e-05
43 *526:31 *4586:A1 0.000398767
44 *526:31 *527:94 1.5714e-05
45 *526:31 *546:36 0.000236099
46 *526:31 *1009:78 0
47 *4480:B1 *526:8 4.01522e-05
48 *4592:A *526:22 5.2858e-05
49 *4852:D *4564:A 2.77564e-05
50 *379:42 *4564:A 0.00220837
51 *379:42 *526:31 1.05272e-06
52 *419:33 *526:31 7.92757e-06
53 *454:41 *526:8 6.41084e-05
54 *454:41 *526:10 1.47773e-05
55 *525:59 *526:8 0.000835879
*RES
1 *4563:X *526:8 40.2666
2 *526:8 *526:10 6.39977
3 *526:10 *4598:B 21.2932
4 *526:10 *526:22 10.2148
5 *526:22 *526:31 20.0498
6 *526:31 *4564:A 33.1639
7 *526:31 *4587:B 11.1059
8 *526:22 *4592:B 9.24915
9 *526:8 *4600:B1 14.4725
*END
*D_NET *527 0.0210798
*CONN
*I *4606:B I *D sky130_fd_sc_hd__xnor2_1
*I *4593:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4579:B I *D sky130_fd_sc_hd__nand2_1
*I *4565:B I *D sky130_fd_sc_hd__nor2_1
*I *4597:B I *D sky130_fd_sc_hd__nor2_1
*I *4564:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4606:B 0.000514685
2 *4593:A2 0
3 *4579:B 0.000384818
4 *4565:B 0
5 *4597:B 0.000272145
6 *4564:X 0
7 *527:95 0.000627013
8 *527:94 0.000841793
9 *527:43 0.000599977
10 *527:39 0.00121604
11 *527:30 0.00140608
12 *527:4 0.00163216
13 *4579:B *4376:A 0.000124327
14 *4579:B *4379:A 3.40163e-05
15 *4579:B *4575:A 2.96285e-05
16 *4579:B *537:17 5.01835e-05
17 *4579:B *540:24 2.37478e-05
18 *4597:B *4567:A 0.000139003
19 *4597:B *4589:A 0.000213725
20 *4597:B *4589:B 0.000118166
21 *4597:B *4599:A 7.34948e-06
22 *4597:B *4713:A 0
23 *4597:B *549:23 7.12632e-06
24 *4597:B *560:48 1.08142e-05
25 *4606:B *4601:A1 0.000153693
26 *4606:B *4601:A3 0.000163274
27 *4606:B *4633:B1 0.000649175
28 *4606:B *5079:TE_B 4.66492e-05
29 *4606:B *539:66 1.03403e-05
30 *4606:B *556:28 0.000154707
31 *4606:B *580:33 0.000390082
32 *4606:B *584:14 3.02981e-05
33 *4606:B *611:18 0.000106137
34 *527:30 *4728:A 0.000164829
35 *527:30 *4728:B 6.50727e-05
36 *527:30 *4741:B 0.00019628
37 *527:30 *546:15 0.000117008
38 *527:30 *584:56 2.77564e-05
39 *527:30 *588:29 6.50727e-05
40 *527:30 *609:31 2.44508e-05
41 *527:30 *621:39 0.000104138
42 *527:30 *654:17 0.00115027
43 *527:30 *865:47 9.30782e-06
44 *527:30 *974:21 0.000159756
45 *527:30 *1014:37 4.30579e-05
46 *527:39 *4589:A 1.65872e-05
47 *527:39 *5153:A 6.08467e-05
48 *527:39 *573:112 6.99264e-05
49 *527:39 *582:42 0.000656449
50 *527:39 *588:29 0.000980932
51 *527:39 *661:10 9.75243e-05
52 *527:39 *1014:37 4.4894e-05
53 *527:43 *4589:A 0.00027525
54 *527:43 *4589:B 0
55 *527:43 *661:10 0.000107496
56 *527:94 *4448:A1 4.49767e-05
57 *527:94 *4583:A 0.000260388
58 *527:94 *4583:B 0.000165493
59 *527:94 *5079:TE_B 0.000371445
60 *527:94 *531:21 0.000530217
61 *527:94 *534:16 4.67545e-05
62 *527:94 *549:23 5.26361e-05
63 *527:94 *588:29 0.00104616
64 *527:94 *1008:44 0.000215484
65 *527:95 *4590:A2 3.8122e-05
66 *527:95 *5079:TE_B 0.00061558
67 *527:95 *539:66 3.21548e-05
68 *527:95 *549:23 1.92172e-05
69 *4267:A *527:94 6.92705e-05
70 *4564:A *527:30 0.000115045
71 *4564:A *527:94 0.000222215
72 *4587:B *527:94 4.66492e-05
73 *4592:A *4606:B 4.15934e-05
74 *4892:D *527:30 0.000491806
75 *38:29 *4579:B 7.69987e-05
76 *288:14 *4597:B 3.14978e-05
77 *340:77 *527:94 1.5714e-05
78 *344:66 *4579:B 0.00023484
79 *344:66 *527:39 0.000222531
80 *350:36 *4606:B 2.9588e-05
81 *379:42 *527:94 2.91707e-05
82 *379:54 *527:95 0.000317693
83 *400:20 *527:30 4.49767e-05
84 *400:54 *527:94 7.0625e-05
85 *513:34 *527:94 0.000319954
86 *520:26 *527:39 0.000197511
87 *523:10 *527:30 9.80784e-05
88 *523:38 *527:30 0.000536423
89 *523:77 *527:30 1.92172e-05
90 *526:31 *527:94 1.5714e-05
*RES
1 *4564:X *527:4 9.24915
2 *527:4 *527:30 47.9225
3 *527:30 *527:39 19.2274
4 *527:39 *527:43 8.51196
5 *527:43 *4597:B 26.0008
6 *527:43 *4565:B 9.24915
7 *527:39 *4579:B 28.4595
8 *527:4 *527:94 47.9305
9 *527:94 *527:95 6.82404
10 *527:95 *4593:A2 9.24915
11 *527:95 *4606:B 36.073
*END
*D_NET *528 0.00165916
*CONN
*I *4567:A I *D sky130_fd_sc_hd__nor2_1
*I *4565:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4567:A 0.000359157
2 *4565:Y 0.000359157
3 *4567:A *4567:B 7.89128e-05
4 *4567:A *4599:A 0.000109262
5 *4567:A *4641:B 0.000441764
6 *4567:A *4729:A 0.000164815
7 *4567:A *560:48 7.08723e-06
8 *4597:B *4567:A 0.000139003
*RES
1 *4565:Y *4567:A 38.6374
*END
*D_NET *529 0.014381
*CONN
*I *4572:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4567:B I *D sky130_fd_sc_hd__nor2_1
*I *4566:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4572:B1 0.000265011
2 *4567:B 0.00128769
3 *4566:X 2.2917e-05
4 *529:26 0.00293826
5 *529:5 0.0019385
6 *4567:B *4599:A 1.91391e-05
7 *4567:B *4713:A 3.03456e-06
8 *4567:B *556:28 0.000209289
9 *4567:B *579:49 0
10 *4567:B *588:29 0.00142711
11 *4567:B *855:14 2.59473e-05
12 *4567:B *917:24 0.000328359
13 *4572:B1 *4572:A1 0.000229617
14 *4572:B1 *4572:A2 5.45571e-05
15 *4572:B1 *598:48 6.50586e-05
16 *4572:B1 *689:17 1.12969e-05
17 *4572:B1 *718:45 5.26364e-05
18 *529:5 *579:49 0.000107496
19 *529:5 *632:45 9.55447e-05
20 *529:26 *4378:A 0.000156955
21 *529:26 *4553:B 1.36397e-05
22 *529:26 *4561:B 1.99996e-05
23 *529:26 *4745:C 3.24105e-05
24 *529:26 *4748:B1 7.13655e-06
25 *529:26 *4899:CLK 2.38445e-05
26 *529:26 *579:49 0.000657276
27 *529:26 *632:45 0.000665776
28 *529:26 *718:45 0.000298318
29 *529:26 *855:14 0.000221104
30 *529:26 *975:32 1.5714e-05
31 *529:26 *1002:62 4.69495e-06
32 *529:26 *1012:33 0.00047555
33 *529:26 *1026:6 1.05335e-05
34 *4567:A *4567:B 7.89128e-05
35 *4610:B *4572:B1 6.50586e-05
36 *4898:D *4567:B 0.00030685
37 *4899:D *529:26 0.00016352
38 *248:19 *529:26 0.000224665
39 *397:15 *4567:B 0.00164006
40 *513:37 *529:26 0.00020972
41 *518:42 *4572:B1 7.79877e-06
*RES
1 *4566:X *529:5 10.5271
2 *529:5 *529:26 49.7849
3 *529:26 *4567:B 25.5953
4 *529:5 *4572:B1 24.9571
*END
*D_NET *530 0.0134665
*CONN
*I *4568:B I *D sky130_fd_sc_hd__xnor2_1
*I *4567:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4568:B 0.00165399
2 *4567:Y 0.00165399
3 *4568:B *4568:A 6.08467e-05
4 *4568:B *4572:A1 0.000188061
5 *4568:B *4641:B 2.8575e-05
6 *4568:B *4734:A 6.20642e-05
7 *4568:B *4748:A3 0.000994072
8 *4568:B *5099:A 0.00115604
9 *4568:B *534:16 7.09666e-06
10 *4568:B *556:28 0.00102474
11 *4568:B *557:53 0.000230791
12 *4568:B *579:49 0.000756139
13 *4568:B *584:33 0.000107496
14 *4568:B *588:29 2.15184e-05
15 *4568:B *603:31 2.91034e-05
16 *4568:B *608:40 0.000955187
17 *4568:B *632:45 0.0020769
18 *4568:B *718:45 1.64564e-05
19 *4568:B *855:14 7.52884e-05
20 *4568:B *1005:29 0.000487645
21 *4568:B *1005:54 0.00168903
22 *4555:B1 *4568:B 5.01835e-05
23 *4566:B2 *4568:B 7.66983e-06
24 *328:19 *4568:B 0.000133572
*RES
1 *4567:Y *4568:B 49.3516
*END
*D_NET *531 0.010787
*CONN
*I *4569:B I *D sky130_fd_sc_hd__nand2_1
*I *4568:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4569:B 0.00189965
2 *4568:Y 0.00129199
3 *531:21 0.00319164
4 *4569:B *4400:A 6.23875e-05
5 *4569:B *4597:A 3.37866e-05
6 *4569:B *4898:CLK 1.92336e-05
7 *4569:B *539:19 0.000555419
8 *4569:B *665:23 2.47582e-05
9 *4569:B *696:45 7.15245e-05
10 *4569:B *696:62 0.000779987
11 *531:21 *4747:A1 8.4868e-05
12 *531:21 *584:56 3.68676e-05
13 *531:21 *588:29 0.000390911
14 *531:21 *865:47 0.000177723
15 *4569:A *4569:B 2.16355e-05
16 *4852:D *531:21 7.00999e-05
17 *4898:D *4569:B 0.000191548
18 *248:29 *4569:B 1.92336e-05
19 *248:29 *531:21 1.61631e-05
20 *288:14 *4569:B 4.79321e-06
21 *400:20 *4569:B 6.72555e-05
22 *400:40 *531:21 0.00117028
23 *435:15 *531:21 7.09666e-06
24 *513:37 *531:21 7.09666e-06
25 *523:10 *531:21 6.08467e-05
26 *527:94 *531:21 0.000530217
*RES
1 *4568:Y *531:21 49.1169
2 *531:21 *4569:B 40.3552
*END
*D_NET *532 0.0159941
*CONN
*I *4570:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4569:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4570:B1 0.000272085
2 *4569:Y 0.00223808
3 *532:19 0.00251017
4 *4570:B1 *4581:B1 1.74351e-05
5 *4570:B1 *4586:A1 1.39115e-05
6 *4570:B1 *4586:A3 8.61737e-06
7 *4570:B1 *541:14 0.000157772
8 *4570:B1 *831:27 2.78708e-05
9 *4570:B1 *1001:56 0
10 *4570:B1 *1009:57 5.05642e-05
11 *4570:B1 *1009:78 0.000285535
12 *532:19 *4582:A 0.0033408
13 *532:19 *4589:B 0.000477409
14 *532:19 *4641:B 1.56949e-05
15 *532:19 *4729:A 0.000899036
16 *532:19 *535:16 0.000476425
17 *532:19 *539:19 0.00019229
18 *532:19 *539:36 3.55958e-05
19 *532:19 *560:48 0.00143665
20 *532:19 *582:42 6.22259e-05
21 *532:19 *583:20 0.000553696
22 *532:19 *583:52 2.37537e-05
23 *532:19 *584:56 2.50646e-05
24 *532:19 *718:24 0.00118148
25 *532:19 *824:8 0.000128713
26 *532:19 *824:25 5.88009e-05
27 *532:19 *831:10 0.000101888
28 *289:21 *532:19 0.00134254
29 *348:20 *532:19 2.19168e-05
30 *376:9 *532:19 3.10188e-05
31 *523:38 *532:19 7.09666e-06
*RES
1 *4569:Y *532:19 48.6431
2 *532:19 *4570:B1 24.6433
*END
*D_NET *533 0.00133754
*CONN
*I *4572:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4571:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4572:A2 0.000182545
2 *4571:X 0.000182545
3 *4572:A2 *598:48 0.000113968
4 *4572:A2 *689:17 1.84334e-05
5 *4572:A2 *718:45 0.000336795
6 *4572:A2 *806:19 0.000311163
7 *4572:B1 *4572:A2 5.45571e-05
8 *4610:B *4572:A2 0.000113968
9 *518:42 *4572:A2 1.34848e-05
10 *525:59 *4572:A2 1.00824e-05
*RES
1 *4571:X *4572:A2 38.3644
*END
*D_NET *534 0.0252507
*CONN
*I *4586:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4574:A I *D sky130_fd_sc_hd__or2_1
*I *4575:A I *D sky130_fd_sc_hd__nand2_1
*I *4572:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4586:A1 0.00114703
2 *4574:A 0
3 *4575:A 0.00070971
4 *4572:X 0.00128388
5 *534:49 0.00114703
6 *534:33 0.00318715
7 *534:18 0.00286799
8 *534:16 0.00167444
9 *4575:A *4379:A 0.000347528
10 *4575:A *4402:A 8.89319e-06
11 *4575:A *4628:A 1.62525e-05
12 *4575:A *4673:A 0.000128893
13 *4575:A *565:17 0.000470845
14 *4575:A *612:15 6.08467e-05
15 *4586:A1 *4586:B1 6.3657e-05
16 *4586:A1 *546:36 9.54357e-06
17 *4586:A1 *553:11 0.000439724
18 *4586:A1 *611:22 0.000311249
19 *4586:A1 *831:21 0.000253916
20 *4586:A1 *831:27 0.000166119
21 *4586:A1 *831:35 0.000115934
22 *534:16 *4448:A1 1.77002e-05
23 *534:16 *4566:C1 2.02035e-05
24 *534:16 *4572:A1 4.02726e-06
25 *534:16 *4576:A1 1.13681e-05
26 *534:16 *4578:B1 6.74182e-05
27 *534:16 *584:33 0.000220183
28 *534:16 *588:29 0.000194703
29 *534:16 *1002:41 5.74949e-05
30 *534:16 *1005:29 0
31 *534:16 *1008:44 5.94947e-05
32 *534:16 *1026:6 0
33 *534:18 *4448:A1 0
34 *534:18 *4576:A1 0.000360235
35 *534:18 *4578:B1 6.39153e-06
36 *534:18 *4584:C1 5.01835e-05
37 *534:18 *535:16 2.09426e-05
38 *534:18 *544:18 8.6297e-06
39 *534:33 *4448:A1 2.55661e-06
40 *534:33 *4576:A1 5.13902e-05
41 *534:33 *4709:A 2.68759e-05
42 *534:33 *535:41 7.13655e-06
43 *534:33 *554:21 0.000353049
44 *534:33 *575:17 6.51637e-05
45 *534:33 *840:15 6.42379e-05
46 *534:33 *865:22 0.00272993
47 *534:33 *988:7 0.000107496
48 *534:33 *990:12 2.80017e-05
49 *534:33 *1009:27 0.00036892
50 *534:33 *1010:16 0.0001584
51 *4443:B *534:33 7.72394e-06
52 *4446:A *534:33 0.000204083
53 *4449:B *534:33 0.00266493
54 *4458:B *4575:A 0.000513291
55 *4559:A2 *534:33 0.000321236
56 *4559:B1 *534:33 2.78668e-05
57 *4566:B2 *534:16 4.0534e-05
58 *4568:B *534:16 7.09666e-06
59 *4570:A2 *4586:A1 0.000127978
60 *4570:B1 *4586:A1 1.39115e-05
61 *4570:C1 *4586:A1 2.57847e-05
62 *4579:B *4575:A 2.96285e-05
63 *4610:B *534:16 0.000107496
64 *4882:D *4575:A 6.08467e-05
65 *38:29 *4575:A 8.05411e-05
66 *225:14 *534:33 4.05117e-05
67 *227:19 *534:33 2.30558e-05
68 *332:25 *4575:A 0.000266321
69 *334:31 *534:16 4.20184e-06
70 *334:32 *534:33 0
71 *344:66 *4575:A 0
72 *377:29 *534:33 5.01835e-05
73 *379:54 *4586:A1 0.000163999
74 *419:33 *4586:A1 0.000215473
75 *437:21 *534:33 1.42709e-05
76 *513:34 *534:33 0
77 *513:37 *534:16 0
78 *518:42 *534:16 1.44999e-05
79 *518:46 *534:16 0.000167391
80 *518:51 *534:16 0.000122265
81 *525:16 *534:16 1.7307e-05
82 *526:31 *4586:A1 0.000398767
83 *527:94 *534:16 4.67545e-05
*RES
1 *4572:X *534:16 48.1907
2 *534:16 *534:18 8.2684
3 *534:18 *534:33 47.8015
4 *534:33 *4575:A 41.5473
5 *534:18 *534:49 4.5
6 *534:49 *4574:A 9.24915
7 *534:49 *4586:A1 47.6367
*END
*D_NET *535 0.014354
*CONN
*I *4586:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4574:B I *D sky130_fd_sc_hd__or2_1
*I *4575:B I *D sky130_fd_sc_hd__nand2_1
*I *4573:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4586:A2 0.000169557
2 *4574:B 0
3 *4575:B 0
4 *4573:Y 0
5 *535:41 0.00181021
6 *535:16 0.00237945
7 *535:4 0.000738791
8 *4586:A2 *4586:B1 7.61766e-05
9 *535:16 *4448:A1 9.03307e-05
10 *535:16 *4584:C1 8.72221e-06
11 *535:16 *4586:B1 8.8078e-05
12 *535:16 *539:19 0.000150008
13 *535:16 *539:36 0.000365188
14 *535:16 *539:52 2.15954e-05
15 *535:16 *544:18 5.34415e-05
16 *535:41 *4375:A 5.97411e-05
17 *535:41 *4379:A 0.000104492
18 *535:41 *4402:A 4.97617e-05
19 *535:41 *4448:A1 2.57465e-06
20 *535:41 *4579:A 7.13972e-05
21 *535:41 *4581:B1 0.0029564
22 *535:41 *4595:A 6.61836e-05
23 *535:41 *4621:A2 1.96048e-06
24 *535:41 *4887:CLK 9.12416e-06
25 *535:41 *540:24 1.94741e-05
26 *535:41 *554:21 0.00211001
27 *535:41 *611:22 0.000510748
28 *535:41 *612:15 6.08467e-05
29 *535:41 *615:22 0.00063485
30 *535:41 *621:39 4.63742e-05
31 *535:41 *1000:55 0.000127271
32 *4573:A *4586:A2 0.000205006
33 *4573:A *535:16 1.92336e-05
34 *4585:B1 *535:16 0.00011162
35 *4621:B1 *535:41 0.000107496
36 *4882:D *535:41 6.08467e-05
37 *285:14 *535:41 0
38 *328:20 *535:41 0.000115585
39 *334:31 *535:41 5.60804e-05
40 *380:13 *535:41 4.42985e-05
41 *419:33 *4586:A2 0.00025392
42 *457:46 *535:41 3.03763e-05
43 *525:22 *535:16 6.22259e-05
44 *532:19 *535:16 0.000476425
45 *534:18 *535:16 2.09426e-05
46 *534:33 *535:41 7.13655e-06
*RES
1 *4573:Y *535:4 9.24915
2 *535:4 *535:16 22.0026
3 *535:16 *535:41 46.755
4 *535:41 *4575:B 9.24915
5 *535:16 *4574:B 13.7491
6 *535:4 *4586:A2 15.0122
*END
*D_NET *536 0.00273837
*CONN
*I *4576:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4574:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4576:A1 0.00048887
2 *4574:X 0.00048887
3 *4576:A1 *4582:B 0.000339549
4 *4576:A1 *537:35 1.92336e-05
5 *4576:A1 *831:21 6.08467e-05
6 *4576:A1 *1000:45 6.50586e-05
7 *4559:A2 *4576:A1 3.74542e-05
8 *4591:A2 *4576:A1 1.72347e-05
9 *521:11 *4576:A1 0.000427437
10 *521:12 *4576:A1 9.09818e-05
11 *521:25 *4576:A1 0.000182079
12 *521:27 *4576:A1 9.7756e-05
13 *534:16 *4576:A1 1.13681e-05
14 *534:18 *4576:A1 0.000360235
15 *534:33 *4576:A1 5.13902e-05
*RES
1 *4574:X *4576:A1 43.8606
*END
*D_NET *537 0.0140007
*CONN
*I *4576:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4582:B I *D sky130_fd_sc_hd__and3_1
*I *4581:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4575:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4576:A2 0
2 *4582:B 0.000609244
3 *4581:A2 2.69195e-05
4 *4575:Y 0.000741507
5 *537:35 0.00201386
6 *537:17 0.00217305
7 *4581:A2 *4893:CLK 0
8 *4582:B *4578:B1 0.000113968
9 *4582:B *4582:A 5.8507e-06
10 *4582:B *4583:A 2.15348e-05
11 *4582:B *4583:B 0
12 *4582:B *4586:A3 7.10486e-05
13 *4582:B *4586:B1 0.000321985
14 *4582:B *4633:B1 0.000114584
15 *4582:B *541:14 3.31223e-05
16 *4582:B *546:15 0.000640262
17 *4582:B *546:36 0.000203819
18 *4582:B *1009:78 0
19 *537:17 *4581:B1 6.50727e-05
20 *537:17 *540:24 0.00128008
21 *537:17 *542:31 0.000118914
22 *537:17 *616:7 0.000124853
23 *537:17 *621:39 0.000279889
24 *537:17 *655:11 0.000217951
25 *537:35 *4583:A 0.000207266
26 *537:35 *4893:CLK 0.000400563
27 *537:35 *542:31 0.000323119
28 *537:35 *584:56 2.43314e-05
29 *537:35 *616:7 1.15389e-05
30 *537:35 *616:30 4.79321e-06
31 *537:35 *829:75 0.000457749
32 *537:35 *829:111 4.97617e-05
33 *4573:A *4582:B 0.000141457
34 *4576:A1 *4582:B 0.000339549
35 *4576:A1 *537:35 1.92336e-05
36 *4579:B *537:17 5.01835e-05
37 *4580:A *4582:B 0.000106485
38 *4856:D *537:35 0.000681627
39 *4882:D *537:17 0.000200794
40 *289:21 *537:35 0.000161243
41 *344:66 *537:17 5.01835e-05
42 *380:13 *537:17 0.0012322
43 *432:41 *537:35 0.000115313
44 *435:15 *537:35 1.27831e-05
45 *457:46 *537:17 6.80007e-05
46 *521:11 *4582:B 4.89898e-06
47 *521:11 *537:35 5.51483e-06
48 *523:77 *4582:B 0
49 *523:77 *537:35 0.000107496
50 *525:22 *4582:B 2.27254e-05
51 *526:31 *4582:B 2.43314e-05
*RES
1 *4575:Y *537:17 40.4824
2 *537:17 *4581:A2 9.82786
3 *537:17 *537:35 37.7048
4 *537:35 *4582:B 40.0331
5 *537:35 *4576:A2 9.24915
*END
*D_NET *538 0.00127467
*CONN
*I *4578:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4576:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4578:B1 0.000427662
2 *4576:X 0.000427662
3 *4578:B1 *4448:A1 3.30161e-05
4 *4578:B1 *4585:A2 1.60275e-05
5 *4578:B1 *546:15 4.58003e-05
6 *4578:B1 *1010:36 5.16591e-05
7 *4578:A2 *4578:B1 1.57948e-05
8 *4582:B *4578:B1 0.000113968
9 *4856:D *4578:B1 6.92705e-05
10 *534:16 *4578:B1 6.74182e-05
11 *534:18 *4578:B1 6.39153e-06
*RES
1 *4576:X *4578:B1 35.9238
*END
*D_NET *539 0.0199126
*CONN
*I *4633:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4604:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4591:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4578:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4584:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4577:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4633:C1 0.00082585
2 *4604:C1 9.87911e-05
3 *4591:C1 3.44115e-05
4 *4578:C1 3.00134e-05
5 *4584:C1 8.9217e-05
6 *4577:X 0.00107266
7 *539:66 0.00231209
8 *539:52 0.00229465
9 *539:36 0.00109541
10 *539:19 0.00135448
11 *4578:C1 *4585:A2 0.000107496
12 *4578:C1 *4586:B1 6.08467e-05
13 *4584:C1 *544:18 8.85887e-05
14 *4591:C1 *4591:B1 4.31603e-06
15 *4604:C1 *4604:B1 2.13521e-05
16 *4633:C1 *4607:A 0.000249938
17 *4633:C1 *4633:B1 0.000753216
18 *4633:C1 *5079:A 0.000456928
19 *4633:C1 *5079:TE_B 3.03051e-05
20 *4633:C1 *574:34 0.00015035
21 *539:19 *4730:B 0.000224395
22 *539:19 *4744:C 0
23 *539:19 *4748:A1 1.65872e-05
24 *539:19 *4748:B1 3.43768e-05
25 *539:19 *4892:CLK 7.60356e-05
26 *539:19 *560:48 0.00164203
27 *539:19 *582:35 1.73717e-05
28 *539:19 *665:23 0.000156345
29 *539:19 *696:45 6.50586e-05
30 *539:19 *829:60 0.000131619
31 *539:19 *865:47 6.39153e-06
32 *539:19 *974:21 1.52867e-05
33 *539:19 *975:15 0.000322098
34 *539:36 *4585:A2 3.12676e-05
35 *539:36 *4586:B1 0.000109358
36 *539:36 *4589:B 8.22964e-06
37 *539:52 *4586:B1 0.000153208
38 *539:52 *4591:B1 3.16131e-05
39 *539:52 *544:18 2.01262e-05
40 *539:52 *549:23 9.781e-05
41 *539:66 *4590:A1 0
42 *539:66 *4590:A2 0.000111708
43 *539:66 *4591:B1 4.41159e-05
44 *539:66 *4594:A 7.13972e-05
45 *539:66 *4594:B 3.40423e-05
46 *539:66 *5079:TE_B 0.000101435
47 *539:66 *549:23 0.000175485
48 *4267:A *539:52 1.8543e-05
49 *4405:B1 *4633:C1 0
50 *4569:B *539:19 0.000555419
51 *4573:A *539:36 2.55661e-06
52 *4573:A *539:52 2.55661e-06
53 *4580:B *4578:C1 1.65872e-05
54 *4584:A2 *4584:C1 2.90981e-05
55 *4590:B1_N *539:52 6.78364e-06
56 *4591:A2 *4591:C1 6.1478e-06
57 *4591:A2 *539:52 0.000493004
58 *4606:B *539:66 1.03403e-05
59 *4865:D *4633:C1 6.50586e-05
60 *38:17 *4633:C1 3.41736e-05
61 *248:29 *539:19 1.05272e-06
62 *331:91 *539:66 3.88655e-06
63 *351:44 *4633:C1 0.000182242
64 *376:9 *539:19 0.00214553
65 *376:9 *539:36 0.000457782
66 *400:54 *4604:C1 5.74984e-05
67 *400:54 *4633:C1 2.25379e-05
68 *400:54 *539:66 5.65354e-05
69 *428:14 *4633:C1 5.79121e-05
70 *525:22 *539:52 3.3239e-06
71 *527:95 *539:66 3.21548e-05
72 *532:19 *539:19 0.00019229
73 *532:19 *539:36 3.55958e-05
74 *534:18 *4584:C1 5.01835e-05
75 *535:16 *4584:C1 8.72221e-06
76 *535:16 *539:19 0.000150008
77 *535:16 *539:36 0.000365188
78 *535:16 *539:52 2.15954e-05
*RES
1 *4577:X *539:19 39.8194
2 *539:19 *4584:C1 19.6292
3 *539:19 *539:36 6.48547
4 *539:36 *4578:C1 15.0271
5 *539:36 *539:52 21.1191
6 *539:52 *4591:C1 10.2378
7 *539:52 *539:66 30.1655
8 *539:66 *4604:C1 20.4964
9 *539:66 *4633:C1 42.1119
*END
*D_NET *540 0.0152965
*CONN
*I *4581:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4582:A I *D sky130_fd_sc_hd__and3_1
*I *4579:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4581:A1 9.80871e-05
2 *4582:A 0.00155297
3 *4579:Y 0.000632711
4 *540:24 0.00228377
5 *4581:A1 *585:12 3.92832e-05
6 *4581:A1 *696:45 1.30473e-05
7 *4582:A *4582:C 6.64392e-05
8 *4582:A *4583:B 2.85905e-05
9 *4582:A *4589:B 0.000327985
10 *4582:A *541:14 5.47232e-06
11 *4582:A *542:31 5.01183e-05
12 *4582:A *583:52 8.1307e-05
13 *4582:A *584:56 0.000401276
14 *4582:A *611:18 6.64392e-05
15 *4582:A *615:36 0.000150008
16 *4582:A *644:36 3.66231e-05
17 *4582:A *696:45 0
18 *4582:A *718:24 0.000380772
19 *4582:A *829:60 7.82637e-06
20 *4582:A *1013:29 3.46213e-05
21 *4582:A *1014:37 0.00213373
22 *540:24 *4581:B1 9.86422e-06
23 *540:24 *554:21 0.00126219
24 *540:24 *585:12 8.91584e-05
25 *540:24 *612:15 0.000207266
26 *540:24 *615:36 1.80225e-05
27 *540:24 *621:39 5.60364e-06
28 *540:24 *696:45 6.1808e-06
29 *540:24 *829:48 0.000229767
30 *4579:B *540:24 2.37478e-05
31 *4580:A *4582:A 0.000106485
32 *4582:B *4582:A 5.8507e-06
33 *289:21 *4581:A1 3.73224e-05
34 *289:21 *4582:A 0.000100807
35 *331:91 *4582:A 0
36 *344:66 *540:24 2.02035e-05
37 *376:9 *4582:A 3.40165e-05
38 *380:13 *540:24 2.28321e-05
39 *457:46 *540:24 8.57046e-05
40 *532:19 *4582:A 0.0033408
41 *535:41 *540:24 1.94741e-05
42 *537:17 *540:24 0.00128008
*RES
1 *4579:Y *540:24 40.9468
2 *540:24 *4582:A 41.6015
3 *540:24 *4581:A1 16.1063
*END
*D_NET *541 0.0119754
*CONN
*I *4586:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4582:C I *D sky130_fd_sc_hd__and3_1
*I *4581:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4580:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4586:A3 0.000172462
2 *4582:C 0.00011358
3 *4581:B1 0.00117522
4 *4580:Y 5.3513e-05
5 *541:14 0.00146512
6 *541:8 0.000402304
7 *4581:B1 *585:12 9.0525e-06
8 *4581:B1 *615:22 0.000189116
9 *4581:B1 *616:7 6.50727e-05
10 *4581:B1 *982:12 1.24189e-05
11 *4581:B1 *1013:29 2.25742e-05
12 *4582:C *611:18 4.5751e-05
13 *4586:A3 *1009:78 6.21551e-05
14 *541:8 *612:53 0.000111708
15 *541:8 *616:30 0.000111708
16 *4570:A2 *4581:B1 0.00043355
17 *4570:B1 *4581:B1 1.74351e-05
18 *4570:B1 *4586:A3 8.61737e-06
19 *4570:B1 *541:14 0.000157772
20 *4580:A *4581:B1 0.0005466
21 *4582:A *4582:C 6.64392e-05
22 *4582:A *541:14 5.47232e-06
23 *4582:B *4586:A3 7.10486e-05
24 *4582:B *541:14 3.31223e-05
25 *38:17 *4581:B1 1.28434e-05
26 *380:13 *4581:B1 0.00331758
27 *457:46 *4581:B1 0.000261828
28 *535:41 *4581:B1 0.0029564
29 *537:17 *4581:B1 6.50727e-05
30 *540:24 *4581:B1 9.86422e-06
*RES
1 *4580:Y *541:8 15.7599
2 *541:8 *541:14 4.81204
3 *541:14 *4581:B1 34.9129
4 *541:14 *4582:C 15.6782
5 *541:8 *4586:A3 17.6574
*END
*D_NET *542 0.00653543
*CONN
*I *4583:A I *D sky130_fd_sc_hd__or3b_1
*I *4581:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4583:A 0.000536154
2 *4581:Y 0.000718123
3 *542:31 0.00125428
4 *4583:A *4448:A1 0.00017616
5 *4583:A *546:15 0.000663735
6 *4583:A *1008:44 3.49654e-05
7 *4583:A *1010:36 1.05272e-06
8 *542:31 *4892:CLK 0.000137725
9 *542:31 *4893:CLK 6.08467e-05
10 *542:31 *616:30 0.000502892
11 *542:31 *829:60 2.30787e-05
12 *542:31 *829:75 0.000260533
13 *542:31 *829:111 0.000158357
14 *4582:A *542:31 5.01183e-05
15 *4582:B *4583:A 2.15348e-05
16 *4856:D *542:31 0.000269781
17 *289:21 *542:31 6.84193e-05
18 *419:33 *4583:A 9.32704e-05
19 *513:34 *4583:A 3.53847e-05
20 *519:13 *4583:A 5.73392e-05
21 *519:27 *4583:A 5.73392e-05
22 *520:65 *4583:A 6.22259e-05
23 *523:77 *4583:A 0.000382434
24 *527:94 *4583:A 0.000260388
25 *537:17 *542:31 0.000118914
26 *537:35 *4583:A 0.000207266
27 *537:35 *542:31 0.000323119
*RES
1 *4581:Y *542:31 46.2788
2 *542:31 *4583:A 40.7496
*END
*D_NET *543 0.00307144
*CONN
*I *4583:B I *D sky130_fd_sc_hd__or3b_1
*I *4582:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4583:B 0.000986258
2 *4582:X 0.000986258
3 *4583:B *4633:B1 6.64392e-05
4 *4583:B *5079:TE_B 0.000519297
5 *4583:B *616:30 1.5714e-05
6 *4582:A *4583:B 2.85905e-05
7 *4582:B *4583:B 0
8 *331:91 *4583:B 4.19328e-05
9 *340:77 *4583:B 0.000261457
10 *527:94 *4583:B 0.000165493
*RES
1 *4582:X *4583:B 46.4341
*END
*D_NET *544 0.00211821
*CONN
*I *4584:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4583:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *4584:B1 0
2 *4583:X 0.000505802
3 *544:18 0.000505802
4 *544:18 *4585:A1 7.98425e-06
5 *544:18 *585:23 0.000247443
6 *4584:A2 *544:18 2.99843e-05
7 *4584:C1 *544:18 8.85887e-05
8 *4585:B1 *544:18 0.000171941
9 *4591:A2 *544:18 0.000178899
10 *521:12 *544:18 9.80784e-05
11 *521:25 *544:18 0.00012774
12 *525:22 *544:18 7.3747e-05
13 *534:18 *544:18 8.6297e-06
14 *535:16 *544:18 5.34415e-05
15 *539:52 *544:18 2.01262e-05
*RES
1 *4583:X *544:18 40.9875
2 *544:18 *4584:B1 9.24915
*END
*D_NET *545 0.00210768
*CONN
*I *4586:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4585:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4586:B1 0.000465337
2 *4585:X 0.000465337
3 *4586:B1 *4585:A2 2.20286e-05
4 *4586:B1 *546:36 1.65872e-05
5 *4586:B1 *1009:78 4.42742e-06
6 *4573:A *4586:B1 8.9239e-05
7 *4578:C1 *4586:B1 6.08467e-05
8 *4580:B *4586:B1 0.000107496
9 *4582:B *4586:B1 0.000321985
10 *4585:B1 *4586:B1 2.04539e-05
11 *4586:A1 *4586:B1 6.3657e-05
12 *4586:A2 *4586:B1 7.61766e-05
13 *419:33 *4586:B1 4.34641e-05
14 *535:16 *4586:B1 8.8078e-05
15 *539:36 *4586:B1 0.000109358
16 *539:52 *4586:B1 0.000153208
*RES
1 *4585:X *4586:B1 49.8637
*END
*D_NET *546 0.0155536
*CONN
*I *4601:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4588:A I *D sky130_fd_sc_hd__or2_1
*I *4589:A I *D sky130_fd_sc_hd__nand2_1
*I *4586:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4601:A1 0.000231109
2 *4588:A 2.38617e-05
3 *4589:A 0.000438707
4 *4586:X 0
5 *546:36 0.0011463
6 *546:15 0.00299401
7 *546:4 0.00344663
8 *4588:A *611:18 6.50586e-05
9 *4589:A *4589:B 2.89547e-05
10 *4589:A *4729:A 0.00141889
11 *4589:A *4741:A 4.49767e-05
12 *4589:A *5153:A 0.000207266
13 *4589:A *654:17 0.000264179
14 *4589:A *661:10 9.75763e-05
15 *4589:A *831:10 3.31745e-05
16 *4601:A1 *611:18 0.000160617
17 *546:15 *974:21 0.000106661
18 *546:36 *4590:A1 0.000341221
19 *4578:B1 *546:15 4.58003e-05
20 *4582:B *546:15 0.000640262
21 *4582:B *546:36 0.000203819
22 *4583:A *546:15 0.000663735
23 *4586:A1 *546:36 9.54357e-06
24 *4586:B1 *546:36 1.65872e-05
25 *4597:B *4589:A 0.000213725
26 *4606:B *4601:A1 0.000153693
27 *346:62 *4589:A 1.37385e-05
28 *350:36 *4601:A1 6.16862e-05
29 *350:36 *546:36 0.000410975
30 *379:54 *546:36 2.87094e-05
31 *520:26 *4589:A 6.1578e-06
32 *523:38 *4589:A 1.65872e-05
33 *523:38 *546:15 0.00124569
34 *523:77 *546:15 0.000123688
35 *526:22 *546:36 5.04829e-06
36 *526:31 *546:36 0.000236099
37 *527:30 *546:15 0.000117008
38 *527:39 *4589:A 1.65872e-05
39 *527:43 *4589:A 0.00027525
*RES
1 *4586:X *546:4 9.24915
2 *546:4 *546:15 48.8683
3 *546:15 *4589:A 34.9628
4 *546:4 *546:36 27.6277
5 *546:36 *4588:A 9.97254
6 *546:36 *4601:A1 23.99
*END
*D_NET *547 0.0195603
*CONN
*I *4601:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4589:B I *D sky130_fd_sc_hd__nand2_1
*I *4588:B I *D sky130_fd_sc_hd__or2_1
*I *4587:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4601:A2 0.000162918
2 *4589:B 0.0028749
3 *4588:B 0.000132525
4 *4587:Y 0.00024068
5 *547:10 0.00306751
6 *547:8 0.000463673
7 *4588:B *553:11 9.42691e-05
8 *4588:B *611:18 0.000158371
9 *4589:B *4729:A 2.82583e-05
10 *4589:B *549:23 0.00499463
11 *4589:B *560:48 1.5714e-05
12 *4589:B *583:20 0.000296724
13 *4589:B *584:56 0.00266628
14 *4589:B *616:49 0.000148852
15 *4589:B *1013:29 0.00110171
16 *4589:B *1014:37 0.000207274
17 *4601:A2 *4601:A3 4.69165e-05
18 *4601:A2 *559:11 0.000128309
19 *4601:A2 *612:53 0.000117664
20 *4601:A2 *777:14 4.58529e-05
21 *547:8 *5079:TE_B 0.000107496
22 *547:8 *553:11 0.000267137
23 *547:10 *553:11 0.000123107
24 *4580:A *4589:B 0.000158469
25 *4582:A *4589:B 0.000327985
26 *4589:A *4589:B 2.89547e-05
27 *4597:B *4589:B 0.000118166
28 *289:21 *4589:B 2.83934e-05
29 *331:91 *4588:B 9.76797e-05
30 *331:91 *547:8 0.000259995
31 *331:91 *547:10 0.000118659
32 *334:31 *4589:B 5.11419e-05
33 *376:9 *4589:B 0.00039446
34 *527:43 *4589:B 0
35 *532:19 *4589:B 0.000477409
36 *539:36 *4589:B 8.22964e-06
*RES
1 *4587:Y *547:8 20.5964
2 *547:8 *547:10 2.45487
3 *547:10 *4588:B 17.6214
4 *547:10 *4589:B 35.2902
5 *547:8 *4601:A2 19.1023
*END
*D_NET *548 0.00230469
*CONN
*I *4590:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4588:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4590:A1 0.000533808
2 *4588:X 0.000533808
3 *4590:A1 *4590:A2 0.000169936
4 *4590:A1 *4591:B1 0.000113968
5 *4590:A1 *4633:B1 0.000111708
6 *331:91 *4590:A1 0
7 *350:36 *4590:A1 4.35122e-05
8 *379:54 *4590:A1 0.000456733
9 *539:66 *4590:A1 0
10 *546:36 *4590:A1 0.000341221
*RES
1 *4588:X *4590:A1 42.2676
*END
*D_NET *549 0.0180154
*CONN
*I *4590:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4593:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4589:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4590:A2 0.000172616
2 *4593:B1_N 0
3 *4589:Y 0.00221309
4 *549:23 0.0023857
5 *4590:A2 *4591:B1 1.65872e-05
6 *549:23 *4664:B 0
7 *549:23 *4713:A 9.84167e-06
8 *549:23 *5079:TE_B 7.45592e-06
9 *549:23 *560:48 0.00285058
10 *549:23 *582:35 0.000635849
11 *549:23 *583:52 0.00159668
12 *549:23 *592:64 0.000614334
13 *549:23 *644:9 0.000112647
14 *549:23 *1009:78 1.23614e-05
15 *549:23 *1014:37 5.59627e-05
16 *4267:A *549:23 7.47596e-05
17 *4564:A *549:23 0.000213619
18 *4587:A *549:23 2.12964e-05
19 *4587:B *549:23 0.000114594
20 *4589:B *549:23 0.00499463
21 *4590:A1 *4590:A2 0.000169936
22 *4597:B *549:23 7.12632e-06
23 *289:21 *549:23 1.19005e-05
24 *350:36 *4590:A2 0.000105549
25 *379:54 *549:23 0.000368649
26 *400:54 *549:23 0.000754627
27 *527:94 *549:23 5.26361e-05
28 *527:95 *4590:A2 3.8122e-05
29 *527:95 *549:23 1.92172e-05
30 *539:52 *549:23 9.781e-05
31 *539:66 *4590:A2 0.000111708
32 *539:66 *549:23 0.000175485
*RES
1 *4589:Y *549:23 47.5597
2 *549:23 *4593:B1_N 9.24915
3 *549:23 *4590:A2 23.6311
*END
*D_NET *550 0.00148664
*CONN
*I *4591:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4590:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4591:B1 0.00027015
2 *4590:X 0.00027015
3 *4591:B1 *584:33 0.000322469
4 *4590:A1 *4591:B1 0.000113968
5 *4590:A2 *4591:B1 1.65872e-05
6 *4590:B1_N *4591:B1 0.000403308
7 *4591:A2 *4591:B1 9.95922e-06
8 *4591:C1 *4591:B1 4.31603e-06
9 *539:52 *4591:B1 3.16131e-05
10 *539:66 *4591:B1 4.41159e-05
*RES
1 *4590:X *4591:B1 29.8797
*END
*D_NET *551 0.00213056
*CONN
*I *4594:A I *D sky130_fd_sc_hd__xnor2_1
*I *4601:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4592:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4594:A 0.000240837
2 *4601:A3 0.000205711
3 *4592:Y 0
4 *551:4 0.000446548
5 *4594:A *4594:B 0.000154145
6 *4594:A *4601:B1 0.00012774
7 *4594:A *5079:TE_B 1.65872e-05
8 *4594:A *584:14 0.000153624
9 *4601:A3 *4601:B1 6.50727e-05
10 *4601:A3 *559:11 1.55995e-05
11 *4601:A3 *612:53 0.000213398
12 *4592:A *4601:A3 3.84208e-05
13 *4601:A2 *4601:A3 4.69165e-05
14 *4606:B *4601:A3 0.000163274
15 *526:22 *4594:A 0.000171288
16 *539:66 *4594:A 7.13972e-05
*RES
1 *4592:Y *551:4 9.24915
2 *551:4 *4601:A3 24.8769
3 *551:4 *4594:A 25.5467
*END
*D_NET *552 0.000637772
*CONN
*I *4594:B I *D sky130_fd_sc_hd__xnor2_1
*I *4593:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4594:B 7.24037e-05
2 *4593:X 7.24037e-05
3 *4594:B *5079:TE_B 0.000304777
4 *4594:A *4594:B 0.000154145
5 *539:66 *4594:B 3.40423e-05
*RES
1 *4593:X *4594:B 21.9947
*END
*D_NET *553 0.00551172
*CONN
*I *4596:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *4594:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4596:A2 0.00018664
2 *4594:Y 0.00125409
3 *553:11 0.00144073
4 *4596:A2 *560:20 1.05934e-05
5 *553:11 *5079:TE_B 0.000998931
6 *553:11 *560:20 0.000213739
7 *553:11 *575:17 0.000217951
8 *4570:C1 *4596:A2 0
9 *4586:A1 *553:11 0.000439724
10 *4588:B *553:11 9.42691e-05
11 *4859:D *553:11 5.0448e-05
12 *331:91 *4596:A2 4.65704e-05
13 *331:91 *553:11 9.18014e-05
14 *379:54 *553:11 2.61827e-05
15 *523:83 *4596:A2 4.97978e-05
16 *547:8 *553:11 0.000267137
17 *547:10 *553:11 0.000123107
*RES
1 *4594:Y *553:11 47.0173
2 *553:11 *4596:A2 22.1574
*END
*D_NET *554 0.0135745
*CONN
*I *4596:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *4595:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4596:B1 0.000475328
2 *4595:Y 0.00152566
3 *554:21 0.00200099
4 *4596:B1 *4387:A2 0.000135127
5 *4596:B1 *4387:B1 0.000266832
6 *4596:B1 *565:49 0.000357642
7 *4596:B1 *1015:27 5.01835e-05
8 *4596:B1 *1041:25 7.09666e-06
9 *554:21 *4375:A 0.000200532
10 *554:21 *4379:A 3.52947e-05
11 *554:21 *4402:A 3.54439e-05
12 *554:21 *4559:A1 0.000115934
13 *554:21 *4628:A 0.0001444
14 *554:21 *4719:A 0
15 *554:21 *575:17 5.78746e-05
16 *554:21 *615:22 0.00119504
17 *554:21 *818:9 0.000305297
18 *554:21 *988:7 4.56667e-05
19 *554:21 *1010:16 1.48287e-05
20 *4387:B2 *4596:B1 1.47046e-05
21 *4559:A2 *554:21 0.000417478
22 *4559:B1 *554:21 0.000533811
23 *38:29 *554:21 5.44806e-05
24 *225:14 *554:21 9.66809e-05
25 *328:20 *554:21 2.02035e-05
26 *330:16 *554:21 2.37478e-05
27 *331:91 *4596:B1 9.47963e-05
28 *332:25 *554:21 9.00714e-07
29 *350:32 *4596:B1 9.47963e-05
30 *377:29 *554:21 0.000160224
31 *377:37 *4596:B1 5.1493e-06
32 *378:20 *554:21 0.00119957
33 *380:13 *554:21 4.00491e-05
34 *380:22 *4596:B1 1.24189e-05
35 *513:34 *554:21 3.57291e-06
36 *523:91 *4596:B1 0.000107496
37 *534:33 *554:21 0.000353049
38 *535:41 *554:21 0.00211001
39 *540:24 *554:21 0.00126219
*RES
1 *4595:Y *554:21 48.4315
2 *554:21 *4596:B1 41.3407
*END
*D_NET *555 0.000415139
*CONN
*I *4599:A I *D sky130_fd_sc_hd__nor2_1
*I *4597:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4599:A 0.000130543
2 *4597:Y 0.000130543
3 *4599:A *4597:A 2.41274e-06
4 *4567:A *4599:A 0.000109262
5 *4567:B *4599:A 1.91391e-05
6 *4597:B *4599:A 7.34948e-06
7 *4898:D *4599:A 1.09893e-05
8 *288:14 *4599:A 4.89898e-06
*RES
1 *4597:Y *4599:A 30.4689
*END
*D_NET *556 0.0220604
*CONN
*I *4605:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4599:B I *D sky130_fd_sc_hd__nor2_1
*I *4598:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4605:B1 0
2 *4599:B 0
3 *4598:X 0.000158879
4 *556:28 0.00250691
5 *556:10 0.00266579
6 *556:10 *4670:B1 7.90995e-05
7 *556:10 *612:53 0.000364356
8 *556:10 *1013:8 0.000124169
9 *556:28 *4593:A1 0.000204916
10 *556:28 *4713:A 8.3478e-05
11 *556:28 *557:53 0.00279084
12 *556:28 *559:11 0.000200794
13 *556:28 *584:14 5.58174e-05
14 *556:28 *603:31 0
15 *556:28 *643:20 7.27864e-06
16 *556:28 *718:24 0.000855747
17 *556:28 *855:14 0.00739415
18 *556:28 *917:24 0.000168658
19 *556:28 *1005:54 0.00169331
20 *556:28 *1012:49 5.01835e-05
21 *4567:B *556:28 0.000209289
22 *4568:B *556:28 0.00102474
23 *4592:A *556:28 0.000211478
24 *4598:B *556:10 0.000262339
25 *4606:B *556:28 0.000154707
26 *328:19 *556:28 0.000137815
27 *350:36 *556:28 5.42724e-05
28 *397:15 *556:28 0.000196043
29 *454:41 *556:10 4.01302e-05
30 *454:41 *556:28 5.04829e-06
31 *526:22 *556:28 0.00036013
*RES
1 *4598:X *556:10 24.9627
2 *556:10 *556:28 45.3414
3 *556:28 *4599:B 9.24915
4 *556:10 *4605:B1 9.24915
*END
*D_NET *557 0.0212245
*CONN
*I *4602:A I *D sky130_fd_sc_hd__xnor2_1
*I *4605:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4599:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4602:A 0.000346365
2 *4605:A1 0.000388334
3 *4599:Y 0.00159701
4 *557:54 0.000771113
5 *557:53 0.00204101
6 *557:17 0.00360161
7 *4602:A *4647:A1 5.44609e-06
8 *4602:A *1014:9 0.00019262
9 *4605:A1 *4602:B 6.73186e-05
10 *4605:A1 *4605:A2 9.95922e-06
11 *4605:A1 *4880:CLK 0.000120962
12 *4605:A1 *559:11 2.16355e-05
13 *4605:A1 *584:14 7.39264e-05
14 *557:17 *4743:A 0.000217923
15 *557:17 *4743:C 6.02829e-05
16 *557:17 *4744:C 0.000111222
17 *557:17 *4748:A1 2.33103e-06
18 *557:17 *4898:CLK 0.000113968
19 *557:17 *621:49 1.5714e-05
20 *557:17 *855:14 1.57664e-05
21 *557:17 *917:24 0.000272095
22 *557:17 *975:32 1.39873e-05
23 *557:53 *4552:B 0.000158371
24 *557:53 *4728:A 7.09666e-06
25 *557:53 *4744:C 3.08542e-05
26 *557:53 *4745:C 0.00018394
27 *557:53 *588:29 8.39536e-05
28 *557:53 *718:24 2.37678e-05
29 *557:53 *829:78 3.34458e-05
30 *557:53 *855:14 0.000644869
31 *557:53 *973:28 8.88753e-06
32 *557:54 *4880:CLK 1.32841e-05
33 *4480:B1 *4605:A1 0.000119181
34 *4568:B *557:53 0.000230791
35 *4604:A2 *4602:A 0.000271058
36 *4604:A2 *4605:A1 6.92664e-05
37 *4897:D *557:17 0.00038965
38 *341:56 *557:53 8.41174e-05
39 *344:59 *557:17 1.5714e-05
40 *379:30 *557:17 0.00113898
41 *397:15 *557:17 0.00126871
42 *397:15 *557:53 0.00355489
43 *400:40 *557:17 8.96195e-06
44 *454:41 *4605:A1 1.41976e-05
45 *513:37 *557:53 1.9101e-05
46 *556:28 *557:53 0.00279084
*RES
1 *4599:Y *557:17 48.3345
2 *557:17 *557:53 49.3314
3 *557:53 *557:54 0.793864
4 *557:54 *4605:A1 23.9453
5 *557:54 *4602:A 23.3944
*END
*D_NET *558 0.00185845
*CONN
*I *4601:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4600:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4601:B1 0.000398017
2 *4600:X 0.000398017
3 *4601:B1 *559:11 0.000220742
4 *4601:B1 *584:14 1.0187e-05
5 *4601:B1 *612:53 7.65399e-05
6 *4601:B1 *777:14 1.41291e-05
7 *4480:B1 *4601:B1 9.79259e-05
8 *4594:A *4601:B1 0.00012774
9 *4598:B *4601:B1 0.000168675
10 *4601:A3 *4601:B1 6.50727e-05
11 *526:10 *4601:B1 0.00028141
*RES
1 *4600:X *4601:B1 40.1619
*END
*D_NET *559 0.00506383
*CONN
*I *4602:B I *D sky130_fd_sc_hd__xnor2_1
*I *4605:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4601:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4602:B 0.000457126
2 *4605:A2 2.0864e-05
3 *4601:X 0.000302996
4 *559:11 0.000780986
5 *4602:B *4607:A 0.000711255
6 *4602:B *4647:A1 9.12416e-06
7 *4602:B *560:8 1.48792e-05
8 *4602:B *584:14 5.71805e-05
9 *4602:B *597:16 1.26672e-05
10 *4602:B *777:14 8.62625e-06
11 *4602:B *1014:9 0.000262047
12 *559:11 *4607:A 0.000253916
13 *559:11 *777:14 0.000457669
14 *559:11 *1013:8 1.32509e-05
15 *4592:A *559:11 0.000181216
16 *4601:A2 *559:11 0.000128309
17 *4601:A3 *559:11 1.55995e-05
18 *4601:B1 *559:11 0.000220742
19 *4605:A1 *4602:B 6.73186e-05
20 *4605:A1 *4605:A2 9.95922e-06
21 *4605:A1 *559:11 2.16355e-05
22 *4860:D *4602:B 0.000108025
23 *336:125 *4602:B 0.000376565
24 *400:59 *4602:B 0.000351426
25 *454:41 *559:11 1.96574e-05
26 *556:28 *559:11 0.000200794
*RES
1 *4601:X *559:11 30.9534
2 *559:11 *4605:A2 9.82786
3 *559:11 *4602:B 36.6009
*END
*D_NET *560 0.0258884
*CONN
*I *4603:B I *D sky130_fd_sc_hd__nand2_1
*I *4602:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4603:B 6.67041e-06
2 *4602:Y 0.00052245
3 *560:48 0.00238252
4 *560:20 0.00422678
5 *560:8 0.00237338
6 *4603:B *4632:B 6.50586e-05
7 *4603:B *616:7 6.92705e-05
8 *560:8 *597:16 7.50872e-05
9 *560:8 *777:14 8.03699e-06
10 *560:8 *1014:9 3.88473e-05
11 *560:8 *1046:44 0.000565868
12 *560:20 *5079:A 0.000271044
13 *560:20 *575:17 0.000826638
14 *560:20 *818:9 0.00031033
15 *560:20 *1009:7 0.000207892
16 *560:20 *1009:57 4.69495e-06
17 *560:48 *4641:B 0.000224339
18 *560:48 *4748:B1 3.52504e-05
19 *560:48 *583:52 0.000345904
20 *560:48 *612:40 4.41799e-05
21 *560:48 *644:9 0.00243736
22 *560:48 *644:36 0.000190314
23 *560:48 *665:23 2.61387e-06
24 *560:48 *696:20 8.97992e-05
25 *560:48 *974:21 9.5086e-05
26 *560:48 *1014:37 6.73075e-05
27 *560:48 *1015:27 0.00114645
28 *4443:C *560:48 1.01656e-05
29 *4567:A *560:48 7.08723e-06
30 *4570:C1 *560:20 2.96378e-05
31 *4573:A *560:20 1.5714e-05
32 *4589:B *560:48 1.5714e-05
33 *4596:A2 *560:20 1.05934e-05
34 *4597:B *560:48 1.08142e-05
35 *4602:B *560:8 1.48792e-05
36 *84:17 *560:8 5.85325e-05
37 *334:32 *560:48 0.000149783
38 *338:81 *560:8 0.000903252
39 *376:9 *560:48 1.05467e-05
40 *380:22 *560:20 6.50586e-05
41 *401:23 *560:48 0.00139777
42 *435:15 *560:48 0.000412701
43 *532:19 *560:48 0.00143665
44 *539:19 *560:48 0.00164203
45 *549:23 *560:48 0.00285058
46 *553:11 *560:20 0.000213739
*RES
1 *4602:Y *560:8 36.276
2 *560:8 *560:20 49.9202
3 *560:20 *560:48 45.9118
4 *560:48 *4603:B 14.4725
*END
*D_NET *561 0.0233963
*CONN
*I *4604:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4603:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4604:B1 0.00141473
2 *4603:Y 4.18856e-05
3 *561:26 0.00226593
4 *561:19 0.00473521
5 *561:10 0.00517703
6 *561:7 0.0013349
7 *4604:B1 *4880:CLK 6.98404e-06
8 *4604:B1 *831:50 0
9 *4604:B1 *831:54 3.57934e-05
10 *561:7 *585:12 6.50727e-05
11 *561:10 *4630:A 0.000125695
12 *561:10 *4641:B 4.63297e-05
13 *561:10 *580:60 0.000192855
14 *561:10 *610:14 0.000371648
15 *561:10 *840:15 0
16 *561:10 *865:22 0.00108038
17 *561:10 *1009:44 0
18 *561:19 *4487:A 0.000220183
19 *561:19 *4487:B 0.000137345
20 *561:19 *4496:D 3.30124e-05
21 *561:19 *565:17 0
22 *561:19 *1028:20 0.000292343
23 *561:26 *4493:A1 6.23875e-05
24 *561:26 *809:10 0.000224381
25 *4450:A *4604:B1 6.34651e-06
26 *4493:A2 *561:26 0
27 *4493:B1 *561:26 1.43848e-05
28 *4497:B1 *561:19 0.000122083
29 *4517:A2 *561:19 0.000137089
30 *4517:B1 *561:19 0.000234754
31 *4604:A2 *4604:B1 0
32 *4604:C1 *4604:B1 2.13521e-05
33 *4609:C1 *4604:B1 6.92636e-05
34 *4843:D *561:19 0
35 *4860:D *4604:B1 0.000103997
36 *4884:D *561:10 0
37 *4886:D *561:10 0.000104731
38 *38:17 *4604:B1 1.5714e-05
39 *289:21 *561:10 0.000103778
40 *330:16 *561:10 0
41 *345:33 *561:26 2.41483e-05
42 *361:20 *561:19 0.000208369
43 *376:31 *4604:B1 0.000127964
44 *393:43 *4604:B1 7.40686e-05
45 *393:43 *561:26 7.85871e-06
46 *400:54 *4604:B1 0
47 *412:8 *4604:B1 0.000118061
48 *412:8 *561:26 0.000503726
49 *412:46 *4604:B1 9.52961e-05
50 *413:9 *561:19 0.000118264
51 *413:9 *561:26 3.48976e-05
52 *428:14 *4604:B1 0
53 *428:53 *4604:B1 0
54 *437:39 *561:26 0.000723627
55 *439:16 *561:26 3.33883e-05
56 *441:11 *561:19 6.28948e-05
57 *442:27 *561:19 4.40311e-06
58 *457:80 *561:19 0.00035858
59 *458:14 *561:19 6.50727e-05
60 *459:21 *561:19 7.17919e-05
61 *459:40 *561:19 0.000173494
62 *461:8 *561:19 0.000167076
63 *462:15 *561:19 9.39505e-05
64 *462:28 *561:19 0.000148525
65 *467:17 *561:19 0.00123053
66 *470:8 *561:19 0
67 *486:39 *561:19 0.000152746
*RES
1 *4603:Y *561:7 14.4725
2 *561:7 *561:10 47.7641
3 *561:10 *561:19 47.6419
4 *561:19 *561:26 27.248
5 *561:26 *4604:B1 44.7587
*END
*D_NET *562 0.0031521
*CONN
*I *4607:A I *D sky130_fd_sc_hd__xnor2_1
*I *4605:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4607:A 0.00081671
2 *4605:X 0.00081671
3 *4607:A *5079:A 1.66321e-05
4 *4602:B *4607:A 0.000711255
5 *4633:C1 *4607:A 0.000249938
6 *428:14 *4607:A 0.000286943
7 *559:11 *4607:A 0.000253916
*RES
1 *4605:X *4607:A 44.1891
*END
*D_NET *563 0.000625278
*CONN
*I *4607:B I *D sky130_fd_sc_hd__xnor2_1
*I *4606:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4607:B 9.05337e-05
2 *4606:Y 9.05337e-05
3 *4607:B *4633:B1 0.000351839
4 *4607:B *580:33 7.43596e-05
5 *38:17 *4607:B 1.80122e-05
*RES
1 *4606:Y *4607:B 22.5734
*END
*D_NET *564 0.00242647
*CONN
*I *4609:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *4607:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4609:A2 0.000699256
2 *4607:Y 0.000699256
3 *4609:A2 *580:33 0.000411834
4 *38:17 *4609:A2 0.000461961
5 *454:41 *4609:A2 0.000110649
6 *490:30 *4609:A2 4.35192e-05
*RES
1 *4607:Y *4609:A2 40.4518
*END
*D_NET *565 0.0209363
*CONN
*I *4609:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *4608:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4609:B1 0.00011726
2 *4608:Y 0.00176523
3 *565:49 0.00111067
4 *565:29 0.00233929
5 *565:17 0.00311112
6 *4609:B1 *831:35 0.000244479
7 *4609:B1 *1015:21 0.000614759
8 *565:17 *4673:A 6.34143e-05
9 *565:17 *4693:A 0.000127179
10 *565:17 *576:61 9.32391e-05
11 *565:29 *4678:A 3.34723e-05
12 *565:29 *4698:A 3.2885e-05
13 *565:29 *4723:A 0.000197409
14 *565:29 *4723:D 0.000206295
15 *565:29 *4725:B1 9.99218e-05
16 *565:29 *4853:CLK 4.05967e-05
17 *565:29 *4854:CLK 0.000217951
18 *565:29 *576:61 0.00012305
19 *565:29 *826:48 5.13985e-05
20 *565:29 *865:22 0
21 *565:29 *865:47 4.83562e-06
22 *565:29 *902:25 4.89898e-06
23 *565:29 *990:12 0
24 *565:29 *1001:78 0.000102293
25 *565:49 *4387:A2 0.000135127
26 *565:49 *4387:B1 7.68538e-06
27 *565:49 *4441:B1 7.73725e-05
28 *565:49 *4796:B 0.00111939
29 *565:49 *831:35 0.000275256
30 *565:49 *902:25 0.00014881
31 *565:49 *1015:21 7.66338e-05
32 *4387:B2 *565:49 1.47046e-05
33 *4388:A1 *565:49 2.43314e-05
34 *4389:B2 *565:49 6.08467e-05
35 *4389:C1 *565:49 7.09666e-06
36 *4441:A1 *565:49 6.50727e-05
37 *4443:B *565:49 3.83131e-05
38 *4458:A *565:17 2.55382e-05
39 *4458:B *565:17 0.000119349
40 *4575:A *565:17 0.000470845
41 *4596:B1 *565:49 0.000357642
42 *4596:C1 *565:49 6.08467e-05
43 *4675:A *565:17 0
44 *4843:D *565:17 8.58839e-05
45 *4854:D *565:49 0.00051534
46 *4859:D *565:49 6.92705e-05
47 *4883:D *565:17 0.000118277
48 *84:17 *565:17 1.93896e-05
49 *214:30 *565:49 3.22915e-05
50 *332:41 *565:17 0.00020285
51 *341:70 *565:29 0
52 *344:66 *565:17 0.000227534
53 *350:32 *565:49 0.000198803
54 *350:36 *565:49 1.58247e-05
55 *377:29 *565:49 2.41483e-05
56 *379:54 *565:49 0.000306213
57 *402:14 *565:17 0.00037029
58 *434:17 *565:29 0.000170762
59 *440:34 *565:17 0.00155829
60 *440:50 *565:17 0
61 *458:14 *565:17 0.00220503
62 *520:36 *565:17 4.78118e-05
63 *522:13 *565:29 0.000506564
64 *522:13 *565:49 2.65035e-05
65 *523:77 *565:49 0.000403731
66 *523:91 *565:49 4.49414e-05
67 *561:19 *565:17 0
*RES
1 *4608:Y *565:17 47.2631
2 *565:17 *565:29 40.0912
3 *565:29 *565:49 49.4623
4 *565:49 *4609:B1 16.0732
*END
*D_NET *566 0.011466
*CONN
*I *4611:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4610:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4611:A 0
2 *4610:X 0.000488144
3 *566:19 0.00155741
4 *566:7 0.00204555
5 *566:7 *4790:C 4.31539e-05
6 *566:7 *4795:A2 0.000606215
7 *566:7 *598:48 0.00157668
8 *566:7 *601:32 6.50586e-05
9 *566:7 *603:47 0.000669151
10 *566:7 *972:7 0.000107496
11 *566:7 *1025:17 0.00148338
12 *566:19 *4653:A 0.000160617
13 *566:19 *4653:B 0.000141336
14 *566:19 *782:19 0.00021569
15 *566:19 *806:19 2.15184e-05
16 *566:19 *830:76 0.000158357
17 *566:19 *973:10 0.000258819
18 *4333:A *566:19 0.000587641
19 *4547:A *566:19 0.000160617
20 *4610:B *566:7 2.73136e-05
21 *4862:D *566:7 5.04829e-06
22 *258:21 *566:19 0.00030751
23 *346:62 *566:19 4.79289e-05
24 *348:18 *566:19 5.15415e-05
25 *512:23 *566:19 0.000464505
26 *518:37 *566:19 9.16621e-05
27 *518:42 *566:7 1.82099e-05
28 *518:42 *566:19 0.00010541
*RES
1 *4610:X *566:7 38.3357
2 *566:7 *566:19 42.6447
3 *566:19 *4611:A 9.24915
*END
*D_NET *567 0.00684798
*CONN
*I *4613:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4612:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4613:A 0.00027758
2 *4612:X 0.00114822
3 *567:15 0.0014258
4 *4613:A *638:19 0.000169041
5 *567:15 *4756:A 0.000207266
6 *567:15 *4903:CLK 1.86178e-05
7 *567:15 *600:49 0.000393846
8 *567:15 *600:60 4.73598e-05
9 *567:15 *607:47 0.00107818
10 *567:15 *607:65 0.000381471
11 *567:15 *704:25 0.000610533
12 *567:15 *830:60 0.000307037
13 *567:15 *830:61 4.49912e-05
14 *567:15 *833:36 0.000663542
15 *567:15 *1026:49 5.51483e-06
16 *4891:D *4613:A 2.16915e-05
17 *259:17 *4613:A 7.34948e-06
18 *346:62 *4613:A 3.99363e-05
*RES
1 *4612:X *567:15 49.5904
2 *567:15 *4613:A 24.0389
*END
*D_NET *568 0.00641666
*CONN
*I *4625:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4618:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4629:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4634:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4614:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4625:A1 0.000314017
2 *4618:A1 5.13388e-05
3 *4629:A1 0.000182679
4 *4634:A1 0.00014693
5 *4614:X 2.3144e-05
6 *568:42 0.000868186
7 *568:11 0.0006158
8 *568:7 0.000812165
9 *4618:A1 *572:7 2.74378e-05
10 *4618:A1 *573:24 0.000161234
11 *4618:A1 *590:39 0.000111722
12 *4625:A1 *4625:A2 6.78549e-05
13 *4625:A1 *569:10 0
14 *4625:A1 *573:24 2.43314e-05
15 *4625:A1 *578:11 2.54982e-05
16 *4625:A1 *590:39 5.81828e-05
17 *4625:A1 *708:14 0.000330541
18 *4625:A1 *832:132 8.03393e-06
19 *4625:A1 *1004:23 0.000217873
20 *4629:A1 *4629:A2 8.85097e-05
21 *4629:A1 *4629:B2 3.33143e-05
22 *4634:A1 *4480:A1 6.23479e-05
23 *4634:A1 *4634:A2 9.66809e-05
24 *4634:A1 *4634:B1 2.85352e-05
25 *4634:A1 *1002:25 0.000150983
26 *568:7 *608:45 6.08467e-05
27 *568:11 *4629:A2 0.000231966
28 *568:11 *4629:C1 3.33173e-06
29 *568:11 *608:45 3.16547e-06
30 *568:42 *4629:A2 3.89381e-05
31 *568:42 *718:45 0.00104226
32 *568:42 *806:19 0.000199295
33 *4818:A1 *4634:A1 9.66809e-05
34 *335:10 *4625:A1 0
35 *336:125 *568:11 9.4218e-05
36 *336:125 *568:42 0.000138625
*RES
1 *4614:X *568:7 14.4725
2 *568:7 *568:11 9.82841
3 *568:11 *4634:A1 23.3719
4 *568:11 *4629:A1 12.7275
5 *568:7 *568:42 11.4497
6 *568:42 *4618:A1 16.1364
7 *568:42 *4625:A1 24.2704
*END
*D_NET *569 0.00245188
*CONN
*I *4618:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4629:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4615:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4618:A2 0
2 *4629:B2 0.000273649
3 *4615:X 0.000415951
4 *569:10 0.000689599
5 *4629:B2 *4629:A2 2.12066e-05
6 *4629:B2 *4634:B1 5.21522e-05
7 *4629:B2 *4817:B 0.000164829
8 *4629:B2 *573:49 0
9 *4629:B2 *582:10 1.43848e-05
10 *4629:B2 *590:39 2.14842e-06
11 *4629:B2 *608:45 0.000132351
12 *569:10 *4625:A2 0.000371687
13 *569:10 *4634:B1 3.029e-06
14 *569:10 *578:11 7.13972e-05
15 *569:10 *590:39 4.73778e-05
16 *569:10 *598:34 8.65358e-05
17 *569:10 *1004:23 6.72229e-05
18 *4625:A1 *569:10 0
19 *4629:A1 *4629:B2 3.33143e-05
20 *4818:B2 *569:10 5.04829e-06
*RES
1 *4615:X *569:10 25.8879
2 *569:10 *4629:B2 21.6495
3 *569:10 *4618:A2 13.7491
*END
*D_NET *570 0.00345826
*CONN
*I *4618:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4629:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4616:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4618:B1 9.99578e-05
2 *4629:A2 0.000325538
3 *4616:X 0.000159432
4 *570:5 0.000584928
5 *4618:B1 *571:35 3.55126e-05
6 *4618:B1 *571:57 0.000217951
7 *4618:B1 *573:24 0.000164815
8 *4618:B1 *577:7 8.14875e-05
9 *4629:A2 *4624:B 2.53145e-06
10 *4629:A2 *4629:C1 8.86032e-05
11 *4629:A2 *608:45 2.08649e-05
12 *570:5 *4624:B 5.73392e-05
13 *570:5 *4624:D 0.0002075
14 *570:5 *571:35 2.99978e-05
15 *570:5 *954:22 0.000164843
16 *570:5 *963:11 0.000579032
17 *570:5 *1024:13 0.000202229
18 *4629:A1 *4629:A2 8.85097e-05
19 *4629:B2 *4629:A2 2.12066e-05
20 *338:81 *4629:A2 5.50754e-05
21 *568:11 *4629:A2 0.000231966
22 *568:42 *4629:A2 3.89381e-05
*RES
1 *4616:X *570:5 18.8462
2 *570:5 *4629:A2 27.1488
3 *570:5 *4618:B1 13.8548
*END
*D_NET *571 0.0193718
*CONN
*I *4625:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4618:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4634:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4629:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4617:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4625:A2 0.000247814
2 *4618:B2 0
3 *4634:A2 0.000163023
4 *4629:B1 0
5 *4617:Y 0.00105093
6 *571:57 0.000374387
7 *571:36 0.000275958
8 *571:35 0.00219861
9 *571:32 0.00270431
10 *571:21 0.00179615
11 *4625:A2 *4625:B1 0.000308028
12 *4625:A2 *4634:B1 4.42142e-05
13 *4625:A2 *572:7 1.19856e-05
14 *4625:A2 *573:24 6.08467e-05
15 *4625:A2 *578:11 1.1718e-05
16 *4625:A2 *1004:23 1.92336e-05
17 *571:21 *4617:A 0.000164843
18 *571:21 *4733:B 0.000639834
19 *571:21 *4735:A 5.89722e-05
20 *571:21 *4744:A 0.000111722
21 *571:21 *4744:C 9.32356e-05
22 *571:21 *4797:A 0.000107496
23 *571:21 *4895:CLK 9.42491e-05
24 *571:21 *587:66 0.000513008
25 *571:21 *657:18 0.000144209
26 *571:21 *658:11 4.97617e-05
27 *571:21 *977:8 1.03403e-05
28 *571:21 *1024:26 6.73186e-05
29 *571:21 *1024:37 0.000934545
30 *571:32 *4908:CLK 5.1493e-06
31 *571:32 *579:49 2.1203e-06
32 *571:32 *587:41 0.000493052
33 *571:32 *590:26 0.0021445
34 *571:32 *608:40 8.53168e-06
35 *571:32 *1002:62 0.000115465
36 *571:32 *1005:29 0.000428727
37 *571:35 *4624:B 0.000929191
38 *571:35 *963:11 0.000155414
39 *571:35 *1024:26 8.78803e-05
40 *571:57 *572:7 5.97411e-05
41 *571:57 *573:24 1.41689e-05
42 *4618:B1 *571:35 3.55126e-05
43 *4618:B1 *571:57 0.000217951
44 *4625:A1 *4625:A2 6.78549e-05
45 *4634:A1 *4634:A2 9.66809e-05
46 *4818:A1 *4634:A2 9.18679e-06
47 *4818:A1 *571:36 0.000123764
48 *4818:A1 *571:57 4.28184e-05
49 *4895:D *571:21 0.000199244
50 *4918:D *571:35 0.000114924
51 *314:14 *571:21 0.000163622
52 *314:32 *571:21 0.000283154
53 *314:32 *571:32 4.42033e-05
54 *314:37 *571:35 0.000364952
55 *335:10 *571:36 6.39153e-06
56 *335:10 *571:57 8.80405e-06
57 *335:29 *4634:A2 0.00013115
58 *335:29 *571:36 1.84495e-05
59 *335:74 *571:32 0.000155429
60 *341:56 *571:32 7.22263e-05
61 *350:98 *571:21 0.000113121
62 *569:10 *4625:A2 0.000371687
63 *570:5 *571:35 2.99978e-05
*RES
1 *4617:Y *571:21 45.5395
2 *571:21 *571:32 20.6971
3 *571:32 *571:35 36.2812
4 *571:35 *571:36 2.6625
5 *571:36 *4629:B1 13.7491
6 *571:36 *4634:A2 17.5597
7 *571:35 *571:57 9.02202
8 *571:57 *4618:B2 9.24915
9 *571:57 *4625:A2 27.0656
*END
*D_NET *572 0.0114637
*CONN
*I *4623:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4619:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4654:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4645:C_N I *D sky130_fd_sc_hd__or3b_1
*I *4618:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4623:A 0.000353836
2 *4619:A 0.0010813
3 *4654:B1_N 0.000244712
4 *4645:C_N 0.000132252
5 *4618:X 0.000520048
6 *572:28 0.00223506
7 *572:17 0.00108197
8 *572:7 0.000689637
9 *4619:A *4807:A 0.000212619
10 *4619:A *4807:B 9.48476e-05
11 *4623:A *4807:A 3.31745e-05
12 *4623:A *4807:B 1.58551e-05
13 *4645:C_N *4645:A 0.000235008
14 *4645:C_N *4661:B2 0.000211478
15 *4645:C_N *589:24 1.21652e-05
16 *4645:C_N *598:20 9.97045e-06
17 *4645:C_N *609:94 8.98279e-05
18 *4645:C_N *731:10 7.14746e-05
19 *4654:B1_N *4640:B1 0.000340742
20 *4654:B1_N *4644:B 5.46802e-05
21 *4654:B1_N *590:39 0.000160617
22 *4654:B1_N *603:55 3.82228e-05
23 *572:7 *4639:B1_N 0.000107496
24 *572:7 *573:24 1.09068e-05
25 *572:7 *590:39 5.95369e-05
26 *572:17 *609:94 9.4869e-05
27 *572:17 *731:10 8.98552e-05
28 *572:28 *5101:TE_B 0.000373829
29 *572:28 *609:94 0.000435649
30 *572:28 *731:10 0.000977833
31 *572:28 *919:14 0.000160088
32 *4618:A1 *572:7 2.74378e-05
33 *4625:A2 *572:7 1.19856e-05
34 *321:33 *572:28 0.000705922
35 *330:66 *572:28 0.000257152
36 *330:82 *572:28 0.000100642
37 *337:70 *4619:A 1.75155e-06
38 *337:70 *4623:A 3.21865e-05
39 *337:82 *4619:A 3.73573e-05
40 *571:57 *572:7 5.97411e-05
*RES
1 *4618:X *572:7 21.6824
2 *572:7 *4645:C_N 18.7989
3 *572:7 *572:17 1.832
4 *572:17 *4654:B1_N 20.5732
5 *572:17 *572:28 37.8912
6 *572:28 *4619:A 27.3823
7 *572:28 *4623:A 16.0732
*END
*D_NET *573 0.0513945
*CONN
*I *4621:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4673:B I *D sky130_fd_sc_hd__nor2_1
*I *4659:A I *D sky130_fd_sc_hd__nand2_1
*I *4639:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *4620:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4619:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4621:A2 0.000421996
2 *4673:B 0.000559401
3 *4659:A 0
4 *4639:B1_N 5.08774e-05
5 *4620:A 0.00203999
6 *4619:X 0
7 *573:112 0.00251034
8 *573:89 0.00318352
9 *573:85 0.00204016
10 *573:79 0.000729098
11 *573:76 0.000688858
12 *573:49 0.00325618
13 *573:24 0.00163579
14 *573:15 0.00205904
15 *573:5 0.00203567
16 *4620:A *5068:A 3.19586e-05
17 *4620:A *5080:TE_B 0.000265022
18 *4620:A *580:100 0.000163315
19 *4620:A *1056:10 0.000266427
20 *4621:A2 *4375:A 3.24105e-05
21 *4621:A2 *4402:A 0.000217381
22 *4621:A2 *4579:A 1.03403e-05
23 *4621:A2 *575:5 0.000158371
24 *4621:A2 *1000:55 4.66492e-05
25 *4639:B1_N *590:39 8.73932e-05
26 *4673:B *4579:A 1.80122e-05
27 *4673:B *4595:A 0.000165393
28 *4673:B *620:13 1.43983e-05
29 *573:15 *4807:B 3.45499e-05
30 *573:15 *4818:A3 0
31 *573:15 *4880:CLK 0
32 *573:15 *5091:A 2.23883e-05
33 *573:15 *603:47 0.000342794
34 *573:15 *603:49 5.73392e-05
35 *573:15 *607:33 0
36 *573:15 *698:74 4.08688e-05
37 *573:15 *704:11 0
38 *573:15 *832:105 0.000182198
39 *573:15 *832:110 0.000325858
40 *573:15 *954:12 1.79872e-05
41 *573:15 *1024:10 0.000366603
42 *573:24 *577:7 0.000213725
43 *573:24 *590:39 4.15661e-05
44 *573:24 *708:14 9.17656e-06
45 *573:49 *4661:B2 0.000207266
46 *573:49 *592:64 3.74433e-05
47 *573:49 *598:20 0.000230765
48 *573:49 *615:22 0.000220402
49 *573:49 *708:14 0.000113267
50 *573:76 *5084:TE_B 0
51 *573:76 *5091:A 2.96516e-05
52 *573:79 *856:9 0.0041832
53 *573:85 *702:9 0.000322971
54 *573:85 *703:9 0.00293662
55 *573:89 *4738:A 0.000138911
56 *573:89 *600:17 6.08467e-05
57 *573:89 *638:19 6.50586e-05
58 *573:89 *667:13 4.15661e-05
59 *573:112 *4579:A 7.12207e-05
60 *573:112 *5151:A 5.88009e-05
61 *573:112 *584:56 2.20094e-05
62 *573:112 *600:17 0.000107496
63 *573:112 *638:19 9.32983e-05
64 *573:112 *696:62 9.32704e-05
65 *573:112 *824:8 0.000142771
66 *573:112 *824:25 0.000810179
67 *573:112 *830:85 0.000328053
68 *573:112 *831:10 2.17327e-05
69 io_oeb[27] *573:85 0.000150069
70 io_out[27] *573:76 9.99135e-05
71 io_out[5] *573:89 0.00052269
72 *4257:A *573:89 0.000141524
73 *4403:A1 *573:49 3.38266e-05
74 *4405:B2 *573:49 0.000317405
75 *4421:B *4620:A 7.46027e-05
76 *4459:B1 *573:49 3.78886e-05
77 *4463:A1 *4620:A 0.000185225
78 *4463:B1 *4620:A 0
79 *4595:B *4673:B 6.08467e-05
80 *4618:A1 *573:24 0.000161234
81 *4618:B1 *573:24 0.000164815
82 *4625:A1 *573:24 2.43314e-05
83 *4625:A2 *573:24 6.08467e-05
84 *4629:B2 *573:49 0
85 *4818:A1 *573:24 4.08451e-05
86 *4818:B2 *573:15 0.00023418
87 *4867:D *573:49 0.00011784
88 *4880:D *573:15 4.5128e-05
89 *4923:D *573:15 0
90 *276:26 *573:15 2.69685e-05
91 *283:15 *4621:A2 7.09666e-06
92 *292:15 *573:112 4.42142e-05
93 *321:23 *573:79 0.00419189
94 *323:13 *573:15 4.65991e-05
95 *324:14 *573:49 0.000133917
96 *328:20 *4673:B 0.000291192
97 *330:16 *4673:B 0.000294805
98 *330:106 *573:49 0.000813168
99 *330:121 *4620:A 0.00216527
100 *332:12 *573:89 0.000142627
101 *332:55 *4620:A 0
102 *335:10 *573:24 2.08881e-05
103 *336:125 *573:15 0
104 *338:28 *573:85 7.09666e-06
105 *338:116 *4620:A 9.74409e-06
106 *341:18 *573:85 0.00221247
107 *344:59 *573:89 0.00113755
108 *344:59 *573:112 7.3274e-05
109 *344:66 *573:112 3.12489e-05
110 *345:19 *573:49 0.000282462
111 *345:51 *573:89 4.47825e-05
112 *348:20 *573:112 0
113 *376:9 *573:112 2.915e-05
114 *377:29 *573:112 0.000317268
115 *379:30 *573:112 0.00010399
116 *400:67 *573:49 6.7503e-05
117 *401:23 *573:49 1.98448e-05
118 *413:64 *4620:A 1.79239e-05
119 *436:26 *4620:A 3.52699e-05
120 *441:43 *4620:A 0.000274405
121 *444:33 *4620:A 5.39404e-06
122 *450:23 *4620:A 0.000710288
123 *454:70 *4620:A 0.00043365
124 *520:26 *4621:A2 4.49912e-05
125 *527:39 *573:112 6.99264e-05
126 *535:41 *4621:A2 1.96048e-06
127 *571:57 *573:24 1.41689e-05
128 *572:7 *4639:B1_N 0.000107496
129 *572:7 *573:24 1.09068e-05
*RES
1 *4619:X *573:5 13.7491
2 *573:5 *573:15 48.8563
3 *573:15 *573:24 19.1116
4 *573:24 *573:49 45.7026
5 *573:49 *4620:A 31.621
6 *573:24 *4639:B1_N 15.6059
7 *573:5 *573:76 12.1455
8 *573:76 *573:79 49.0371
9 *573:79 *573:85 43.3043
10 *573:85 *573:89 42.7671
11 *573:89 *4659:A 9.24915
12 *573:89 *573:112 47.4431
13 *573:112 *4673:B 30.8112
14 *573:112 *4621:A2 26.8222
*END
*D_NET *574 0.01896
*CONN
*I *4655:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4640:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4646:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4633:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4622:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4620:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4655:A2 0.000443599
2 *4640:A2 0
3 *4646:A2 3.87076e-05
4 *4633:A2 0
5 *4622:A2 0.000252175
6 *4620:X 0.00141068
7 *574:81 0.00172599
8 *574:53 0.0014015
9 *574:34 0.000945013
10 *574:29 0.00214632
11 *574:20 0.00278377
12 *4622:A2 *619:15 8.6931e-05
13 *4622:A2 *1000:83 7.61865e-05
14 *4646:A2 *4646:A1 1.16872e-05
15 *4646:A2 *4646:B1 1.45525e-05
16 *4646:A2 *617:11 1.57187e-05
17 *4655:A2 *4655:B1 8.8567e-05
18 *4655:A2 *4657:B 6.50727e-05
19 *4655:A2 *5078:A 1.82679e-05
20 *4655:A2 *776:8 0.000196638
21 *574:20 *5068:A 0.000120794
22 *574:20 *760:8 2.02035e-05
23 *574:20 *828:105 3.37164e-05
24 *574:20 *1006:20 2.53815e-06
25 *574:20 *1006:27 1.36862e-05
26 *574:20 *1035:8 0.000217602
27 *574:20 *1035:42 0.000166548
28 *574:29 *4842:CLK 0.000370398
29 *574:29 *4870:CLK 0.000951772
30 *574:29 *5131:A 1.91246e-05
31 *574:29 *776:8 0.000278164
32 *574:29 *828:105 5.37882e-05
33 *574:29 *1006:57 3.91048e-05
34 *574:29 *1035:53 0.00015187
35 *574:34 *4405:A2 4.0752e-05
36 *574:34 *4870:CLK 6.50727e-05
37 *574:34 *616:49 9.80242e-07
38 *574:34 *1000:83 6.76686e-05
39 *574:53 *4867:CLK 2.96495e-05
40 *574:53 *617:11 6.51527e-05
41 *574:81 *4644:C 0.000115932
42 *574:81 *4646:A1 2.1558e-06
43 *574:81 *4657:B 2.92975e-05
44 *574:81 *4867:CLK 5.82787e-05
45 *574:81 *580:16 0.000244041
46 *574:81 *589:24 0.000177601
47 *574:81 *603:49 5.481e-05
48 *574:81 *612:73 1.98448e-05
49 *574:81 *855:14 5.83513e-05
50 *4405:B1 *574:34 2.37827e-05
51 *4405:B2 *574:34 3.84031e-05
52 *4407:B2 *574:34 2.37402e-06
53 *4409:A1 *574:29 0.00037548
54 *4460:B1 *4622:A2 0.000292728
55 *4460:B1 *574:34 1.86757e-05
56 *4633:C1 *574:34 0.00015035
57 *4655:C1 *4655:A2 0.000225627
58 *4864:D *4622:A2 0.000158371
59 *38:17 *574:34 2.56173e-05
60 *214:27 *574:20 3.12734e-06
61 *324:13 *574:81 2.78219e-06
62 *326:72 *574:29 5.09247e-06
63 *332:55 *574:20 5.04898e-05
64 *334:84 *574:81 0.00048851
65 *335:32 *574:29 7.65861e-05
66 *338:116 *574:20 6.21488e-06
67 *345:13 *574:81 0.000339896
68 *397:15 *574:81 6.21488e-06
69 *412:65 *4622:A2 0.000162583
70 *413:64 *574:20 1.34573e-05
71 *436:8 *574:20 0.000627656
72 *436:8 *574:29 1.1095e-05
73 *436:26 *574:20 0.000554594
74 *443:25 *574:81 0.000110067
75 *444:33 *574:20 0
76 *490:55 *574:81 0
*RES
1 *4620:X *574:20 39.4656
2 *574:20 *574:29 38.8146
3 *574:29 *574:34 15.5138
4 *574:34 *4622:A2 22.2991
5 *574:34 *4633:A2 13.7491
6 *574:29 *574:53 6.332
7 *574:53 *4646:A2 14.9247
8 *574:53 *574:81 31.9254
9 *574:81 *4640:A2 9.24915
10 *574:81 *4655:A2 30.8087
*END
*D_NET *575 0.0136413
*CONN
*I *4622:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4621:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4622:B1 0.000102835
2 *4621:Y 0.00232891
3 *575:17 0.00134411
4 *575:5 0.00357018
5 *575:5 *646:16 6.50586e-05
6 *575:5 *987:5 0.000260374
7 *575:5 *987:16 9.32983e-05
8 *575:5 *1000:45 0.000571279
9 *575:5 *1000:55 9.8401e-05
10 *575:17 *5079:A 0.000275256
11 *575:17 *818:9 0.00165314
12 *4394:A1 *575:17 6.37186e-05
13 *4394:C1 *575:17 2.99843e-05
14 *4443:B *575:5 0.000400891
15 *4559:A2 *575:17 0.000130222
16 *4621:A2 *575:5 0.000158371
17 *4674:C1 *4622:B1 0.000141245
18 *4674:C1 *575:17 1.00981e-05
19 *4855:D *575:17 3.18826e-06
20 *225:14 *575:5 0.000446971
21 *283:15 *575:5 0.00037664
22 *376:31 *575:17 9.5562e-05
23 *412:65 *4622:B1 0.000253916
24 *534:33 *575:17 6.51637e-05
25 *553:11 *575:17 0.000217951
26 *554:21 *575:17 5.78746e-05
27 *560:20 *575:17 0.000826638
*RES
1 *4621:Y *575:5 47.131
2 *575:5 *575:17 48.3709
3 *575:17 *4622:B1 13.3002
*END
*D_NET *576 0.0424414
*CONN
*I *4674:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *4632:A I *D sky130_fd_sc_hd__nand2_1
*I *4668:A I *D sky130_fd_sc_hd__nand2_1
*I *4669:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4661:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4623:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4674:A1 0
2 *4632:A 0
3 *4668:A 0.000132153
4 *4669:A2 4.49558e-05
5 *4661:A2 0
6 *4623:X 0.000121102
7 *576:65 0.00110136
8 *576:61 0.00479224
9 *576:47 0.00581088
10 *576:46 0.00226404
11 *576:31 0.00110754
12 *576:15 0.00174599
13 *576:7 0.0010807
14 *4668:A *4632:B 6.78596e-05
15 *4668:A *616:7 0.000288525
16 *4669:A2 *4669:B1 3.75382e-05
17 *576:15 *4657:A 0.000145836
18 *576:15 *4657:B 4.70465e-05
19 *576:15 *4661:B2 3.93271e-05
20 *576:15 *596:11 7.92757e-06
21 *576:15 *600:60 2.44115e-05
22 *576:15 *602:10 9.82251e-05
23 *576:15 *606:8 0.00022011
24 *576:15 *609:94 7.34948e-06
25 *576:15 *1004:23 5.65971e-05
26 *576:31 *4869:CLK 0.000289631
27 *576:31 *605:20 0.000337654
28 *576:31 *1004:99 0.000176174
29 *576:31 *1005:5 6.23875e-05
30 *576:31 *1005:9 5.64721e-05
31 *576:31 *1037:24 0
32 *576:31 *1037:36 0
33 *576:31 *1038:8 0.00122847
34 *576:47 *4409:A2 1.43848e-05
35 *576:47 *4842:CLK 9.5562e-05
36 *576:61 *580:45 0.00408092
37 *576:61 *865:22 0.000276014
38 *576:65 *4632:B 6.50586e-05
39 *576:65 *4664:B 9.10377e-05
40 *576:65 *610:14 0.00176726
41 *576:65 *616:7 4.88955e-05
42 *576:65 *865:13 0
43 *576:65 *902:11 0
44 *4409:B2 *576:47 6.19637e-05
45 *4410:C1 *576:47 6.02078e-06
46 *4450:A *576:61 5.46988e-05
47 *4460:B1 *576:61 0.000199747
48 *4481:A2 *576:31 0.000251489
49 *4481:A2 *576:46 0.000123139
50 *4655:C1 *576:15 7.74511e-05
51 *4669:C1 *576:31 1.27071e-05
52 *4669:C1 *576:46 3.31733e-05
53 *4671:A *576:46 0.000271246
54 *4836:D *576:61 2.55314e-05
55 *4842:D *576:47 6.96362e-05
56 *4869:D *576:31 0.000369893
57 *84:17 *576:61 0.00392492
58 *229:20 *576:61 0.00300686
59 *289:21 *576:65 6.29237e-05
60 *326:92 *576:15 0.000721174
61 *329:108 *576:15 0.00137177
62 *338:96 *576:47 2.77625e-06
63 *341:70 *576:61 0
64 *345:33 *576:61 0
65 *395:23 *576:46 0.00042597
66 *412:78 *576:46 0.000399909
67 *419:59 *576:61 1.9448e-05
68 *428:91 *576:61 0.000748684
69 *433:7 *576:47 0.00132326
70 *454:23 *576:61 2.33103e-06
71 *458:14 *576:61 0.000474588
72 *490:55 *576:15 0.000328067
73 *513:14 *576:61 9.84424e-06
74 *513:22 *576:61 1.42855e-05
75 *565:17 *576:61 9.32391e-05
76 *565:29 *576:61 0.00012305
*RES
1 *4623:X *576:7 16.1364
2 *576:7 *576:15 43.1021
3 *576:15 *4661:A2 9.24915
4 *576:15 *576:31 41.548
5 *576:31 *4669:A2 10.5513
6 *576:31 *576:46 22.6307
7 *576:46 *576:47 30.1174
8 *576:47 *576:61 47.5604
9 *576:61 *576:65 39.2374
10 *576:65 *4668:A 22.6544
11 *576:65 *4632:A 9.24915
12 *576:47 *4674:A1 9.24915
*END
*D_NET *577 0.00329686
*CONN
*I *4625:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4634:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4624:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *4625:B1 0.000141476
2 *4634:B1 0.000550059
3 *4624:X 0.000277879
4 *577:7 0.000969413
5 *4634:B1 *4480:A1 2.95631e-05
6 *4634:B1 *4634:C1 4.49912e-05
7 *4634:B1 *4635:A2 8.16599e-05
8 *4634:B1 *4817:B 6.74182e-05
9 *4634:B1 *582:10 2.99799e-05
10 *4634:B1 *777:30 0
11 *4618:B1 *577:7 8.14875e-05
12 *4625:A2 *4625:B1 0.000308028
13 *4625:A2 *4634:B1 4.42142e-05
14 *4629:B2 *4634:B1 5.21522e-05
15 *4634:A1 *4634:B1 2.85352e-05
16 *335:10 *4625:B1 0.000299402
17 *335:10 *4634:B1 6.35563e-05
18 *335:29 *4634:B1 1.02918e-05
19 *569:10 *4634:B1 3.029e-06
20 *573:24 *577:7 0.000213725
*RES
1 *4624:X *577:7 18.3548
2 *577:7 *4634:B1 26.0141
3 *577:7 *4625:B1 19.7337
*END
*D_NET *578 0.00953732
*CONN
*I *4649:B I *D sky130_fd_sc_hd__or2_1
*I *4626:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4642:B I *D sky130_fd_sc_hd__xor2_1
*I *4636:B I *D sky130_fd_sc_hd__xnor2_1
*I *4625:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4649:B 0.000910283
2 *4626:A 0
3 *4642:B 6.48035e-05
4 *4636:B 0.000291693
5 *4625:X 0.00105234
6 *578:19 0.000663052
7 *578:14 0.000480886
8 *578:11 0.00213695
9 *4636:B *4480:A1 3.99086e-06
10 *4642:B *4647:A1 6.50586e-05
11 *4642:B *587:82 2.16355e-05
12 *4649:B *4644:B 0.000300565
13 *4649:B *4651:A 6.50727e-05
14 *4649:B *4658:A1 6.50727e-05
15 *4649:B *4658:A2 0.000123688
16 *4649:B *4869:CLK 0.00059656
17 *4649:B *601:62 0.000267094
18 *4649:B *603:55 1.65872e-05
19 *578:11 *4644:B 0.000247443
20 *578:11 *832:132 9.19632e-06
21 *578:11 *832:145 1.03675e-05
22 *578:11 *1004:23 9.80242e-07
23 *578:14 *579:10 5.45571e-05
24 *578:14 *579:77 0.000189547
25 *578:14 *580:16 0.000293729
26 *578:19 *4480:A1 2.20903e-05
27 *578:19 *580:16 4.76283e-05
28 *578:19 *1037:36 0.000111708
29 *4625:A1 *578:11 2.54982e-05
30 *4625:A2 *578:11 1.1718e-05
31 *4636:A *4636:B 4.82656e-05
32 *4636:A *4642:B 0.000122068
33 *4866:D *578:11 3.48985e-05
34 *4868:D *4649:B 9.46352e-05
35 *324:13 *4642:B 0.000118485
36 *324:13 *578:11 0.000372828
37 *326:77 *4649:B 7.83796e-05
38 *334:84 *578:14 3.48104e-05
39 *334:84 *578:19 4.76283e-05
40 *345:13 *578:11 0.000364134
41 *569:10 *578:11 7.13972e-05
*RES
1 *4625:X *578:11 38.1576
2 *578:11 *578:14 10.4845
3 *578:14 *578:19 9.55251
4 *578:19 *4636:B 13.7342
5 *578:19 *4642:B 21.2198
6 *578:14 *4626:A 13.7491
7 *578:11 *4649:B 41.6106
*END
*D_NET *579 0.0373427
*CONN
*I *4665:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4656:B I *D sky130_fd_sc_hd__xnor2_1
*I *4627:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4647:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4650:B I *D sky130_fd_sc_hd__nand2_1
*I *4626:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4665:B1 0.000422493
2 *4656:B 2.07208e-05
3 *4627:A 3.70787e-05
4 *4647:B1 0.000155502
5 *4650:B 0.000111002
6 *4626:X 0
7 *579:90 0.000680299
8 *579:77 0.00103241
9 *579:49 0.00453416
10 *579:13 0.00498877
11 *579:10 0.00159862
12 *579:4 0.000430262
13 *4627:A *4643:B1 6.50586e-05
14 *4627:A *5063:TE_B 0.000330596
15 *4627:A *580:7 0.000113197
16 *4647:B1 *4480:A1 0.000217937
17 *4647:B1 *4629:C1 2.52921e-05
18 *4647:B1 *597:16 5.47093e-05
19 *4650:B *4650:A 9.12416e-06
20 *4650:B *592:18 5.00837e-05
21 *4650:B *917:24 0.000164339
22 *4650:B *1002:62 2.05342e-06
23 *4656:B *777:30 3.14978e-05
24 *4665:B1 *4459:A1 2.99987e-05
25 *4665:B1 *4665:A1 6.08467e-05
26 *4665:B1 *4666:A3 0.000406728
27 *4665:B1 *4666:B1 0.000139764
28 *4665:B1 *596:11 0.000349292
29 *4665:B1 *605:5 6.08467e-05
30 *4665:B1 *614:29 5.04829e-06
31 *4665:B1 *777:30 4.81015e-05
32 *4665:B1 *1046:44 7.68538e-06
33 *579:10 *1037:36 0.00010306
34 *579:13 *594:11 0.00112135
35 *579:13 *1005:29 8.16231e-05
36 *579:49 *4553:B 0.000130812
37 *579:49 *4571:C1 6.78284e-05
38 *579:49 *4908:CLK 1.74249e-05
39 *579:49 *5099:A 0.000563354
40 *579:49 *587:41 0.000535148
41 *579:49 *590:26 0.000616184
42 *579:49 *594:11 0.00167213
43 *579:49 *603:31 0.000107571
44 *579:49 *608:40 0.00048721
45 *579:49 *632:45 0.00181254
46 *579:49 *917:24 0
47 *579:49 *1002:62 0.00180873
48 *579:49 *1004:67 0
49 *579:49 *1005:29 0.00152087
50 *579:49 *1009:78 7.60356e-05
51 *579:77 *580:16 0.0015924
52 *579:77 *593:37 7.36804e-06
53 *579:77 *603:55 0.0002057
54 *579:90 *1037:36 8.29362e-05
55 *4567:B *579:49 0
56 *4568:B *579:49 0.000756139
57 *4866:D *579:77 0.000145462
58 *276:26 *579:49 2.39139e-05
59 *329:108 *579:90 0.000156005
60 *331:113 *579:77 6.04056e-05
61 *334:84 *579:10 5.45571e-05
62 *334:84 *579:77 0.000885934
63 *336:125 *4647:B1 2.59935e-05
64 *337:11 *579:77 0.000237038
65 *337:70 *579:77 0.000536745
66 *341:56 *579:49 6.39153e-06
67 *345:13 *579:13 0.000314713
68 *351:44 *4647:B1 6.50586e-05
69 *443:25 *4665:B1 0.00061422
70 *456:14 *579:13 0.00166789
71 *456:14 *579:49 0.00168085
72 *490:55 *579:90 0.000156005
73 *515:8 *579:49 6.1449e-05
74 *515:15 *579:49 8.91475e-05
75 *529:5 *579:49 0.000107496
76 *529:26 *579:49 0.000657276
77 *571:32 *579:49 2.1203e-06
78 *578:14 *579:10 5.45571e-05
79 *578:14 *579:77 0.000189547
*RES
1 *4626:X *579:4 9.24915
2 *579:4 *579:10 9.08496
3 *579:10 *579:13 5.71338
4 *579:13 *579:49 49.925
5 *579:49 *4650:B 20.4033
6 *579:13 *4647:B1 21.5448
7 *579:10 *579:77 47.2099
8 *579:77 *4627:A 12.7456
9 *579:4 *579:90 15.5983
10 *579:90 *4656:B 9.97254
11 *579:90 *4665:B1 36.1268
*END
*D_NET *580 0.0487438
*CONN
*I *4671:B I *D sky130_fd_sc_hd__xnor2_1
*I *4662:B I *D sky130_fd_sc_hd__nor2_1
*I *4663:B I *D sky130_fd_sc_hd__and2_1
*I *4641:B I *D sky130_fd_sc_hd__nand2_1
*I *4628:B I *D sky130_fd_sc_hd__nor2_1
*I *4627:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4671:B 8.60327e-05
2 *4662:B 0.000761827
3 *4663:B 0
4 *4641:B 0.000787488
5 *4628:B 0
6 *4627:X 0.000242498
7 *580:100 0.0028089
8 *580:87 0.00220759
9 *580:60 0.00212933
10 *580:45 0.00362124
11 *580:33 0.00351991
12 *580:16 0.00312804
13 *580:7 0.00205553
14 *4641:B *4400:A 0
15 *4641:B *4734:A 1.77137e-05
16 *4641:B *582:42 6.61711e-06
17 *4641:B *583:20 0.000253432
18 *4641:B *656:17 0.000111722
19 *4641:B *917:24 0.000231051
20 *4641:B *1009:44 3.54374e-05
21 *4662:B *4429:A 0.000254375
22 *4662:B *1051:13 7.50722e-05
23 *4662:B *1052:16 0.000103044
24 *4671:B *4870:CLK 0.00020502
25 *580:7 *4643:B1 6.08467e-05
26 *580:7 *5063:TE_B 1.19856e-05
27 *580:16 *593:37 0.00235137
28 *580:16 *603:55 0.000202238
29 *580:16 *855:14 5.93953e-05
30 *580:33 *4633:B1 4.73966e-05
31 *580:33 *4670:B1 0.000107496
32 *580:33 *592:64 0.00026564
33 *580:33 *611:18 0.000113968
34 *580:33 *616:49 0.000160099
35 *580:33 *831:50 4.04447e-05
36 *580:33 *1001:40 9.39824e-05
37 *580:33 *1001:56 0.000305827
38 *580:45 *4725:A2 8.51781e-05
39 *580:45 *4725:A3 0.000163202
40 *580:45 *865:22 0.000143828
41 *580:45 *985:26 9.75148e-06
42 *580:45 *1006:63 0.000107855
43 *580:45 *1013:8 7.25049e-05
44 *580:60 *4630:A 0.000122083
45 *580:60 *4708:A 0.00012402
46 *580:60 *4719:A 0.000616314
47 *580:60 *583:20 0.00024065
48 *580:60 *620:38 1.28646e-05
49 *580:60 *646:16 0.000154642
50 *580:60 *818:33 0.000172625
51 *580:60 *982:12 4.88764e-06
52 *580:60 *984:24 7.09666e-06
53 *580:60 *1001:86 0.00152452
54 *580:60 *1008:17 0.000927438
55 *580:60 *1009:44 0.000230944
56 *580:87 *592:64 5.76799e-05
57 *580:87 *617:11 0
58 *580:100 *4406:A_N 0.000292706
59 *580:100 *5068:A 3.02488e-05
60 *4405:A1 *580:100 2.78666e-05
61 *4405:B1 *580:33 0.000753593
62 *4407:A1 *580:100 0.000175252
63 *4421:C *4662:B 0.000244152
64 *4424:B1 *4662:B 3.63738e-05
65 *4432:B1 *4662:B 0.000448675
66 *4445:B1 *580:100 6.03237e-05
67 *4450:A *580:33 5.96e-05
68 *4455:A *580:45 0
69 *4481:A2 *580:100 1.43499e-05
70 *4558:B1 *580:60 2.30978e-05
71 *4567:A *4641:B 0.000441764
72 *4568:B *4641:B 2.8575e-05
73 *4592:A *580:45 0.000142123
74 *4606:B *580:33 0.000390082
75 *4607:B *580:33 7.43596e-05
76 *4609:A2 *580:33 0.000411834
77 *4620:A *580:100 0.000163315
78 *4627:A *580:7 0.000113197
79 *4866:D *580:16 0.000145462
80 *4886:D *580:60 0.000101133
81 *38:17 *580:33 0.000138896
82 *38:17 *580:87 3.12734e-06
83 *229:20 *580:45 4.30499e-05
84 *233:13 *4662:B 0.000367244
85 *234:11 *4662:B 0.00020526
86 *237:12 *4662:B 0.0007525
87 *289:21 *4641:B 0
88 *290:23 *4641:B 0.000111722
89 *334:84 *580:16 3.88655e-06
90 *334:91 *580:87 5.01835e-05
91 *334:91 *580:100 0.000821923
92 *341:70 *580:45 4.88378e-05
93 *346:104 *4662:B 4.73076e-05
94 *350:9 *580:45 0
95 *376:31 *580:33 3.77568e-05
96 *378:20 *580:60 1.42903e-05
97 *378:24 *580:45 9.82479e-06
98 *382:23 *580:60 1.47559e-05
99 *385:23 *580:60 1.75682e-05
100 *397:15 *4641:B 0
101 *400:67 *580:33 1.85963e-05
102 *417:11 *4662:B 0.000110696
103 *419:62 *580:100 0.000817975
104 *437:21 *580:45 0.00209847
105 *442:17 *4662:B 3.88655e-06
106 *443:25 *580:33 6.44644e-05
107 *444:33 *580:100 0
108 *457:80 *580:45 0.000432416
109 *490:30 *580:45 0.000201141
110 *490:33 *580:33 0.000133413
111 *490:55 *580:16 1.79334e-05
112 *523:44 *4641:B 0
113 *532:19 *4641:B 1.56949e-05
114 *560:48 *4641:B 0.000224339
115 *561:10 *4641:B 4.63297e-05
116 *561:10 *580:60 0.000192855
117 *574:81 *580:16 0.000244041
118 *576:61 *580:45 0.00408092
119 *578:14 *580:16 0.000293729
120 *578:19 *580:16 4.76283e-05
121 *579:77 *580:16 0.0015924
*RES
1 *4627:X *580:7 17.8002
2 *580:7 *580:16 49.7686
3 *580:16 *580:33 23.0654
4 *580:33 *580:45 49.0212
5 *580:45 *580:60 32.2254
6 *580:60 *4628:B 13.7491
7 *580:60 *4641:B 36.5958
8 *580:33 *4663:B 9.24915
9 *580:16 *580:87 4.66603
10 *580:87 *580:100 27.3855
11 *580:100 *4662:B 44.4412
12 *580:87 *4671:B 16.1364
*END
*D_NET *581 0.0005996
*CONN
*I *4630:A I *D sky130_fd_sc_hd__nor2_1
*I *4628:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4630:A 0.000122163
2 *4628:Y 0.000122163
3 *4882:D *4630:A 0.000107496
4 *561:10 *4630:A 0.000125695
5 *580:60 *4630:A 0.000122083
*RES
1 *4628:Y *4630:A 31.0235
*END
*D_NET *582 0.0246579
*CONN
*I *4635:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4630:B I *D sky130_fd_sc_hd__nor2_1
*I *1060:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4629:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4635:B1 0
2 *4630:B 2.60774e-05
3 *1060:DIODE 7.48222e-05
4 *4629:X 0.000336248
5 *582:42 0.000906719
6 *582:35 0.00303577
7 *582:10 0.00256619
8 *1060:DIODE *1009:44 8.01687e-05
9 *4630:B *4731:A 0.000107496
10 *582:10 *4634:C1 6.85547e-05
11 *582:10 *1001:12 0.000120437
12 *582:10 *1002:25 0.000100741
13 *582:35 *4635:A1 0.000236795
14 *582:35 *4730:B 2.15348e-05
15 *582:35 *4745:A 1.9101e-05
16 *582:35 *4748:A1 0.000169041
17 *582:35 *5049:A 3.91468e-05
18 *582:35 *583:52 0.00594702
19 *582:35 *592:64 0.00145109
20 *582:35 *655:11 0.000460207
21 *582:35 *665:23 0.000474711
22 *582:35 *696:45 0.000227877
23 *582:35 *696:62 1.15389e-05
24 *582:35 *865:47 1.5714e-05
25 *582:35 *974:21 4.88955e-05
26 *582:35 *980:8 0.000107496
27 *582:35 *1001:12 0.000282306
28 *582:35 *1013:29 0.00160887
29 *582:35 *1014:37 0.000691713
30 *582:42 *4731:A 0.000104624
31 *582:42 *588:29 2.62977e-05
32 *582:42 *644:9 0.000191211
33 *582:42 *980:8 3.29488e-05
34 *582:42 *1009:44 0.000486909
35 *582:42 *1014:37 0.000830703
36 *4564:A *582:35 6.30363e-05
37 *4595:B *1060:DIODE 2.04806e-05
38 *4603:A *582:42 0.000323767
39 *4629:B2 *582:10 1.43848e-05
40 *4634:B1 *582:10 2.99799e-05
41 *4641:B *582:42 6.61711e-06
42 *4852:D *582:35 3.31745e-05
43 *4898:D *582:42 0.000648475
44 *38:29 *1060:DIODE 5.61588e-05
45 *38:29 *4630:B 0.000107496
46 *38:29 *582:42 6.61114e-05
47 *84:17 *582:35 0.000222843
48 *288:14 *582:35 8.5449e-05
49 *335:10 *582:10 0
50 *335:29 *582:10 5.29535e-05
51 *335:29 *582:35 1.69932e-05
52 *346:62 *582:42 3.63593e-05
53 *400:20 *582:35 0.000548008
54 *443:25 *582:35 3.51329e-05
55 *523:44 *582:42 9.58043e-06
56 *527:39 *582:42 0.000656449
57 *532:19 *582:42 6.22259e-05
58 *539:19 *582:35 1.73717e-05
59 *549:23 *582:35 0.000635849
*RES
1 *4629:X *582:10 21.1899
2 *582:10 *582:35 49.4126
3 *582:35 *582:42 23.0838
4 *582:42 *1060:DIODE 15.8893
5 *582:42 *4630:B 15.0271
6 *582:10 *4635:B1 13.7491
*END
*D_NET *583 0.0229604
*CONN
*I *4631:B I *D sky130_fd_sc_hd__xnor2_1
*I *4630:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4631:B 0
2 *4630:Y 0.000802631
3 *583:52 0.00286649
4 *583:20 0.00366912
5 *583:20 *5142:A 2.1203e-06
6 *583:20 *584:56 0.000771173
7 *583:20 *616:7 0.000158357
8 *583:20 *655:11 3.31745e-05
9 *583:20 *718:24 5.88009e-05
10 *583:20 *820:5 6.08467e-05
11 *583:20 *829:37 5.88009e-05
12 *583:20 *1009:44 0.000473529
13 *583:52 *4635:A1 1.91246e-05
14 *583:52 *4892:CLK 0
15 *583:52 *585:12 0.000400335
16 *583:52 *592:64 1.91246e-05
17 *583:52 *612:40 2.20619e-05
18 *583:52 *616:7 0.000122893
19 *583:52 *644:36 2.20619e-05
20 *583:52 *696:45 1.86239e-05
21 *583:52 *718:24 0.000206449
22 *583:52 *829:60 1.92346e-05
23 *583:52 *1013:29 4.58022e-05
24 *583:52 *1014:37 0.00172419
25 *4582:A *583:52 8.1307e-05
26 *4589:B *583:20 0.000296724
27 *4641:B *583:20 0.000253432
28 *289:21 *583:20 0.000403458
29 *289:21 *583:52 5.84153e-05
30 *346:62 *583:20 7.15882e-06
31 *376:9 *583:52 6.98716e-05
32 *419:33 *583:52 0.0014389
33 *443:25 *583:52 8.20799e-06
34 *490:33 *583:52 1.00725e-05
35 *520:26 *583:20 5.01835e-05
36 *532:19 *583:20 0.000553696
37 *532:19 *583:52 2.37537e-05
38 *549:23 *583:52 0.00159668
39 *560:48 *583:52 0.000345904
40 *580:60 *583:20 0.00024065
41 *582:35 *583:52 0.00594702
*RES
1 *4630:Y *583:20 49.7357
2 *583:20 *583:52 41.3568
3 *583:52 *4631:B 9.24915
*END
*D_NET *584 0.0224323
*CONN
*I *4632:B I *D sky130_fd_sc_hd__nand2_1
*I *4631:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4632:B 0.000426136
2 *4631:Y 0.00152466
3 *584:56 0.00218065
4 *584:33 0.0026162
5 *584:14 0.00238633
6 *4632:B *4376:A 6.50586e-05
7 *4632:B *4379:A 0.000258222
8 *4632:B *616:7 0.000223412
9 *4632:B *655:11 0.000555321
10 *584:14 *4648:B1 0.000398075
11 *584:33 *4568:A 3.63291e-05
12 *584:33 *4591:A1 4.15661e-05
13 *584:33 *4600:A2 0.000213725
14 *584:33 *588:29 0.000490719
15 *584:33 *1009:78 5.88834e-06
16 *584:56 *4728:B 0
17 *584:56 *696:20 0
18 *584:56 *718:24 0.00160893
19 *584:56 *1013:29 0.000216073
20 *584:56 *1014:37 9.88795e-05
21 *4480:B1 *584:14 5.26503e-05
22 *4555:A2 *584:33 0.000293303
23 *4568:B *584:33 0.000107496
24 *4576:B1_N *584:56 3.83336e-05
25 *4582:A *584:56 0.000401276
26 *4589:B *584:56 0.00266628
27 *4590:B1_N *584:33 0.00114336
28 *4591:A2 *584:33 0.000105636
29 *4591:B1 *584:33 0.000322469
30 *4594:A *584:14 0.000153624
31 *4601:B1 *584:14 1.0187e-05
32 *4602:B *584:14 5.71805e-05
33 *4603:A *4632:B 0.000200794
34 *4603:B *4632:B 6.50586e-05
35 *4605:A1 *584:14 7.39264e-05
36 *4606:B *584:14 3.02981e-05
37 *4668:A *4632:B 6.78596e-05
38 *4858:D *584:33 9.12416e-06
39 *4860:D *584:14 2.27595e-05
40 *344:66 *584:56 7.45608e-05
41 *400:40 *584:56 2.44885e-05
42 *400:59 *584:14 0.000469002
43 *432:41 *584:56 2.81881e-05
44 *435:15 *584:56 4.16052e-05
45 *454:41 *584:14 0.000113968
46 *513:37 *584:56 9.54065e-06
47 *516:5 *584:33 0.000381471
48 *520:65 *584:56 6.08467e-05
49 *521:11 *584:56 0.000220733
50 *523:77 *584:56 0.000555996
51 *526:22 *584:14 3.58044e-05
52 *527:30 *584:56 2.77564e-05
53 *531:21 *584:56 3.68676e-05
54 *532:19 *584:56 2.50646e-05
55 *534:16 *584:33 0.000220183
56 *537:35 *584:56 2.43314e-05
57 *556:28 *584:14 5.58174e-05
58 *573:112 *584:56 2.20094e-05
59 *576:65 *4632:B 6.50586e-05
60 *583:20 *584:56 0.000771173
*RES
1 *4631:Y *584:14 48.304
2 *584:14 *584:33 42.9792
3 *584:33 *584:56 43.1836
4 *584:56 *4632:B 23.8617
*END
*D_NET *585 0.0173564
*CONN
*I *4633:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4632:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4633:B1 0.00149156
2 *4632:Y 0.000801445
3 *585:23 0.0027685
4 *585:12 0.00207838
5 *4633:B1 *4585:A1 4.58003e-05
6 *4633:B1 *4633:A1 8.41713e-05
7 *4633:B1 *611:18 0.000444757
8 *585:12 *616:7 0.00240047
9 *585:12 *696:45 0
10 *585:12 *718:24 0.000413252
11 *585:12 *1013:29 6.74182e-05
12 *585:23 *4585:A1 3.50311e-06
13 *585:23 *4714:A 6.08467e-05
14 *585:23 *612:40 0.000710649
15 *585:23 *644:49 0.000207266
16 *585:23 *696:45 2.65335e-05
17 *585:23 *829:48 0.000111708
18 *585:23 *831:16 0.000132798
19 *585:23 *1011:8 0.000156955
20 *585:23 *1011:34 8.22577e-05
21 *585:23 *1013:29 0.00118886
22 *4581:A1 *585:12 3.92832e-05
23 *4581:B1 *585:12 9.0525e-06
24 *4582:B *4633:B1 0.000114584
25 *4583:B *4633:B1 6.64392e-05
26 *4584:A2 *585:23 6.12686e-06
27 *4585:B1 *4633:B1 3.14978e-05
28 *4585:B1 *585:23 2.42273e-05
29 *4590:A1 *4633:B1 0.000111708
30 *4606:B *4633:B1 0.000649175
31 *4607:B *4633:B1 0.000351839
32 *4633:C1 *4633:B1 0.000753216
33 *4857:D *585:23 0.000425421
34 *38:17 *4633:B1 0.000279452
35 *377:29 *585:12 0.000207266
36 *380:13 *585:12 0.000160617
37 *540:24 *585:12 8.91584e-05
38 *544:18 *585:23 0.000247443
39 *561:7 *585:12 6.50727e-05
40 *580:33 *4633:B1 4.73966e-05
41 *583:52 *585:12 0.000400335
*RES
1 *4632:Y *585:12 48.4342
2 *585:12 *585:23 35.784
3 *585:23 *4633:B1 49.6327
*END
*D_NET *586 0.00119465
*CONN
*I *4635:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4634:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4635:A2 0.000416368
2 *4634:X 0.000416368
3 *4635:A2 *4480:A1 8.88984e-06
4 *4635:A2 *4634:C1 4.69495e-06
5 *4635:A2 *598:20 0
6 *4635:A2 *1001:12 4.45057e-05
7 *4635:A2 *1002:25 0.000222168
8 *4634:B1 *4635:A2 8.16599e-05
*RES
1 *4634:X *4635:A2 34.7664
*END
*D_NET *587 0.0263533
*CONN
*I *4637:A I *D sky130_fd_sc_hd__or2_1
*I *4648:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4638:A I *D sky130_fd_sc_hd__nand2_1
*I *4635:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4637:A 0.000124147
2 *4648:A1 0
3 *4638:A 6.36494e-05
4 *4635:X 2.98533e-05
5 *587:82 0.00123171
6 *587:66 0.000820117
7 *587:41 0.00263638
8 *587:5 0.00301733
9 *4637:A *4637:B 0.000193675
10 *4637:A *4648:B1 0.000107496
11 *4637:A *589:24 2.71504e-05
12 *4638:A *590:26 4.58003e-05
13 *587:5 *4647:A1 0.000148666
14 *587:41 *4552:A_N 8.6297e-06
15 *587:41 *4552:B 0.000159331
16 *587:41 *4554:A 0.000151004
17 *587:41 *4647:A1 0.000115934
18 *587:41 *4748:A4 1.91246e-05
19 *587:41 *5049:A 0.000190421
20 *587:41 *590:26 3.34036e-05
21 *587:41 *592:40 0.000388079
22 *587:41 *597:16 1.5714e-05
23 *587:41 *632:45 0.000315198
24 *587:41 *865:47 0.00178835
25 *587:41 *972:10 0.0001822
26 *587:41 *1002:62 0.0011252
27 *587:41 *1027:26 1.9101e-05
28 *587:66 *4554:A 0.000627621
29 *587:66 *4617:A 8.56161e-05
30 *587:66 *4738:A 0.000163324
31 *587:66 *4894:CLK 4.99013e-05
32 *587:66 *590:26 2.24828e-05
33 *587:66 *603:31 0.000641173
34 *587:66 *621:49 0.000149783
35 *587:66 *632:45 0.000199478
36 *587:66 *643:19 0.000637631
37 *587:66 *1005:54 2.36936e-05
38 *587:66 *1024:37 0.000512978
39 *587:82 *4647:A1 8.60976e-05
40 *587:82 *589:24 0.00021682
41 *587:82 *593:10 3.82228e-05
42 *587:82 *1002:25 0.000353613
43 *587:82 *1003:26 0.000157854
44 *4333:A *4638:A 1.88014e-05
45 *4642:B *587:82 2.16355e-05
46 *248:19 *587:66 0.000304777
47 *276:26 *4638:A 0.000169872
48 *290:23 *587:66 0.000115813
49 *291:22 *587:66 8.41511e-06
50 *328:19 *587:66 0
51 *334:84 *587:82 1.01315e-05
52 *335:29 *4637:A 0.000455774
53 *338:81 *587:41 1.5714e-05
54 *346:62 *587:66 6.24695e-05
55 *397:15 *587:41 0.00482909
56 *400:54 *587:41 0.00185909
57 *490:55 *587:82 1.19375e-05
58 *513:37 *587:41 4.61004e-06
59 *571:21 *587:66 0.000513008
60 *571:32 *587:41 0.000493052
61 *579:49 *587:41 0.000535148
*RES
1 *4635:X *587:5 11.0817
2 *587:5 *587:41 47.0894
3 *587:41 *587:66 45.7839
4 *587:66 *4638:A 16.1364
5 *587:5 *587:82 28.756
6 *587:82 *4648:A1 9.24915
7 *587:82 *4637:A 14.9881
*END
*D_NET *588 0.0252685
*CONN
*I *4637:B I *D sky130_fd_sc_hd__or2_1
*I *4648:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4638:B I *D sky130_fd_sc_hd__nand2_1
*I *4636:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4637:B 0.000116175
2 *4648:A2 0
3 *4638:B 0.000630147
4 *4636:Y 0
5 *588:47 0.000544753
6 *588:29 0.00228972
7 *588:7 0.0042017
8 *588:4 0.0029707
9 *4637:B *4648:B1 0.000107496
10 *4637:B *589:24 0.000262345
11 *4637:B *614:29 1.6383e-05
12 *4638:B *4695:A 0.000128206
13 *4638:B *661:10 4.88764e-06
14 *4638:B *696:62 7.72673e-05
15 *588:7 *4480:A1 0.00238545
16 *588:7 *1012:7 2.4399e-05
17 *588:7 *1012:33 2.1801e-05
18 *588:29 *4552:B 9.56135e-05
19 *588:29 *4553:B 2.40371e-05
20 *588:29 *4568:A 0.000107496
21 *588:29 *4728:A 3.63738e-05
22 *588:29 *4745:C 9.51601e-06
23 *588:29 *917:24 0.0015647
24 *588:47 *4480:A1 0.000246176
25 *588:47 *4644:C 3.31882e-05
26 *588:47 *4666:A1 8.01687e-05
27 *588:47 *589:24 0.000192175
28 *588:47 *593:10 0.000167794
29 *588:47 *614:29 4.73169e-05
30 *4267:A *588:29 0.000171825
31 *4480:B1 *588:7 2.16355e-05
32 *4562:A2 *588:7 0.000107496
33 *4567:B *588:29 0.00142711
34 *4568:B *588:29 2.15184e-05
35 *4591:A2 *588:29 0.00011818
36 *4636:A *588:47 1.14585e-05
37 *4637:A *4637:B 0.000193675
38 *4852:D *588:29 0.000187257
39 *4858:D *588:7 0.000292621
40 *4898:D *588:29 0.00031199
41 *276:26 *4638:B 6.50586e-05
42 *324:13 *588:47 0.000256061
43 *328:19 *4638:B 0.000404663
44 *328:20 *4638:B 0.000284555
45 *330:16 *4638:B 1.4639e-05
46 *335:29 *4637:B 1.27337e-05
47 *335:29 *588:47 3.82228e-05
48 *335:74 *588:29 3.44695e-05
49 *341:56 *588:29 0.000297745
50 *351:23 *588:7 7.25973e-05
51 *379:42 *588:29 0.000991753
52 *397:15 *588:29 2.39139e-05
53 *520:26 *588:29 0.000214982
54 *524:8 *588:29 7.09666e-06
55 *525:16 *588:29 2.05344e-05
56 *527:30 *588:29 6.50727e-05
57 *527:39 *588:29 0.000980932
58 *527:94 *588:29 0.00104616
59 *531:21 *588:29 0.000390911
60 *534:16 *588:29 0.000194703
61 *557:53 *588:29 8.39536e-05
62 *582:42 *588:29 2.62977e-05
63 *584:33 *588:29 0.000490719
*RES
1 *4636:Y *588:4 9.24915
2 *588:4 *588:7 46.8187
3 *588:7 *588:29 47.1553
4 *588:29 *4638:B 32.3385
5 *588:4 *588:47 21.2806
6 *588:47 *4648:A2 9.24915
7 *588:47 *4637:B 14.6023
*END
*D_NET *589 0.00382784
*CONN
*I *4639:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4637:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4639:A1 0
2 *4637:X 0.000822147
3 *589:24 0.000822147
4 *589:24 *4644:C 5.77352e-05
5 *589:24 *4645:A 4.60375e-07
6 *589:24 *4646:A1 0
7 *589:24 *4661:B2 0.000213739
8 *589:24 *593:10 0.000174921
9 *589:24 *609:94 0.000138901
10 *589:24 *614:29 0.000455414
11 *589:24 *1003:26 2.04806e-05
12 *4637:A *589:24 2.71504e-05
13 *4637:B *589:24 0.000262345
14 *4645:C_N *589:24 1.21652e-05
15 *324:13 *589:24 4.19883e-05
16 *330:82 *589:24 0.000120548
17 *334:84 *589:24 8.62321e-06
18 *335:29 *589:24 2.61147e-05
19 *345:19 *589:24 3.63593e-05
20 *574:81 *589:24 0.000177601
21 *587:82 *589:24 0.00021682
22 *588:47 *589:24 0.000192175
*RES
1 *4637:X *589:24 47.8352
2 *589:24 *4639:A1 13.7491
*END
*D_NET *590 0.0330237
*CONN
*I *4643:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4639:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4644:B I *D sky130_fd_sc_hd__and3_1
*I *4638:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4643:A2 0
2 *4639:A2 0
3 *4644:B 0.000873504
4 *4638:Y 0.00216227
5 *590:70 0.00120991
6 *590:39 0.00181006
7 *590:26 0.00430873
8 *4644:B *4645:B 0.000932311
9 *4644:B *596:11 2.16355e-05
10 *4644:B *603:55 0.000302581
11 *4644:B *615:22 3.20069e-06
12 *590:26 *4617:A 0.00243809
13 *590:26 *4624:B 4.84017e-05
14 *590:26 *4817:B 2.1203e-06
15 *590:26 *603:31 0.000134524
16 *590:26 *643:19 0.000697375
17 *590:26 *704:11 0
18 *590:26 *709:11 9.88174e-05
19 *590:26 *718:45 0.00157029
20 *590:26 *1004:67 1.75436e-05
21 *590:26 *1025:34 0.000106446
22 *590:39 *806:19 0.00203812
23 *590:39 *837:14 0.000441021
24 *590:70 *4258:A 6.34651e-06
25 *590:70 *4643:A1 2.53145e-06
26 *590:70 *4643:B1 0.00014418
27 *590:70 *4794:A 0.000445479
28 *590:70 *4795:B1 6.87503e-05
29 *590:70 *4801:A 0.000116746
30 *590:70 *4809:A 0.000987208
31 *590:70 *4814:C 0
32 *590:70 *5091:TE_B 0.00023285
33 *590:70 *607:33 0
34 *590:70 *698:60 0
35 *590:70 *704:11 0
36 *590:70 *709:11 3.07481e-05
37 *590:70 *759:28 0.000167619
38 *590:70 *833:36 3.57886e-05
39 *590:70 *948:10 9.78496e-06
40 io_out[25] *590:70 7.09827e-05
41 *4618:A1 *590:39 0.000111722
42 *4625:A1 *590:39 5.81828e-05
43 *4629:B2 *590:39 2.14842e-06
44 *4638:A *590:26 4.58003e-05
45 *4639:B1_N *590:39 8.73932e-05
46 *4649:B *4644:B 0.000300565
47 *4654:B1_N *4644:B 5.46802e-05
48 *4654:B1_N *590:39 0.000160617
49 *4656:A *4644:B 4.31485e-06
50 *276:26 *590:26 0.00477717
51 *328:19 *590:26 2.26334e-05
52 *329:108 *4644:B 5.67796e-06
53 *335:74 *590:26 0.00174024
54 *346:102 *4644:B 3.73794e-05
55 *397:29 *4644:B 0.000408711
56 *490:55 *4644:B 0.000458051
57 *569:10 *590:39 4.73778e-05
58 *571:32 *590:26 0.0021445
59 *572:7 *590:39 5.95369e-05
60 *573:24 *590:39 4.15661e-05
61 *578:11 *4644:B 0.000247443
62 *579:49 *590:26 0.000616184
63 *587:41 *590:26 3.34036e-05
64 *587:66 *590:26 2.24828e-05
*RES
1 *4638:Y *590:26 39.7668
2 *590:26 *590:39 23.9882
3 *590:39 *4644:B 47.8582
4 *590:39 *4639:A2 9.24915
5 *590:26 *590:70 40.9402
6 *590:70 *4643:A2 9.24915
*END
*D_NET *591 0.0014495
*CONN
*I *4640:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4639:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4640:B1 0.000422397
2 *4639:X 0.000422397
3 *4640:B1 *602:10 0.000120548
4 *4640:B1 *603:55 2.78407e-05
5 *4654:B1_N *4640:B1 0.000340742
6 *346:102 *4640:B1 0.000115573
*RES
1 *4639:X *4640:B1 35.4604
*END
*D_NET *592 0.0441006
*CONN
*I *4643:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4644:A I *D sky130_fd_sc_hd__and3_1
*I *1061:DIODE I *D sky130_fd_sc_hd__diode_2
*I *4641:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4643:A1 0.000788331
2 *4644:A 0.000172069
3 *1061:DIODE 0
4 *4641:Y 0.000876622
5 *592:64 0.00215936
6 *592:40 0.00418154
7 *592:34 0.00352233
8 *592:33 0.00142834
9 *592:30 0.00227767
10 *592:18 0.00226571
11 *4643:A1 *4643:B1 0.000103378
12 *4643:A1 *5084:A 0.00172293
13 *4643:A1 *5091:TE_B 0.000823881
14 *4643:A1 *609:10 0.000507636
15 *4643:A1 *769:19 4.6284e-05
16 *4643:A1 *888:12 0.000115394
17 *4643:A1 *940:23 5.13735e-05
18 *4644:A *4644:C 0.00028331
19 *4644:A *612:73 0.000400321
20 *592:18 *4650:A 0.000155012
21 *592:18 *4653:A 0
22 *592:18 *4762:A 4.99151e-05
23 *592:18 *600:17 0.000309219
24 *592:18 *643:54 0.000296109
25 *592:18 *658:11 6.50586e-05
26 *592:18 *686:13 0
27 *592:18 *1002:62 3.74542e-05
28 *592:30 *5126:TE_B 0.000106696
29 *592:30 *653:11 5.8588e-05
30 *592:30 *674:16 2.20425e-05
31 *592:30 *856:8 0.000207578
32 *592:30 *858:22 0.000141234
33 *592:30 *862:25 5.38728e-05
34 *592:33 *690:19 0.000307141
35 *592:33 *702:9 0.00373538
36 *592:34 *5054:TE_B 1.96459e-05
37 *592:34 *674:22 0
38 *592:40 *4612:A 4.01433e-05
39 *592:40 *4771:B 4.49912e-05
40 *592:40 *4772:A1 1.80225e-05
41 *592:40 *4772:B1 0.000384647
42 *592:40 *4812:A 0
43 *592:40 *5054:TE_B 9.23646e-05
44 *592:40 *598:48 1.91246e-05
45 *592:40 *609:24 0.000128679
46 *592:40 *609:31 1.88337e-05
47 *592:40 *718:24 5.01835e-05
48 *592:40 *917:24 4.97218e-05
49 *592:40 *970:8 2.07321e-05
50 *592:40 *972:8 4.54509e-05
51 *592:40 *972:10 3.93924e-05
52 *592:64 *4635:A1 5.25945e-05
53 *592:64 *4670:A2 0.000265216
54 *592:64 *5049:A 0.0032049
55 *592:64 *612:73 0.000117768
56 *592:64 *617:11 0.000166404
57 *592:64 *865:47 1.29337e-05
58 *592:64 *1000:83 3.34382e-05
59 *592:64 *1001:8 9.95493e-05
60 *592:64 *1013:29 0.00157506
61 io_oeb[27] *592:34 8.98195e-05
62 io_out[1] *4643:A1 5.64572e-06
63 la1_data_out[22] *592:34 0
64 *4333:A *592:18 0.000156791
65 *4564:A *592:64 0.00180462
66 *4650:B *592:18 5.00837e-05
67 *4669:C1 *592:64 5.15507e-05
68 *4818:A1 *592:64 0.000209199
69 *4867:D *592:64 2.41274e-06
70 *4916:D *592:18 7.12632e-06
71 *84:17 *592:64 0.000219899
72 *290:23 *592:18 6.92705e-05
73 *306:10 *592:30 2.94061e-05
74 *307:22 *592:30 5.36085e-05
75 *308:27 *592:30 1.69923e-05
76 *310:24 *592:18 3.37554e-05
77 *310:24 *592:30 3.08236e-05
78 *311:15 *592:40 5.01835e-05
79 *320:52 *592:40 3.1714e-05
80 *326:99 *592:30 2.0456e-06
81 *328:75 *592:30 0
82 *330:14 *592:18 0.000422586
83 *330:45 *4643:A1 0.00159663
84 *330:48 *4643:A1 2.35827e-05
85 *332:87 *592:18 2.87136e-06
86 *334:91 *4644:A 9.07329e-05
87 *334:91 *592:64 1.91391e-05
88 *338:50 *592:18 8.26375e-05
89 *338:50 *592:30 1.02986e-05
90 *344:41 *592:30 2.78668e-05
91 *345:51 *592:30 0
92 *345:58 *592:30 2.30869e-05
93 *347:17 *4643:A1 0.000115115
94 *347:22 *592:30 0
95 *400:67 *592:64 3.29488e-05
96 *419:10 *592:64 0.000102032
97 *490:33 *592:64 0.000699691
98 *490:36 *4644:A 5.74949e-05
99 *490:55 *4644:A 8.62625e-06
100 *512:23 *592:40 2.09053e-05
101 *513:34 *592:40 2.91863e-05
102 *513:37 *592:40 0
103 *513:60 *592:34 0.000308996
104 *513:60 *592:40 0.000866305
105 *513:73 *592:30 0
106 *520:65 *592:40 3.74433e-05
107 *549:23 *592:64 0.000614334
108 *573:49 *592:64 3.74433e-05
109 *580:33 *592:64 0.00026564
110 *580:87 *592:64 5.76799e-05
111 *582:35 *592:64 0.00145109
112 *583:52 *592:64 1.91246e-05
113 *587:41 *592:40 0.000388079
114 *590:70 *4643:A1 2.53145e-06
*RES
1 *4641:Y *592:18 38.5694
2 *592:18 *592:30 33.2623
3 *592:30 *592:33 44.0456
4 *592:33 *592:34 12.0057
5 *592:34 *592:40 48.4135
6 *592:40 *592:64 46.4558
7 *592:64 *1061:DIODE 13.7491
8 *592:64 *4644:A 20.1868
9 *592:34 *4643:A1 42.957
*END
*D_NET *593 0.0148921
*CONN
*I *4643:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4648:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4644:C I *D sky130_fd_sc_hd__and3_1
*I *4642:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4643:B1 0.00173246
2 *4648:A3 0
3 *4644:C 0.000584072
4 *4642:X 0
5 *593:37 0.00284675
6 *593:34 0.00124716
7 *593:10 0.000760057
8 *593:4 0.00030885
9 *4643:B1 *4258:A 0.000313495
10 *4643:B1 *5063:TE_B 1.92336e-05
11 *4643:B1 *5091:TE_B 0.000468178
12 *4644:C *4646:A1 3.07076e-05
13 *4644:C *4666:A1 4.74266e-05
14 *4644:C *4867:CLK 9.66958e-06
15 *4644:C *612:73 0.000223274
16 *4644:C *1003:26 3.18088e-05
17 *593:10 *777:30 0
18 *593:10 *1003:26 0.000154145
19 *593:34 *777:30 6.27053e-06
20 *593:37 *4657:B 6.08337e-05
21 *593:37 *1002:6 0.000139884
22 *593:37 *1002:25 0.000615917
23 io_out[27] *4643:B1 3.61993e-05
24 *4627:A *4643:B1 6.50586e-05
25 *4643:A1 *4643:B1 0.000103378
26 *4644:A *4644:C 0.00028331
27 *4646:C1 *4644:C 6.08467e-05
28 *324:82 *4643:B1 0.000458216
29 *326:92 *593:37 0
30 *329:93 *4643:B1 2.6269e-05
31 *331:113 *4643:B1 9.91802e-05
32 *333:8 *4643:B1 0.000141562
33 *334:84 *593:37 2.59488e-05
34 *345:19 *4644:C 0
35 *346:98 *593:37 0.000734567
36 *346:102 *593:37 1.05862e-05
37 *347:8 *593:37 2.82537e-05
38 *443:25 *4644:C 5.12691e-05
39 *490:55 *4644:C 1.5714e-05
40 *574:81 *4644:C 0.000115932
41 *579:77 *593:37 7.36804e-06
42 *580:7 *4643:B1 6.08467e-05
43 *580:16 *593:37 0.00235137
44 *587:82 *593:10 3.82228e-05
45 *588:47 *4644:C 3.31882e-05
46 *588:47 *593:10 0.000167794
47 *589:24 *4644:C 5.77352e-05
48 *589:24 *593:10 0.000174921
49 *590:70 *4643:B1 0.00014418
*RES
1 *4642:X *593:4 9.24915
2 *593:4 *593:10 10.8142
3 *593:10 *4644:C 31.3705
4 *593:10 *4648:A3 13.7491
5 *593:4 *593:34 6.3326
6 *593:34 *593:37 49.5182
7 *593:37 *4643:B1 45.7324
*END
*D_NET *594 0.0130245
*CONN
*I *4645:A I *D sky130_fd_sc_hd__or3b_1
*I *4643:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4645:A 9.99625e-05
2 *4643:Y 9.34923e-06
3 *594:11 0.00242372
4 *594:7 0.00233311
5 *4645:A *4661:B2 8.90486e-05
6 *4645:A *598:20 3.63738e-05
7 *4645:A *609:94 0.000311249
8 *594:7 *5091:TE_B 6.50727e-05
9 *594:7 *698:55 6.50727e-05
10 *594:11 *4610:A 0
11 *594:11 *4795:A3 0
12 *594:11 *4798:A 7.64562e-05
13 *594:11 *4926:CLK 0.0003418
14 *594:11 *690:13 0
15 *594:11 *698:60 0
16 *594:11 *699:16 0
17 *594:11 *832:10 0
18 *594:11 *832:47 7.00663e-05
19 *594:11 *906:14 1.91391e-05
20 *594:11 *946:8 9.06384e-05
21 *594:11 *946:17 5.40447e-05
22 *594:11 *963:6 0.000224376
23 *594:11 *963:11 6.97834e-05
24 *594:11 *1002:41 2.83379e-05
25 *594:11 *1004:39 0.000203336
26 *594:11 *1005:29 0.00285152
27 io_oeb[18] *594:11 0.000225383
28 *4645:C_N *4645:A 0.000235008
29 *320:52 *594:11 4.23622e-05
30 *330:82 *4645:A 7.5032e-05
31 *345:19 *4645:A 2.82171e-06
32 *346:40 *594:11 0.000187498
33 *351:97 *594:11 0
34 *579:13 *594:11 0.00112135
35 *579:49 *594:11 0.00167213
36 *589:24 *4645:A 4.60375e-07
*RES
1 *4643:Y *594:7 14.4725
2 *594:7 *594:11 48.24
3 *594:11 *4645:A 22.5174
*END
*D_NET *595 0.00346606
*CONN
*I *4645:B I *D sky130_fd_sc_hd__or3b_1
*I *4644:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4645:B 0.0007697
2 *4644:X 0.0007697
3 *4645:B *609:94 6.96906e-05
4 *4644:B *4645:B 0.000932311
5 *346:102 *4645:B 0.000924658
*RES
1 *4644:X *4645:B 49.9914
*END
*D_NET *596 0.00503328
*CONN
*I *4646:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4645:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *4646:B1 2.91093e-05
2 *4645:X 0.00109251
3 *596:11 0.00112162
4 *4646:B1 *4646:A1 2.69662e-05
5 *4646:B1 *617:11 5.89694e-07
6 *596:11 *4646:A1 8.9075e-06
7 *596:11 *4661:B2 0.000540283
8 *596:11 *4666:A3 1.64028e-05
9 *596:11 *4667:B 0.000140451
10 *596:11 *601:62 0
11 *596:11 *612:73 0.000644251
12 *596:11 *614:29 4.33979e-05
13 *596:11 *615:22 8.39223e-05
14 *4644:B *596:11 2.16355e-05
15 *4646:A2 *4646:B1 1.45525e-05
16 *4646:C1 *4646:B1 1.18748e-05
17 *4646:C1 *596:11 3.64255e-05
18 *4665:B1 *596:11 0.000349292
19 *326:72 *596:11 0.000840356
20 *335:32 *596:11 2.81627e-06
21 *576:15 *596:11 7.92757e-06
*RES
1 *4645:X *596:11 49.5116
2 *596:11 *4646:B1 10.4427
*END
*D_NET *597 0.0056658
*CONN
*I *4648:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4647:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4648:B1 0.0011293
2 *4647:X 0.000466271
3 *597:16 0.00159557
4 *4648:B1 *1046:44 3.29775e-05
5 *597:16 *4480:A1 1.00846e-05
6 *597:16 *4629:C1 3.74433e-05
7 *597:16 *4647:A1 8.68987e-05
8 *597:16 *1002:27 0.000160617
9 *597:16 *1002:41 0.000217923
10 *597:16 *1014:9 9.8511e-05
11 *4459:B1 *597:16 1.9677e-05
12 *4602:B *597:16 1.26672e-05
13 *4636:A *4648:B1 5.481e-05
14 *4637:A *4648:B1 0.000107496
15 *4637:B *4648:B1 0.000107496
16 *4647:B1 *597:16 5.47093e-05
17 *4818:A1 *597:16 0.000174831
18 *4867:D *4648:B1 2.89604e-05
19 *334:91 *4648:B1 0.000120583
20 *338:81 *597:16 0.000231473
21 *351:44 *597:16 0.000142314
22 *400:59 *4648:B1 0.000165727
23 *490:55 *4648:B1 0.000120583
24 *560:8 *597:16 7.50872e-05
25 *584:14 *4648:B1 0.000398075
26 *587:41 *597:16 1.5714e-05
*RES
1 *4647:X *597:16 41.7798
2 *597:16 *4648:B1 37.8873
*END
*D_NET *598 0.0287012
*CONN
*I *4666:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4652:A I *D sky130_fd_sc_hd__or2_1
*I *4653:A I *D sky130_fd_sc_hd__nand2_1
*I *4648:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4666:A1 0.000493578
2 *4652:A 0.000248503
3 *4653:A 0.00117213
4 *4648:X 0
5 *598:48 0.00223227
6 *598:34 0.00317249
7 *598:20 0.00363469
8 *598:4 0.00176741
9 *4652:A *601:21 4.79767e-05
10 *4652:A *601:32 0.000177563
11 *4652:A *607:15 7.92757e-06
12 *4653:A *4650:A 0
13 *4653:A *4653:B 0
14 *4653:A *916:29 3.5534e-06
15 *4653:A *917:24 3.60268e-05
16 *4666:A1 *601:62 1.91246e-05
17 *4666:A1 *776:8 4.40272e-05
18 *598:20 *601:32 7.14746e-05
19 *598:20 *603:49 0.000169043
20 *598:20 *708:14 0.00026534
21 *598:20 *731:10 0.000246749
22 *598:20 *1024:10 1.61631e-05
23 *598:34 *4615:A_N 1.65872e-05
24 *598:34 *4615:B 0.000264586
25 *598:34 *4786:B 9.32704e-05
26 *598:34 *4790:D 9.32704e-05
27 *598:34 *4917:CLK 4.45999e-05
28 *598:34 *603:31 0.000258208
29 *598:34 *1004:23 9.80242e-07
30 *598:48 *4617:A 8.40829e-05
31 *598:48 *4653:B 0.000969593
32 *598:48 *4790:A 6.08467e-05
33 *598:48 *603:31 0.0011621
34 *598:48 *806:19 0.00249075
35 *598:48 *837:14 4.73115e-05
36 *598:48 *916:29 0.000178127
37 *598:48 *972:8 4.69495e-06
38 *598:48 *1004:67 0.000128353
39 *4333:A *4653:A 0.000928745
40 *4459:B1 *4666:A1 0.00129856
41 *4547:A *598:48 9.84424e-06
42 *4572:A2 *598:48 0.000113968
43 *4572:B1 *598:48 6.50586e-05
44 *4610:B *598:48 0.000236928
45 *4635:A2 *598:20 0
46 *4636:A *4666:A1 8.62662e-05
47 *4644:C *4666:A1 4.74266e-05
48 *4645:A *598:20 3.63738e-05
49 *4645:C_N *598:20 9.97045e-06
50 *4669:C1 *4666:A1 5.6234e-05
51 *4818:A1 *4652:A 6.62263e-05
52 *4818:A1 *598:20 2.74235e-05
53 *4818:B2 *598:34 1.89836e-05
54 *276:26 *4653:A 2.41483e-05
55 *291:22 *4653:A 0.000122378
56 *314:14 *4653:A 9.97879e-05
57 *330:106 *598:20 0.000293748
58 *332:87 *4653:A 5.39149e-05
59 *335:10 *598:20 2.21282e-05
60 *335:29 *598:20 0.000304777
61 *335:32 *4666:A1 1.04743e-05
62 *345:19 *598:20 2.78219e-06
63 *346:62 *598:48 1.30227e-05
64 *443:25 *4666:A1 0.000804572
65 *518:42 *598:48 0.000759199
66 *525:59 *598:34 0.000373044
67 *526:8 *598:34 0.000937882
68 *566:7 *598:48 0.00157668
69 *566:19 *4653:A 0.000160617
70 *569:10 *598:34 8.65358e-05
71 *573:49 *598:20 0.000230765
72 *588:47 *4666:A1 8.01687e-05
73 *592:18 *4653:A 0
74 *592:40 *598:48 1.91246e-05
*RES
1 *4648:X *598:4 9.24915
2 *598:4 *598:20 42.514
3 *598:20 *598:34 45.6327
4 *598:34 *598:48 42.5695
5 *598:48 *4653:A 40.103
6 *598:20 *4652:A 19.6535
7 *598:4 *4666:A1 31.7056
*END
*D_NET *599 0.0013494
*CONN
*I *4651:A I *D sky130_fd_sc_hd__and2_1
*I *4649:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4651:A 0.000146878
2 *4649:X 0.000146878
3 *4651:A *4649:A 6.50586e-05
4 *4651:A *4658:A1 0.000186382
5 *4651:A *600:63 2.65831e-05
6 *4651:A *880:18 0.00071255
7 *4649:B *4651:A 6.50727e-05
*RES
1 *4649:X *4651:A 26.4315
*END
*D_NET *600 0.0285602
*CONN
*I *4657:A I *D sky130_fd_sc_hd__and3_1
*I *4658:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4651:B I *D sky130_fd_sc_hd__and2_1
*I *4650:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4657:A 0.000187849
2 *4658:A1 0.000985811
3 *4651:B 2.06324e-05
4 *4650:Y 0.000829165
5 *600:63 0.00103565
6 *600:60 0.00230692
7 *600:49 0.00328611
8 *600:17 0.00202541
9 *4657:A *4657:B 0.000488419
10 *4658:A1 *4649:A 0.000230554
11 *4658:A1 *4655:B1 6.50586e-05
12 *4658:A1 *4657:C 0
13 *4658:A1 *776:8 7.21868e-05
14 *4658:A1 *1004:12 3.91786e-05
15 *600:17 *4650:A 5.04829e-06
16 *600:17 *4789:A 0.000184627
17 *600:17 *4902:CLK 0.000132965
18 *600:17 *607:65 6.08467e-05
19 *600:17 *608:40 0.000111722
20 *600:17 *638:19 6.21363e-05
21 *600:17 *672:19 0.000160617
22 *600:17 *824:25 0.000155896
23 *600:49 *4756:A 0.000118817
24 *600:49 *4758:B 0.000113241
25 *600:49 *4772:A3 0.000129823
26 *600:49 *4863:CLK 0.000199541
27 *600:49 *4902:CLK 1.41976e-05
28 *600:49 *607:47 3.99054e-05
29 *600:49 *607:65 0.000120636
30 *600:49 *621:78 2.1485e-05
31 *600:49 *742:36 0.000529187
32 *600:49 *830:5 6.50727e-05
33 *600:49 *830:60 0.000228872
34 *600:49 *833:36 0.000122934
35 *600:49 *916:29 4.89048e-05
36 *600:49 *965:20 0
37 *600:49 *966:21 5.88009e-05
38 *600:49 *967:8 0
39 *600:49 *1026:49 0.000211478
40 *600:60 *4258:A 0.00206048
41 *600:60 *4657:B 6.52404e-05
42 *600:60 *4772:A3 3.31745e-05
43 *600:60 *4772:B1 0.000203191
44 *600:60 *607:15 0
45 *600:60 *607:47 0.000103855
46 *600:60 *609:94 3.55985e-05
47 *600:60 *704:25 0.000828483
48 *600:60 *880:37 0.00484233
49 *600:60 *882:14 4.18568e-05
50 *600:60 *1004:39 4.31539e-05
51 *600:60 *1004:67 2.14935e-05
52 *4612:B *600:60 6.08467e-05
53 *4649:B *4658:A1 6.50727e-05
54 *4651:A *4658:A1 0.000186382
55 *4651:A *600:63 2.65831e-05
56 *4902:D *600:49 0.000112224
57 *5158:A *4658:A1 0
58 *291:22 *600:17 1.19856e-05
59 *311:15 *600:49 9.21034e-05
60 *311:15 *600:60 9.58844e-05
61 *316:26 *600:49 0.000356647
62 *320:52 *600:60 0.00267338
63 *326:77 *4658:A1 0
64 *326:92 *4657:A 0.000151741
65 *330:14 *600:17 0.000305622
66 *331:113 *600:60 0.000436428
67 *334:31 *600:60 0.000136458
68 *348:18 *600:17 1.12969e-05
69 *567:15 *600:49 0.000393846
70 *567:15 *600:60 4.73598e-05
71 *573:89 *600:17 6.08467e-05
72 *573:112 *600:17 0.000107496
73 *576:15 *4657:A 0.000145836
74 *576:15 *600:60 2.44115e-05
75 *592:18 *600:17 0.000309219
*RES
1 *4650:Y *600:17 49.8496
2 *600:17 *600:49 40.0082
3 *600:49 *600:60 35.118
4 *600:60 *600:63 5.2234
5 *600:63 *4651:B 9.82786
6 *600:63 *4658:A1 38.297
7 *600:60 *4657:A 22.6404
*END
*D_NET *601 0.0307324
*CONN
*I *4666:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4652:B I *D sky130_fd_sc_hd__or2_1
*I *4653:B I *D sky130_fd_sc_hd__nand2_1
*I *4651:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4666:A2 0
2 *4652:B 0
3 *4653:B 0.00211546
4 *4651:X 0
5 *601:62 0.00109001
6 *601:32 0.00385974
7 *601:21 0.00254087
8 *601:4 0.00188659
9 *4653:B *4894:CLK 1.59501e-05
10 *4653:B *5152:A 7.23843e-05
11 *4653:B *603:31 0.00186906
12 *4653:B *643:19 3.37256e-05
13 *4653:B *643:20 2.02035e-05
14 *4653:B *643:45 0.000224032
15 *4653:B *657:18 0
16 *4653:B *689:31 0.000743073
17 *4653:B *830:61 7.52574e-06
18 *4653:B *830:85 0.000251489
19 *4653:B *837:14 0.0039523
20 *4653:B *916:29 0.00252377
21 *4653:B *966:21 0
22 *4653:B *1002:41 0.0020044
23 *4653:B *1004:67 0.000205007
24 *601:21 *4869:CLK 5.04829e-06
25 *601:21 *602:10 0.00028074
26 *601:21 *607:15 0.000423859
27 *601:21 *880:18 0.000599863
28 *601:21 *916:33 0.000403112
29 *601:32 *4795:A2 5.01835e-05
30 *601:32 *4862:CLK 3.82228e-05
31 *601:32 *4880:CLK 9.91731e-05
32 *601:32 *603:47 0.000179953
33 *601:32 *603:49 0.000108071
34 *601:62 *4649:A 3.60268e-05
35 *601:62 *4666:A3 7.41058e-05
36 *601:62 *4869:CLK 1.83828e-05
37 *601:62 *5049:A 2.02035e-05
38 *601:62 *5099:A 2.02035e-05
39 *601:62 *776:8 0.000286195
40 *601:62 *837:14 0.000342977
41 *601:62 *855:14 0.000154139
42 *601:62 *880:18 0.000360159
43 *601:62 *1004:12 0.000215043
44 *601:62 *1005:29 1.51692e-05
45 *4214:A *601:21 6.08467e-05
46 *4649:B *601:62 0.000267094
47 *4652:A *601:21 4.79767e-05
48 *4652:A *601:32 0.000177563
49 *4653:A *4653:B 0
50 *4666:A1 *601:62 1.91246e-05
51 *4795:B2 *601:32 5.01835e-05
52 *4818:A1 *601:32 4.58897e-06
53 *4862:D *601:32 5.58459e-05
54 *4868:D *601:62 9.46352e-05
55 *258:29 *4653:B 3.33882e-05
56 *276:26 *4653:B 5.76913e-05
57 *323:13 *601:21 2.21021e-05
58 *324:7 *601:21 4.03381e-05
59 *326:72 *601:62 0
60 *332:87 *4653:B 0.000638336
61 *334:84 *601:21 9.24241e-05
62 *335:10 *601:32 0.000263892
63 *335:32 *601:62 0.000222115
64 *345:13 *601:21 9.60366e-05
65 *350:98 *4653:B 9.43176e-05
66 *566:7 *601:32 6.50586e-05
67 *566:19 *4653:B 0.000141336
68 *596:11 *601:62 0
69 *598:20 *601:32 7.14746e-05
70 *598:48 *4653:B 0.000969593
*RES
1 *4651:X *601:4 9.24915
2 *601:4 *601:21 31.8105
3 *601:21 *601:32 46.6941
4 *601:32 *4653:B 48.3757
5 *601:21 *4652:B 9.24915
6 *601:4 *601:62 41.8744
7 *601:62 *4666:A2 9.24915
*END
*D_NET *602 0.0038075
*CONN
*I *4654:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4652:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4654:A1 0
2 *4652:X 0.00109309
3 *602:10 0.00109309
4 *602:10 *4657:B 0.000275462
5 *602:10 *4869:CLK 5.57165e-05
6 *4640:B1 *602:10 0.000120548
7 *4655:C1 *602:10 7.19245e-05
8 *4868:D *602:10 0.000305128
9 *324:7 *602:10 0.000200794
10 *346:102 *602:10 3.65484e-05
11 *490:55 *602:10 0.000176237
12 *576:15 *602:10 9.82251e-05
13 *601:21 *602:10 0.00028074
*RES
1 *4652:X *602:10 41.1743
2 *602:10 *4654:A1 9.24915
*END
*D_NET *603 0.0289794
*CONN
*I *4657:B I *D sky130_fd_sc_hd__and3_1
*I *4658:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4654:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *4653:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4657:B 0.000810569
2 *4658:A2 0.000786713
3 *4654:A2 0
4 *4653:Y 0.00274919
5 *603:55 0.00100028
6 *603:49 0.00170765
7 *603:47 0.00147988
8 *603:31 0.00354556
9 *4658:A2 *4655:B1 5.58458e-05
10 *4658:A2 *806:19 0.000141245
11 *4658:A2 *1004:99 0.000197899
12 *603:31 *608:40 0.000621124
13 *603:31 *643:19 0.000284965
14 *603:31 *643:20 9.85683e-06
15 *603:31 *689:17 0.000432613
16 *603:31 *806:19 5.07667e-05
17 *603:31 *1002:41 2.1203e-06
18 *603:31 *1002:62 0.00175225
19 *603:47 *4790:C 0.000118724
20 *603:47 *4880:CLK 0.000383717
21 *603:47 *4917:CLK 0.000238117
22 *603:47 *1025:5 0.000370815
23 *603:47 *1025:17 0.000707174
24 *603:49 *1024:10 7.68538e-06
25 io_out[14] *4658:A2 0
26 *4568:B *603:31 2.91034e-05
27 *4610:B *603:47 0.000199646
28 *4640:B1 *603:55 2.78407e-05
29 *4644:B *603:55 0.000302581
30 *4649:B *4658:A2 0.000123688
31 *4649:B *603:55 1.65872e-05
32 *4653:B *603:31 0.00186906
33 *4654:B1_N *603:55 3.82228e-05
34 *4655:A2 *4657:B 6.50727e-05
35 *4657:A *4657:B 0.000488419
36 *4862:D *603:47 0.000394378
37 *4880:D *603:47 4.5128e-05
38 *4916:D *603:31 2.47705e-05
39 *258:29 *603:31 0.00055371
40 *276:26 *603:31 0.000418017
41 *291:22 *603:31 0.000356962
42 *314:32 *603:31 0.000963887
43 *326:92 *4657:B 4.72992e-05
44 *334:31 *603:31 1.74351e-05
45 *337:12 *4658:A2 0
46 *346:98 *4657:B 0.000238204
47 *346:102 *4657:B 0.000135529
48 *347:8 *4657:B 0.000230525
49 *525:59 *603:31 0.000168057
50 *556:28 *603:31 0
51 *566:7 *603:47 0.000669151
52 *573:15 *603:47 0.000342794
53 *573:15 *603:49 5.73392e-05
54 *574:81 *4657:B 2.92975e-05
55 *574:81 *603:49 5.481e-05
56 *576:15 *4657:B 4.70465e-05
57 *579:49 *603:31 0.000107571
58 *579:77 *603:55 0.0002057
59 *580:16 *603:55 0.000202238
60 *587:66 *603:31 0.000641173
61 *590:26 *603:31 0.000134524
62 *593:37 *4657:B 6.08337e-05
63 *598:20 *603:49 0.000169043
64 *598:34 *603:31 0.000258208
65 *598:48 *603:31 0.0011621
66 *600:60 *4657:B 6.52404e-05
67 *601:32 *603:47 0.000179953
68 *601:32 *603:49 0.000108071
69 *602:10 *4657:B 0.000275462
*RES
1 *4653:Y *603:31 49.7691
2 *603:31 *603:47 42.0451
3 *603:47 *603:49 15.6977
4 *603:49 *603:55 16.9593
5 *603:55 *4654:A2 9.24915
6 *603:55 *4658:A2 39.5133
7 *603:49 *4657:B 44.1206
*END
*D_NET *604 0.00209831
*CONN
*I *4655:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4654:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *4655:B1 0.000665109
2 *4654:X 0.000665109
3 *4655:B1 *4657:C 0
4 *4655:B1 *605:20 0
5 *4655:B1 *756:10 3.77804e-05
6 *4655:B1 *776:8 2.04012e-05
7 *4655:B1 *806:19 0.000347214
8 *4655:A2 *4655:B1 8.8567e-05
9 *4655:C1 *4655:B1 0.000153225
10 *4658:A1 *4655:B1 6.50586e-05
11 *4658:A2 *4655:B1 5.58458e-05
*RES
1 *4654:X *4655:B1 42.0242
*END
*D_NET *605 0.00743269
*CONN
*I *4657:C I *D sky130_fd_sc_hd__and3_1
*I *4658:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4666:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4656:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4657:C 0.00134669
2 *4658:B1 0
3 *4666:A3 0.000186293
4 *4656:Y 6.10194e-05
5 *605:20 0.00211516
6 *605:5 0.00101578
7 *4657:C *607:15 1.51692e-05
8 *4657:C *1004:99 0.000226267
9 *4666:A3 *4459:A1 1.00846e-05
10 *4666:A3 *1046:44 7.34948e-06
11 *605:20 *5040:TE_B 6.08467e-05
12 *605:20 *1004:99 0.000956786
13 *605:20 *1038:8 0.000148226
14 io_out[0] *4657:C 0
15 la1_data_out[21] *4657:C 0
16 *4655:B1 *4657:C 0
17 *4655:B1 *605:20 0
18 *4655:C1 *605:20 0
19 *4658:A1 *4657:C 0
20 *4665:B1 *4666:A3 0.000406728
21 *4665:B1 *605:5 6.08467e-05
22 *5158:A *4657:C 0.000165158
23 *335:32 *4666:A3 0.000222115
24 *337:12 *4657:C 0
25 *576:31 *605:20 0.000337654
26 *596:11 *4666:A3 1.64028e-05
27 *601:62 *4666:A3 7.41058e-05
*RES
1 *4656:Y *605:5 10.5271
2 *605:5 *4666:A3 26.2028
3 *605:5 *605:20 29.3537
4 *605:20 *4658:B1 13.7491
5 *605:20 *4657:C 41.6545
*END
*D_NET *606 0.00339147
*CONN
*I *4661:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4657:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4661:B1 0
2 *4657:X 0.000719374
3 *606:8 0.000719374
4 *606:8 *5053:A 2.48286e-05
5 *606:8 *1004:23 5.30145e-05
6 *326:72 *606:8 0.000534226
7 *326:77 *606:8 0.00031177
8 *329:108 *606:8 0.00080877
9 *576:15 *606:8 0.00022011
*RES
1 *4657:X *606:8 44.1658
2 *606:8 *4661:B1 9.24915
*END
*D_NET *607 0.022918
*CONN
*I *4659:B I *D sky130_fd_sc_hd__nand2_1
*I *4658:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4659:B 0
2 *4658:X 0.00157191
3 *607:65 0.00144519
4 *607:47 0.00299939
5 *607:33 0.00289026
6 *607:15 0.00290797
7 *607:15 *4818:A3 6.08467e-05
8 *607:15 *4818:B1 2.7381e-05
9 *607:15 *609:94 0.00035965
10 *607:15 *776:8 0
11 *607:15 *832:132 0.000112519
12 *607:15 *837:14 0.000629758
13 *607:15 *916:33 9.16785e-05
14 *607:33 *4788:A 0.000115313
15 *607:33 *4793:B 6.22114e-05
16 *607:33 *4793:C 2.1203e-06
17 *607:33 *4793:D 6.50727e-05
18 *607:33 *704:25 0.000260659
19 *607:33 *709:11 0.000519481
20 *607:33 *916:32 7.39223e-06
21 *607:33 *961:7 0.000194763
22 *607:47 *4768:A 6.3657e-05
23 *607:47 *4768:C 6.08467e-05
24 *607:47 *4772:A3 6.08467e-05
25 *607:47 *4772:B1 0.000152447
26 *607:47 *4863:CLK 0.000270293
27 *607:47 *5152:A 6.08467e-05
28 *607:47 *679:17 8.08437e-05
29 *607:47 *704:25 0.000226148
30 *607:47 *782:19 2.55661e-06
31 *607:47 *830:5 6.08467e-05
32 *607:47 *1026:49 0.000203655
33 *607:65 *4738:A 9.80784e-05
34 *607:65 *4769:B 0.000156734
35 *607:65 *4789:A 1.91246e-05
36 *607:65 *621:78 0
37 *607:65 *638:19 6.50586e-05
38 *607:65 *689:31 5.79358e-05
39 *607:65 *698:17 2.1203e-06
40 *607:65 *830:60 7.09666e-06
41 *607:65 *964:25 5.78953e-05
42 *607:65 *966:21 7.60899e-05
43 *607:65 *1026:49 0.000249499
44 *4652:A *607:15 7.92757e-06
45 *4657:C *607:15 1.51692e-05
46 *4818:A1 *607:15 8.8949e-05
47 *4902:D *607:65 0.000666561
48 *4924:D *607:33 0.000776313
49 *4926:D *607:15 0.00021714
50 *311:15 *607:47 0.00096863
51 *316:47 *607:33 0.00031011
52 *323:13 *607:15 0.00128152
53 *323:13 *607:33 0
54 *328:19 *607:65 1.45049e-05
55 *329:108 *607:15 6.21488e-06
56 *335:10 *607:15 0
57 *567:15 *607:47 0.00107818
58 *567:15 *607:65 0.000381471
59 *573:15 *607:33 0
60 *590:70 *607:33 0
61 *600:17 *607:65 6.08467e-05
62 *600:49 *607:47 3.99054e-05
63 *600:49 *607:65 0.000120636
64 *600:60 *607:15 0
65 *600:60 *607:47 0.000103855
66 *601:21 *607:15 0.000423859
*RES
1 *4658:X *607:15 47.7502
2 *607:15 *607:33 48.6921
3 *607:33 *607:47 49.6933
4 *607:47 *607:65 42.4591
5 *607:65 *4659:B 9.24915
*END
*D_NET *608 0.0236617
*CONN
*I *4661:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4659:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4661:B2 0.000419621
2 *4659:Y 0.002845
3 *608:45 0.00303588
4 *608:40 0.00546126
5 *4661:B2 *609:94 0.00049043
6 *4661:B2 *708:14 3.33519e-05
7 *4661:B2 *1005:9 1.03434e-05
8 *608:40 *4566:C1 0.00058158
9 *608:40 *4571:C1 7.94712e-05
10 *608:40 *4748:A3 0.000975717
11 *608:40 *4789:A 8.2674e-06
12 *608:40 *5099:A 4.49094e-05
13 *608:40 *632:45 1.05272e-06
14 *608:40 *657:18 0
15 *608:40 *686:13 0.000217396
16 *608:40 *823:48 2.71411e-05
17 *608:40 *824:25 8.4653e-05
18 *608:40 *917:24 0
19 *608:40 *1002:62 0.00233902
20 *608:40 *1004:67 0.000379054
21 *608:40 *1005:29 8.75598e-05
22 *608:40 *1009:78 4.63742e-05
23 *608:45 *4614:B 6.50727e-05
24 *608:45 *4629:C1 1.03403e-05
25 *608:45 *4694:A 0.000293696
26 *608:45 *4817:B 0.000210077
27 *608:45 *632:45 5.73392e-05
28 *608:45 *709:11 0.000107496
29 *4562:A1 *608:40 1.5714e-05
30 *4568:B *608:40 0.000955187
31 *4629:A2 *608:45 2.08649e-05
32 *4629:B2 *608:45 0.000132351
33 *4645:A *4661:B2 8.90486e-05
34 *4645:C_N *4661:B2 0.000211478
35 *4694:B *608:45 0.000232772
36 *276:26 *608:40 0.000410612
37 *292:15 *608:40 8.91584e-05
38 *311:15 *608:40 0.000217396
39 *314:32 *608:40 3.55701e-05
40 *330:82 *4661:B2 6.85321e-05
41 *330:82 *608:45 0
42 *332:12 *608:40 0
43 *350:37 *608:45 1.92172e-05
44 *350:59 *608:45 0.000133778
45 *351:7 *608:45 0.00011818
46 *517:15 *608:45 0.00067013
47 *525:16 *608:40 2.8323e-05
48 *525:59 *608:40 8.03699e-06
49 *568:7 *608:45 6.08467e-05
50 *568:11 *608:45 3.16547e-06
51 *571:32 *608:40 8.53168e-06
52 *573:49 *4661:B2 0.000207266
53 *576:15 *4661:B2 3.93271e-05
54 *579:49 *608:40 0.00048721
55 *589:24 *4661:B2 0.000213739
56 *596:11 *4661:B2 0.000540283
57 *600:17 *608:40 0.000111722
58 *603:31 *608:40 0.000621124
*RES
1 *4659:Y *608:40 47.2502
2 *608:40 *608:45 47.5179
3 *608:45 *4661:B2 25.5256
*END
*D_NET *609 0.0306998
*CONN
*I *4795:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4661:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4772:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4748:A3 I *D sky130_fd_sc_hd__a41o_1
*I *4725:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4660:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4795:A1 0
2 *4661:C1 0
3 *4772:A1 0.000320044
4 *4748:A3 0.00043264
5 *4725:A1 0
6 *4660:X 0.00145896
7 *609:94 0.00215864
8 *609:78 0.00277349
9 *609:31 0.00176266
10 *609:24 0.00283943
11 *609:23 0.00172605
12 *609:10 0.00283568
13 *4748:A3 *4748:A4 7.94738e-05
14 *4748:A3 *973:28 1.22402e-05
15 *4748:A3 *1012:33 0.000218567
16 *4772:A1 *4772:A2 1.2977e-05
17 *4772:A1 *679:17 3.33173e-06
18 *4772:A1 *970:15 0
19 *609:10 *4791:D 5.30119e-05
20 *609:10 *4793:B 0
21 *609:10 *4798:B 0
22 *609:10 *687:14 6.96979e-05
23 *609:10 *688:17 4.4196e-06
24 *609:10 *832:14 2.44265e-05
25 *609:10 *957:9 7.60356e-05
26 *609:10 *958:10 0
27 *609:23 *4790:B 8.6127e-05
28 *609:23 *686:13 0.00169285
29 *609:23 *837:14 0.000390981
30 *609:23 *971:19 2.1203e-06
31 *609:24 *4546:A 1.48605e-05
32 *609:24 *981:8 4.91129e-05
33 *609:24 *1005:29 2.58521e-05
34 *609:31 *4725:B1 1.4174e-05
35 *609:31 *696:20 0.000350211
36 *609:31 *917:24 0.000204635
37 *609:31 *1001:78 2.01283e-05
38 *609:31 *1005:29 8.19081e-05
39 *609:31 *1007:62 3.77568e-05
40 *609:31 *1014:37 0.000233262
41 *609:78 *4793:B 1.87451e-05
42 *609:78 *4793:C 1.27831e-06
43 *609:78 *704:11 5.41094e-05
44 *609:78 *704:25 0.00018305
45 *609:78 *916:32 6.83668e-05
46 *609:94 *4795:A2 4.3663e-05
47 *609:94 *833:36 0.000185734
48 *609:94 *837:14 0.000602025
49 *609:94 *880:37 0.000243714
50 *4385:C1 *609:31 0.000122378
51 *4449:B *609:31 0
52 *4568:B *4748:A3 0.000994072
53 *4643:A1 *609:10 0.000507636
54 *4645:A *609:94 0.000311249
55 *4645:B *609:94 6.96906e-05
56 *4645:C_N *609:94 8.98279e-05
57 *4661:B2 *609:94 0.00049043
58 *4725:B2 *609:31 0.000220454
59 *4772:B2 *4772:A1 9.61294e-05
60 *4772:B2 *609:24 5.46889e-05
61 *4795:B2 *609:94 3.55968e-05
62 *4916:D *609:23 0.000734634
63 *311:15 *4772:A1 5.01835e-05
64 *316:47 *609:23 0.000268021
65 *330:82 *609:94 0.000561472
66 *331:76 *609:23 0.000566769
67 *340:77 *609:10 0.000346034
68 *340:77 *609:78 3.82274e-05
69 *341:56 *609:24 2.06387e-05
70 *341:56 *609:31 2.9113e-05
71 *346:40 *609:10 7.16541e-06
72 *347:17 *609:10 0
73 *351:97 *609:10 0
74 *385:23 *609:31 4.20915e-05
75 *512:23 *609:24 5.8518e-05
76 *513:34 *609:31 0.00128058
77 *527:30 *609:31 2.44508e-05
78 *572:17 *609:94 9.4869e-05
79 *572:28 *609:94 0.000435649
80 *576:15 *609:94 7.34948e-06
81 *589:24 *609:94 0.000138901
82 *592:40 *4772:A1 1.80225e-05
83 *592:40 *609:24 0.000128679
84 *592:40 *609:31 1.88337e-05
85 *600:60 *609:94 3.55985e-05
86 *607:15 *609:94 0.00035965
87 *608:40 *4748:A3 0.000975717
*RES
1 *4660:X *609:10 42.9379
2 *609:10 *609:23 30.7083
3 *609:23 *609:24 12.6286
4 *609:24 *609:31 45.2228
5 *609:31 *4725:A1 9.24915
6 *609:24 *4748:A3 26.1661
7 *609:23 *4772:A1 20.21
8 *609:10 *609:78 17.8754
9 *609:78 *609:94 43.2097
10 *609:94 *4661:C1 9.24915
11 *609:78 *4795:A1 9.24915
*END
*D_NET *610 0.0195652
*CONN
*I *4664:A I *D sky130_fd_sc_hd__nor2_1
*I *4662:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4664:A 0
2 *4662:Y 0.00111284
3 *610:14 0.00232334
4 *610:13 0.00232334
5 *610:11 0.00225674
6 *610:10 0.00244646
7 *610:5 0.00130256
8 *610:5 *4361:A 8.68663e-05
9 *610:5 *867:7 0.000667879
10 *610:10 *1016:24 0.000111311
11 *610:14 *865:22 0.00049567
12 *4416:A *610:5 0.000914041
13 *4434:A2 *610:5 2.16355e-05
14 *4434:B1 *610:5 0.000140887
15 *4435:B1 *610:5 6.92705e-05
16 *4544:A2 *610:5 6.50727e-05
17 *4544:B1 *610:5 0.000650724
18 *4545:A2 *610:10 0
19 *4849:D *610:5 0.000304791
20 *4851:D *610:10 0
21 *289:21 *610:14 0.000125695
22 *330:20 *610:14 0
23 *331:91 *610:10 0
24 *342:26 *610:11 0.00172826
25 *363:12 *610:5 0.000228593
26 *414:60 *610:5 2.82583e-05
27 *450:23 *610:5 2.20702e-05
28 *561:10 *610:14 0.000371648
29 *576:65 *610:14 0.00176726
*RES
1 *4662:Y *610:5 46.0218
2 *610:5 *610:10 13.3235
3 *610:10 *610:11 70.0488
4 *610:11 *610:13 4.5
5 *610:13 *610:14 76.5774
6 *610:14 *4664:A 13.7491
*END
*D_NET *611 0.017088
*CONN
*I *4670:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4664:B I *D sky130_fd_sc_hd__nor2_1
*I *4663:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4670:B1 0.000358936
2 *4664:B 0.000786625
3 *4663:X 0
4 *611:22 0.0034487
5 *611:18 0.00342272
6 *611:4 0.00111958
7 *4664:B *865:13 0
8 *4664:B *1013:29 0.000541711
9 *4670:B1 *4865:CLK 6.08467e-05
10 *4670:B1 *612:53 0.000197314
11 *4670:B1 *777:14 0.000286402
12 *4670:B1 *831:54 0.000373061
13 *611:18 *4585:A1 0.000235206
14 *611:22 *5142:A 9.18679e-06
15 *611:22 *615:36 0.000510812
16 *611:22 *644:49 2.81262e-05
17 *611:22 *647:26 0.000818751
18 *611:22 *718:24 2.25742e-05
19 *611:22 *831:21 0.000729312
20 *611:22 *1000:45 5.18123e-05
21 *611:22 *1000:55 0.000378738
22 *4582:A *611:18 6.64392e-05
23 *4582:C *611:18 4.5751e-05
24 *4585:B1 *611:18 6.50727e-05
25 *4586:A1 *611:22 0.000311249
26 *4588:A *611:18 6.50586e-05
27 *4588:B *611:18 0.000158371
28 *4598:B *4670:B1 8.39223e-05
29 *4601:A1 *611:18 0.000160617
30 *4606:B *611:18 0.000106137
31 *4633:B1 *611:18 0.000444757
32 *38:17 *4664:B 0.000188669
33 *38:29 *4664:B 3.07556e-05
34 *289:21 *4664:B 0.000409397
35 *346:62 *611:22 7.08288e-05
36 *348:22 *611:22 1.35582e-05
37 *376:9 *4664:B 0.000258169
38 *378:20 *611:22 5.88009e-05
39 *380:13 *4664:B 2.11365e-05
40 *380:22 *611:18 1.49935e-05
41 *454:41 *4670:B1 7.05806e-05
42 *490:30 *4670:B1 0.000160966
43 *535:41 *611:22 0.000510748
44 *549:23 *4664:B 0
45 *556:10 *4670:B1 7.90995e-05
46 *576:65 *4664:B 9.10377e-05
47 *580:33 *4670:B1 0.000107496
48 *580:33 *611:18 0.000113968
*RES
1 *4663:X *611:4 9.24915
2 *611:4 *611:18 31.3523
3 *611:18 *611:22 49.9647
4 *611:22 *4664:B 24.6087
5 *611:4 *4670:B1 32.6654
*END
*D_NET *612 0.0241437
*CONN
*I *4667:A I *D sky130_fd_sc_hd__xnor2_1
*I *4670:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4664:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4667:A 0
2 *4670:A1 1.81917e-05
3 *4664:Y 0.000941575
4 *612:73 0.00129445
5 *612:53 0.00323678
6 *612:40 0.00303258
7 *612:15 0.00205002
8 *4670:A1 *4670:A2 1.09551e-05
9 *612:15 *4377:A 6.08467e-05
10 *612:15 *4628:A 0.000253916
11 *612:15 *4668:B 0.00167876
12 *612:15 *4882:CLK 4.64828e-05
13 *612:15 *5142:A 8.17829e-06
14 *612:15 *615:36 7.09666e-06
15 *612:15 *829:48 6.15287e-05
16 *612:15 *831:16 1.92172e-05
17 *612:40 *4714:A 6.08467e-05
18 *612:40 *4714:B 3.68806e-05
19 *612:40 *644:36 5.63032e-05
20 *612:40 *644:49 0.000207266
21 *612:40 *696:45 0.00103781
22 *612:40 *829:48 0.000113968
23 *612:40 *829:60 4.88764e-06
24 *612:40 *982:12 0.000107496
25 *612:53 *4585:A2 0.000417759
26 *612:53 *4865:CLK 1.58551e-05
27 *612:53 *616:30 0.000931551
28 *612:53 *777:14 3.93196e-06
29 *612:53 *1010:36 0.000500966
30 *612:73 *4667:B 0.000266846
31 *612:73 *4670:A2 0.000788595
32 *612:73 *4865:CLK 5.51483e-06
33 *612:73 *4867:CLK 4.15201e-05
34 *612:73 *615:22 1.77565e-05
35 *612:73 *617:11 2.86013e-06
36 *4575:A *612:15 6.08467e-05
37 *4580:A *612:53 0.000207266
38 *4580:B *612:53 6.80344e-05
39 *4598:B *612:53 3.58208e-05
40 *4601:A2 *612:53 0.000117664
41 *4601:A3 *612:53 0.000213398
42 *4601:B1 *612:53 7.65399e-05
43 *4644:A *612:73 0.000400321
44 *4644:C *612:73 0.000223274
45 *4646:C1 *612:73 6.08467e-05
46 *4670:B1 *612:53 0.000197314
47 *4857:D *612:40 0.000653739
48 *4867:D *612:73 0.000154145
49 *4882:D *612:15 0.00059203
50 *329:116 *612:73 0.000457655
51 *345:19 *612:73 4.10997e-05
52 *346:70 *612:15 0.000152473
53 *432:41 *612:40 0.000196646
54 *435:15 *612:40 8.26234e-06
55 *443:25 *612:73 3.80612e-05
56 *490:33 *612:73 0.000450956
57 *490:36 *612:73 1.5714e-05
58 *520:26 *612:15 7.94607e-05
59 *535:41 *612:15 6.08467e-05
60 *540:24 *612:15 0.000207266
61 *541:8 *612:53 0.000111708
62 *556:10 *612:53 0.000364356
63 *560:48 *612:40 4.41799e-05
64 *574:81 *612:73 1.98448e-05
65 *583:52 *612:40 2.20619e-05
66 *585:23 *612:40 0.000710649
67 *592:64 *612:73 0.000117768
68 *596:11 *612:73 0.000644251
*RES
1 *4664:Y *612:15 47.6425
2 *612:15 *612:40 49.6378
3 *612:40 *612:53 42.0534
4 *612:53 *4670:A1 9.82786
5 *612:53 *612:73 44.4539
6 *612:73 *4667:A 9.24915
*END
*D_NET *613 0.000391796
*CONN
*I *4666:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4665:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4666:B1 5.61342e-05
2 *4665:X 5.61342e-05
3 *4666:B1 *4459:A1 0.000139764
4 *4665:B1 *4666:B1 0.000139764
*RES
1 *4665:X *4666:B1 21.9947
*END
*D_NET *614 0.00671124
*CONN
*I *4670:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4667:B I *D sky130_fd_sc_hd__xnor2_1
*I *4666:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4670:A2 0.000226546
2 *4667:B 0.000117593
3 *4666:X 0
4 *614:29 0.00165165
5 *614:5 0.0015427
6 *4667:B *615:22 0.000115615
7 *4670:A2 *4865:CLK 9.5562e-05
8 *4670:A2 *617:11 2.31425e-05
9 *614:29 *777:30 0.000157433
10 *614:29 *1001:12 3.81082e-05
11 *614:29 *1046:44 0.000205006
12 *4459:B1 *614:29 0.000170775
13 *4637:B *614:29 1.6383e-05
14 *4665:B1 *614:29 5.04829e-06
15 *4670:A1 *4670:A2 1.09551e-05
16 *4865:D *4670:A2 1.87611e-05
17 *329:116 *614:29 0.000122925
18 *330:106 *614:29 0
19 *335:29 *614:29 3.09827e-05
20 *335:32 *4667:B 5.64311e-05
21 *335:32 *614:29 2.22198e-05
22 *397:29 *614:29 3.53339e-05
23 *443:25 *614:29 4.08344e-05
24 *588:47 *614:29 4.73169e-05
25 *589:24 *614:29 0.000455414
26 *592:64 *4670:A2 0.000265216
27 *596:11 *4667:B 0.000140451
28 *596:11 *614:29 4.33979e-05
29 *612:73 *4667:B 0.000266846
30 *612:73 *4670:A2 0.000788595
*RES
1 *4666:X *614:5 13.7491
2 *614:5 *4667:B 19.3535
3 *614:5 *614:29 49.4029
4 *614:29 *4670:A2 18.8703
*END
*D_NET *615 0.0274746
*CONN
*I *4668:B I *D sky130_fd_sc_hd__nand2_1
*I *4667:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4668:B 0.00100319
2 *4667:Y 0.00353932
3 *615:36 0.00146998
4 *615:22 0.00400612
5 *4668:B *4703:A 0.000265168
6 *4668:B *831:16 0.000351426
7 *615:22 *4448:A1 0.000119295
8 *615:22 *4672:B 0.000125202
9 *615:22 *645:20 9.40063e-05
10 *615:22 *829:60 8.4653e-05
11 *615:22 *840:15 0
12 *615:22 *1000:45 0.00377404
13 *615:22 *1001:56 0.000591017
14 *615:36 *5142:A 3.74542e-05
15 *615:36 *644:49 0.000158451
16 *615:36 *696:45 0.000106696
17 *615:36 *829:48 0.000110505
18 *615:36 *1000:45 1.82066e-05
19 *615:36 *1000:55 0.000317628
20 *615:36 *1013:29 0.000717107
21 *4403:A1 *615:22 4.03724e-05
22 *4450:A *615:22 0.00182772
23 *4570:A2 *615:22 0.000425846
24 *4581:B1 *615:22 0.000189116
25 *4582:A *615:36 0.000150008
26 *4644:B *615:22 3.20069e-06
27 *4667:B *615:22 0.000115615
28 *4882:D *4668:B 7.65348e-05
29 *38:17 *615:22 3.02291e-05
30 *289:21 *615:36 2.50646e-05
31 *329:116 *615:22 2.81717e-05
32 *334:31 *615:22 6.23101e-05
33 *346:102 *615:22 4.59392e-05
34 *376:9 *615:36 0.000945413
35 *377:29 *615:22 0.00204525
36 *397:29 *615:22 0.000127596
37 *401:23 *615:22 7.2373e-05
38 *520:26 *615:36 7.72394e-06
39 *535:41 *615:22 0.00063485
40 *540:24 *615:36 1.80225e-05
41 *554:21 *615:22 0.00119504
42 *573:49 *615:22 0.000220402
43 *596:11 *615:22 8.39223e-05
44 *611:22 *615:36 0.000510812
45 *612:15 *4668:B 0.00167876
46 *612:15 *615:36 7.09666e-06
47 *612:73 *615:22 1.77565e-05
*RES
1 *4667:Y *615:22 48.6798
2 *615:22 *615:36 32.1359
3 *615:36 *4668:B 47.1762
*END
*D_NET *616 0.0237155
*CONN
*I *4669:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4668:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4669:B1 0.000362329
2 *4668:Y 0.000684417
3 *616:49 0.00231021
4 *616:30 0.0042342
5 *616:7 0.00297074
6 *4669:B1 *4669:A1 4.66492e-05
7 *4669:B1 *619:15 0.000118166
8 *4669:B1 *1006:57 0.000689459
9 *4669:B1 *1006:63 2.97556e-05
10 *616:7 *4376:A 6.50586e-05
11 *616:7 *4379:A 0.000258222
12 *616:7 *5142:A 6.50727e-05
13 *616:7 *5146:A 2.15348e-05
14 *616:7 *655:11 5.32691e-05
15 *616:7 *820:5 9.58515e-05
16 *616:7 *824:8 0.000164815
17 *616:30 *4893:CLK 6.66873e-05
18 *616:30 *975:15 0.000210067
19 *616:30 *1010:7 0.000210077
20 *616:30 *1010:36 0.000103249
21 *616:49 *617:11 0.000444738
22 *616:49 *619:15 0.000183438
23 *616:49 *831:86 0.000393863
24 *616:49 *1000:83 0
25 *616:49 *1001:56 9.64795e-06
26 *616:49 *1006:63 0.000658437
27 *616:49 *1014:37 2.1104e-05
28 *616:49 *1046:44 1.62832e-05
29 *4405:B1 *616:49 5.04829e-06
30 *4450:A *4669:B1 6.4213e-05
31 *4450:A *616:49 5.44609e-06
32 *4581:B1 *616:7 6.50727e-05
33 *4583:B *616:30 1.5714e-05
34 *4589:B *616:49 0.000148852
35 *4603:A *616:7 0.00020502
36 *4603:B *616:7 6.92705e-05
37 *4632:B *616:7 0.000223412
38 *4668:A *616:7 0.000288525
39 *4669:A2 *4669:B1 3.75382e-05
40 *4856:D *616:30 2.90875e-05
41 *38:17 *616:49 0.000849131
42 *289:21 *616:7 0.000159939
43 *289:21 *616:30 7.15768e-06
44 *330:106 *616:49 9.71793e-05
45 *331:91 *616:30 1.5714e-05
46 *336:125 *616:49 0.000156394
47 *351:44 *616:49 0.000108485
48 *376:9 *616:49 0.000517324
49 *376:31 *616:49 0.000157956
50 *419:33 *616:49 0.000117466
51 *436:8 *4669:B1 6.08467e-05
52 *490:33 *616:49 0.00124432
53 *537:17 *616:7 0.000124853
54 *537:35 *616:7 1.15389e-05
55 *537:35 *616:30 4.79321e-06
56 *541:8 *616:30 0.000111708
57 *542:31 *616:30 0.000502892
58 *574:34 *616:49 9.80242e-07
59 *576:65 *616:7 4.88955e-05
60 *580:33 *616:49 0.000160099
61 *583:20 *616:7 0.000158357
62 *583:52 *616:7 0.000122893
63 *585:12 *616:7 0.00240047
64 *612:53 *616:30 0.000931551
*RES
1 *4668:Y *616:7 44.2374
2 *616:7 *616:30 49.6739
3 *616:30 *616:49 49.3672
4 *616:49 *4669:B1 21.6433
*END
*D_NET *617 0.00524607
*CONN
*I *4672:A I *D sky130_fd_sc_hd__xnor2_1
*I *4670:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4672:A 0
2 *4670:X 0.00173187
3 *617:11 0.00173187
4 *617:11 *4405:A2 0.000154707
5 *617:11 *4646:A1 4.11124e-06
6 *617:11 *4865:CLK 1.92172e-05
7 *617:11 *4867:CLK 2.81361e-06
8 *617:11 *4870:CLK 4.69495e-06
9 *617:11 *4871:CLK 3.60933e-06
10 *617:11 *619:15 0.00017179
11 *617:11 *777:14 0.000442478
12 *617:11 *831:94 2.44737e-05
13 *617:11 *1001:8 0.000220665
14 *4646:A2 *617:11 1.57187e-05
15 *4646:B1 *617:11 5.89694e-07
16 *4670:A2 *617:11 2.31425e-05
17 *4865:D *617:11 3.18826e-06
18 *4867:D *617:11 1.19726e-05
19 *334:91 *617:11 0
20 *574:53 *617:11 6.51527e-05
21 *580:87 *617:11 0
22 *592:64 *617:11 0.000166404
23 *612:73 *617:11 2.86013e-06
24 *616:49 *617:11 0.000444738
*RES
1 *4670:X *617:11 49.1132
2 *617:11 *4672:A 9.24915
*END
*D_NET *618 0.000764346
*CONN
*I *4672:B I *D sky130_fd_sc_hd__xnor2_1
*I *4671:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4672:B 0.000263708
2 *4671:Y 0.000263708
3 *4672:B *4870:CLK 3.38355e-06
4 *4671:A *4672:B 6.49116e-05
5 *346:102 *4672:B 4.34329e-05
6 *615:22 *4672:B 0.000125202
*RES
1 *4671:Y *4672:B 32.548
*END
*D_NET *619 0.00385378
*CONN
*I *4674:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *4672:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4674:A2 0
2 *4672:Y 0.00124509
3 *619:15 0.00124509
4 *619:15 *620:45 0.000113968
5 *619:15 *831:76 5.20546e-06
6 *619:15 *831:86 4.42237e-05
7 *619:15 *1000:14 0.000111995
8 *619:15 *1000:83 8.61737e-06
9 *4460:B1 *619:15 0.000150211
10 *4622:A2 *619:15 8.6931e-05
11 *4669:B1 *619:15 0.000118166
12 *4674:C1 *619:15 3.54922e-05
13 *4836:D *619:15 0.000209869
14 *4864:D *619:15 5.51738e-06
15 *4871:D *619:15 0
16 *330:106 *619:15 0
17 *433:7 *619:15 0.00011818
18 *616:49 *619:15 0.000183438
19 *617:11 *619:15 0.00017179
*RES
1 *4672:Y *619:15 46.9427
2 *619:15 *4674:A2 9.24915
*END
*D_NET *620 0.0172278
*CONN
*I *4674:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *4673:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4674:B1 0
2 *4673:Y 0.000998068
3 *620:45 0.000880967
4 *620:38 0.00239278
5 *620:13 0.00250989
6 *620:13 *4384:A 1.92336e-05
7 *620:13 *4628:A 7.39264e-05
8 *620:13 *4673:A 0.00125946
9 *620:13 *4704:A 0.000409595
10 *620:13 *4706:B 0.000209388
11 *620:13 *4708:A 6.92705e-05
12 *620:13 *4715:A 5.51483e-06
13 *620:13 *5142:A 7.86728e-05
14 *620:13 *630:39 2.83542e-05
15 *620:38 *4385:A2 6.56275e-05
16 *620:38 *4385:B1 0.000581669
17 *620:38 *4706:B 3.7947e-05
18 *620:38 *4723:A 0.000151689
19 *620:38 *4723:D 6.92705e-05
20 *620:38 *4724:B 0.000111459
21 *620:38 *4725:B1 0.000154145
22 *620:38 *1007:62 1.68741e-05
23 *620:38 *1008:17 2.33103e-06
24 *620:38 *1009:27 0.000258128
25 *620:45 *4387:A2 1.05412e-05
26 *620:45 *4388:A2 0.000113968
27 *620:45 *4389:A2 0.000143875
28 *620:45 *4390:A2 1.67329e-05
29 *620:45 *4390:B1 9.1416e-05
30 *620:45 *1042:25 0.000211559
31 *4380:A *620:38 9.04241e-05
32 *4386:A1 *620:38 2.94334e-05
33 *4386:C1 *620:38 0.000605377
34 *4388:A1 *620:45 0.00011818
35 *4388:B1 *620:45 1.67988e-05
36 *4388:B2 *620:45 0.000358514
37 *4389:C1 *620:45 0.000164829
38 *4390:B2 *620:45 1.47046e-05
39 *4423:A *620:45 0.000154145
40 *4438:A *620:45 2.65831e-05
41 *4449:B *620:38 0.000170917
42 *4595:B *620:13 4.89898e-06
43 *4621:B1 *620:13 2.80425e-05
44 *4673:B *620:13 1.43983e-05
45 *229:20 *620:13 0.000111708
46 *331:91 *620:45 1.30978e-05
47 *338:96 *620:45 0.000351426
48 *377:37 *620:38 7.39264e-05
49 *377:37 *620:45 0.000363347
50 *378:21 *620:45 0.00045214
51 *382:33 *620:38 2.82171e-06
52 *383:7 *620:38 6.08467e-05
53 *385:23 *620:13 0.00024344
54 *385:23 *620:38 7.09666e-06
55 *433:7 *620:45 0.000240879
56 *433:25 *620:38 0.000103537
57 *433:25 *620:45 0.000138613
58 *454:23 *620:45 0.00107041
59 *520:36 *620:13 0.000650738
60 *523:83 *620:45 0.00044737
61 *580:60 *620:38 1.28646e-05
62 *619:15 *620:45 0.000113968
*RES
1 *4673:Y *620:13 49.8759
2 *620:13 *620:38 49.7578
3 *620:38 *620:45 41.2422
4 *620:45 *4674:B1 9.24915
*END
*D_NET *621 0.020308
*CONN
*I *4726:A I *D sky130_fd_sc_hd__and2_1
*I *4773:A I *D sky130_fd_sc_hd__and2_1
*I *4749:A I *D sky130_fd_sc_hd__and2_1
*I *4702:A I *D sky130_fd_sc_hd__and2_1
*I *4676:A I *D sky130_fd_sc_hd__and2_1
*I *4675:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4726:A 0
2 *4773:A 0.000483232
3 *4749:A 6.7069e-05
4 *4702:A 1.47608e-05
5 *4676:A 0.000173072
6 *4675:X 0.000141214
7 *621:94 0.000716983
8 *621:78 0.00161171
9 *621:49 0.00232906
10 *621:39 0.00311321
11 *621:7 0.00242408
12 *4676:A *4677:A 0.000265436
13 *4676:A *630:30 0.000174619
14 *4676:A *826:13 0.000222149
15 *4702:A *4554:B 6.08467e-05
16 *4749:A *698:46 0.000362955
17 *4749:A *767:21 0.000210077
18 *4749:A *858:22 5.73392e-05
19 *4773:A *4773:B 7.66853e-05
20 *4773:A *4774:A 0.000402882
21 *4773:A *5125:TE_B 0.000264586
22 *4773:A *798:11 0.000172965
23 *4773:A *869:13 0.000333464
24 *621:39 *4705:A 3.37888e-05
25 *621:39 *4710:A 2.08198e-05
26 *621:39 *4741:B 1.42832e-05
27 *621:39 *645:20 7.61582e-05
28 *621:39 *829:37 0.000293328
29 *621:39 *902:25 0.000237457
30 *621:49 *4554:A 0.000134934
31 *621:49 *4554:B 2.43314e-05
32 *621:49 *4743:A 5.1493e-06
33 *621:49 *4743:C 4.15661e-05
34 *621:49 *4743:D 5.62578e-06
35 *621:49 *4894:CLK 1.92561e-05
36 *621:49 *656:52 4.8464e-05
37 *621:49 *830:61 6.09364e-05
38 *621:49 *830:76 8.68257e-05
39 *621:49 *978:6 2.30388e-05
40 *621:49 *979:23 0.00012774
41 *621:78 *4554:B 3.44695e-05
42 *621:78 *4752:B 1.02264e-05
43 *621:78 *4894:CLK 0
44 *621:78 *4903:CLK 2.31718e-05
45 *621:78 *638:19 0.000111722
46 *621:78 *668:22 3.09169e-05
47 *621:78 *675:20 4.15661e-05
48 *621:78 *697:27 0.000141013
49 *621:78 *699:38 0.000106979
50 *621:78 *767:21 1.59138e-05
51 *621:78 *830:34 2.74115e-05
52 *621:78 *830:53 9.71288e-05
53 *621:78 *830:60 2.73402e-05
54 *621:78 *830:61 8.31714e-05
55 *621:78 *966:21 0.000170428
56 *621:94 *4726:B 7.95448e-05
57 *621:94 *653:11 4.89898e-06
58 *621:94 *798:11 0.0002817
59 *621:94 *862:25 4.84017e-05
60 *621:94 *869:13 9.187e-06
61 *4316:A *4773:A 3.13066e-05
62 *4449:A *4676:A 7.94462e-05
63 *4449:A *621:39 0.00030536
64 *4884:D *621:7 0.000390194
65 *229:20 *621:39 7.60356e-05
66 *275:11 *621:7 8.84929e-06
67 *281:11 *621:39 4.42121e-05
68 *283:15 *621:39 8.85216e-05
69 *285:14 *621:39 5.68326e-05
70 *288:14 *621:39 4.64665e-05
71 *302:23 *621:78 0
72 *319:28 *4773:A 0.000400335
73 *324:118 *4773:A 2.15348e-05
74 *329:69 *621:94 7.62552e-05
75 *330:45 *4773:A 3.11931e-05
76 *336:38 *621:78 0.000206778
77 *336:38 *621:94 0.000138877
78 *337:95 *621:78 0.000107872
79 *337:95 *621:94 3.88976e-05
80 *344:59 *4773:A 2.348e-05
81 *344:69 *4676:A 0.000113916
82 *344:69 *621:39 0.000356014
83 *346:75 *621:39 0
84 *400:20 *621:39 5.30038e-05
85 *400:20 *621:49 1.22858e-05
86 *413:23 *621:7 0.000400335
87 *434:17 *4676:A 8.92437e-05
88 *457:46 *621:39 3.64265e-05
89 *518:37 *4702:A 6.08467e-05
90 *518:37 *621:78 0.000111722
91 *520:26 *621:39 1.50389e-06
92 *527:30 *621:39 0.000104138
93 *535:41 *621:39 4.63742e-05
94 *537:17 *621:39 0.000279889
95 *540:24 *621:39 5.60364e-06
96 *557:17 *621:49 1.5714e-05
97 *587:66 *621:49 0.000149783
98 *600:49 *621:78 2.1485e-05
99 *607:65 *621:78 0
*RES
1 *4675:X *621:7 19.464
2 *621:7 *4676:A 22.6811
3 *621:7 *621:39 46.3495
4 *621:39 *621:49 20.1886
5 *621:49 *4702:A 14.4725
6 *621:49 *621:78 37.3182
7 *621:78 *4749:A 17.8002
8 *621:78 *621:94 12.5075
9 *621:94 *4773:A 36.3159
10 *621:94 *4726:A 9.24915
*END
*D_NET *622 0.00303081
*CONN
*I *4677:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4676:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4677:A 0.000303124
2 *4676:X 0.000303124
3 *4677:A *4676:B 2.65667e-05
4 *4677:A *5141:A 0.00026364
5 *4677:A *630:7 0.000148844
6 *4677:A *630:30 2.56976e-05
7 *4677:A *826:13 3.59437e-05
8 *4676:A *4677:A 0.000265436
9 *4691:A *4677:A 0.000358297
10 *268:17 *4677:A 0.00130014
*RES
1 *4676:X *4677:A 38.2952
*END
*D_NET *623 0.00564442
*CONN
*I *4679:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4678:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4679:A 0.000800768
2 *4678:X 0.00113812
3 *623:24 0.00193889
4 *623:24 *4692:A 1.00763e-05
5 *623:24 *4699:A 0.000464193
6 *623:24 *4699:B 4.04556e-05
7 *623:24 *4699:C 3.86547e-05
8 *623:24 *825:16 6.22259e-05
9 *623:24 *826:25 7.58067e-06
10 *623:24 *996:17 7.09666e-06
11 *4519:A *623:24 4.31143e-05
12 *268:17 *623:24 0
13 *269:9 *4679:A 0.000679147
14 *275:11 *623:24 8.72256e-06
15 *413:23 *623:24 7.60356e-05
16 *436:69 *623:24 2.63544e-05
17 *457:28 *623:24 8.96465e-06
18 *457:34 *623:24 2.60879e-06
19 *458:14 *623:24 2.68066e-05
20 *479:11 *623:24 0.0002646
*RES
1 *4678:X *623:24 48.7326
2 *623:24 *4679:A 24.9951
*END
*D_NET *624 0.00843442
*CONN
*I *4689:B I *D sky130_fd_sc_hd__and2_1
*I *4687:B I *D sky130_fd_sc_hd__and2_1
*I *4685:B I *D sky130_fd_sc_hd__and2_1
*I *4681:B I *D sky130_fd_sc_hd__and2_1
*I *4683:B I *D sky130_fd_sc_hd__and2_1
*I *4680:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4689:B 0.000176689
2 *4687:B 4.5081e-05
3 *4685:B 0
4 *4681:B 0.000327193
5 *4683:B 0.000301601
6 *4680:X 0.000508766
7 *624:19 0.000628794
8 *624:17 0.000438993
9 *624:8 0.000571297
10 *624:7 0.000772678
11 *4681:B *4681:A 0.000118166
12 *4681:B *825:47 0
13 *4681:B *891:8 0.000175689
14 *4681:B *995:8 4.99063e-05
15 *4683:B *4684:A 0.000578568
16 *4683:B *4686:A 4.26859e-05
17 *4683:B *819:9 0.000234782
18 *4683:B *891:8 0.000160384
19 *4687:B *4687:A 0.000317693
20 *4687:B *4688:A 0.000111708
21 *4689:B *4689:A 0.000311235
22 *4689:B *825:16 4.07907e-05
23 *4689:B *825:18 0.000151741
24 *4689:B *825:27 1.89331e-05
25 *624:7 *4504:A 1.65872e-05
26 *624:7 *4690:A 3.14978e-05
27 *624:8 *825:27 1.37687e-05
28 *624:17 *4685:A 0.000118166
29 *624:17 *4687:A 5.41227e-05
30 *624:17 *825:47 5.99691e-05
31 *4504:B *624:7 0.000264586
32 *4680:A *624:7 0.000139764
33 *4875:D *4683:B 0.000615369
34 *4876:D *4681:B 0
35 *4876:D *4683:B 0
36 *4878:D *4689:B 0.000141924
37 *4878:D *624:7 4.27442e-06
38 *269:9 *4689:B 0.000311235
39 *344:69 *4689:B 0
40 *344:69 *624:17 0
41 *346:80 *624:17 0.000179271
42 *442:41 *4687:B 6.24819e-05
43 *475:22 *4687:B 6.50586e-05
44 *475:22 *4689:B 2.09495e-05
45 *475:22 *624:8 0.000108023
46 *475:22 *624:17 0.000143995
*RES
1 *4680:X *624:7 22.237
2 *624:7 *624:8 2.24725
3 *624:8 *624:17 17.379
4 *624:17 *624:19 4.5
5 *624:19 *4683:B 28.136
6 *624:19 *4681:B 23.0963
7 *624:17 *4685:B 9.24915
8 *624:8 *4687:B 17.2456
9 *624:7 *4689:B 21.9843
*END
*D_NET *625 0.00122389
*CONN
*I *4682:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4681:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4682:A 0.0003641
2 *4681:X 0.0003641
3 *4682:A *4681:A 1.43983e-05
4 *4682:A *993:18 0
5 *341:72 *4682:A 0.000481288
*RES
1 *4681:X *4682:A 37.113
*END
*D_NET *626 0.000959125
*CONN
*I *4684:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4683:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4684:A 9.96908e-05
2 *4683:X 9.96908e-05
3 *4684:A *4683:A 6.08467e-05
4 *4684:A *819:9 0.000120329
5 *4683:B *4684:A 0.000578568
*RES
1 *4683:X *4684:A 24.2372
*END
*D_NET *627 0.000737918
*CONN
*I *4686:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4685:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4686:A 0.000216204
2 *4685:X 0.000216204
3 *4686:A *825:47 0.000211492
4 *4686:A *891:8 1.78514e-05
5 *4683:B *4686:A 4.26859e-05
6 *4876:D *4686:A 3.34802e-05
7 *475:22 *4686:A 0
*RES
1 *4685:X *4686:A 32.548
*END
*D_NET *628 0.000895598
*CONN
*I *4688:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4687:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4688:A 0.000101188
2 *4687:X 0.000101188
3 *4688:A *4687:A 4.82966e-05
4 *4687:B *4688:A 0.000111708
5 *4877:D *4688:A 6.04131e-05
6 *442:41 *4688:A 0.000472804
*RES
1 *4687:X *4688:A 24.2372
*END
*D_NET *629 0.00167162
*CONN
*I *4690:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4689:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4690:A 0.000527523
2 *4689:X 0.000527523
3 *4690:A *4689:A 8.39059e-05
4 *4690:A *819:8 0.000144531
5 *4458:B *4690:A 0
6 *4878:D *4690:A 8.50305e-05
7 *269:9 *4690:A 0.000271608
8 *624:7 *4690:A 3.14978e-05
*RES
1 *4689:X *4690:A 39.372
*END
*D_NET *630 0.00938002
*CONN
*I *4710:B I *D sky130_fd_sc_hd__and2_1
*I *4706:B I *D sky130_fd_sc_hd__and2_1
*I *4708:B I *D sky130_fd_sc_hd__and2_1
*I *4704:B I *D sky130_fd_sc_hd__and2_1
*I *4692:B I *D sky130_fd_sc_hd__and2_1
*I *4691:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4710:B 0.00016434
2 *4706:B 0.000446002
3 *4708:B 0
4 *4704:B 3.30419e-05
5 *4692:B 2.3034e-05
6 *4691:X 0.000325803
7 *630:39 0.000506226
8 *630:32 9.32667e-05
9 *630:30 0.00104567
10 *630:7 0.00123017
11 *4704:B *4704:A 0.000160617
12 *4704:B *4705:A 0.000113968
13 *4706:B *4673:A 6.12816e-05
14 *4706:B *4709:A 3.73375e-05
15 *4706:B *4724:A 0.000241024
16 *4706:B *4724:B 6.50586e-05
17 *4706:B *826:25 4.15661e-05
18 *4706:B *985:26 3.62783e-05
19 *4706:B *1007:62 6.50586e-05
20 *4710:B *982:12 0.000110652
21 *4710:B *982:14 3.60933e-06
22 *630:7 *4693:A 0.000774095
23 *630:7 *5141:A 0.000413266
24 *630:30 *4693:A 0.000111708
25 *630:30 *4721:A 0.000137356
26 *630:30 *826:13 0
27 *630:30 *982:14 1.04444e-05
28 *630:30 *983:11 0.000251669
29 *630:39 *4704:A 0.000167076
30 *630:39 *4708:A 6.92705e-05
31 *630:39 *4709:A 6.08467e-05
32 *4449:A *630:30 6.11311e-05
33 *4548:A *630:30 0.000179858
34 *4676:A *630:30 0.000174619
35 *4677:A *630:7 0.000148844
36 *4677:A *630:30 2.56976e-05
37 *4691:A *630:7 0.000321092
38 *249:25 *4706:B 6.50727e-05
39 *249:25 *630:30 0.000315611
40 *281:11 *630:30 0.000117341
41 *341:70 *4706:B 2.94129e-05
42 *384:17 *630:30 7.67318e-05
43 *385:23 *4704:B 1.65872e-05
44 *385:23 *4706:B 9.94284e-06
45 *385:23 *630:39 9.30429e-05
46 *427:24 *4706:B 4.03114e-05
47 *427:24 *630:30 2.93209e-05
48 *434:17 *630:30 0.000118166
49 *457:46 *4710:B 0.000155113
50 *457:46 *630:30 0.00029232
51 *513:14 *630:30 3.4389e-05
52 *620:13 *4706:B 0.000209388
53 *620:13 *630:39 2.83542e-05
54 *620:38 *4706:B 3.7947e-05
*RES
1 *4691:X *630:7 23.3071
2 *630:7 *4692:B 9.82786
3 *630:7 *630:30 37.6647
4 *630:30 *630:32 4.5
5 *630:32 *4704:B 11.0817
6 *630:32 *630:39 3.49641
7 *630:39 *4708:B 9.24915
8 *630:39 *4706:B 31.3872
9 *630:30 *4710:B 17.6574
*END
*D_NET *631 0.00226517
*CONN
*I *4693:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4692:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4693:A 0.000596661
2 *4692:X 0.000596661
3 *4458:B *4693:A 5.88662e-05
4 *565:17 *4693:A 0.000127179
5 *630:7 *4693:A 0.000774095
6 *630:30 *4693:A 0.000111708
*RES
1 *4692:X *4693:A 39.3426
*END
*D_NET *632 0.0162416
*CONN
*I *4695:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4694:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4695:A 0.000374836
2 *4694:X 0.00092159
3 *632:45 0.00174844
4 *632:18 0.00229519
5 *632:18 *4553:A 0.000207892
6 *632:18 *4553:B 7.57897e-05
7 *632:18 *4694:A 4.33655e-05
8 *632:18 *4817:A 0.000162583
9 *632:18 *4817:B 0.000511123
10 *632:18 *759:17 0.000258819
11 *632:45 *4553:A 0.00015266
12 *632:45 *4745:C 1.23323e-05
13 *632:45 *976:5 1.26401e-05
14 *632:45 *1002:62 0.000951266
15 *632:45 *1005:29 0.000383323
16 *632:45 *1005:54 0.000465859
17 *632:45 *1027:26 0.000389195
18 *4349:A *632:18 1.58844e-06
19 *4562:A2 *632:18 5.12109e-05
20 *4562:B1 *632:45 4.17481e-05
21 *4566:A1 *632:45 0.000111708
22 *4566:A2 *632:45 2.38532e-05
23 *4568:B *632:45 0.0020769
24 *4638:B *4695:A 0.000128206
25 *4694:B *632:18 0.00060905
26 *4852:D *632:45 1.87611e-05
27 *276:26 *4695:A 0.000260374
28 *291:22 *4695:A 1.5714e-05
29 *330:14 *4695:A 7.96613e-05
30 *330:16 *4695:A 6.31996e-05
31 *335:74 *632:18 6.14756e-06
32 *350:37 *632:18 3.61993e-05
33 *350:59 *632:18 5.82695e-05
34 *517:15 *632:45 0.000545136
35 *529:5 *632:45 9.55447e-05
36 *529:26 *632:45 0.000665776
37 *579:49 *632:45 0.00181254
38 *587:41 *632:45 0.000315198
39 *587:66 *632:45 0.000199478
40 *608:40 *632:45 1.05272e-06
41 *608:45 *632:45 5.73392e-05
*RES
1 *4694:X *632:18 41.7054
2 *632:18 *632:45 47.8402
3 *632:45 *4695:A 27.0824
*END
*D_NET *633 0.00420457
*CONN
*I *4697:C I *D sky130_fd_sc_hd__and3_1
*I *4696:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4697:C 0
2 *4696:X 0.000601
3 *633:15 0.000601
4 *633:15 *4697:A 0.000137134
5 *633:15 *4698:A 0.000163427
6 *633:15 *4700:A1 0.000549731
7 *633:15 *4701:A3 0.000387387
8 *633:15 *4701:B1 0.000370398
9 *633:15 *825:83 2.29454e-05
10 *633:15 *825:90 0.000154303
11 *633:15 *992:8 1.03403e-05
12 *633:15 *996:17 0.000213605
13 *4701:A2 *633:15 2.93651e-05
14 *4843:D *633:15 0.000530109
15 *269:9 *633:15 0.000393076
16 *332:41 *633:15 4.0752e-05
*RES
1 *4696:X *633:15 46.6158
2 *633:15 *4697:C 9.24915
*END
*D_NET *634 0.00180785
*CONN
*I *4701:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4697:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4701:A3 0.000305214
2 *4697:X 0.000305214
3 *4701:A3 *4681:A 0.000118166
4 *4701:A3 *4697:A 2.97421e-05
5 *4701:A3 *4697:B 0.000510762
6 *4701:A3 *992:8 4.52469e-05
7 *4701:A3 *992:10 1.44611e-05
8 *4701:A2 *4701:A3 6.50727e-05
9 *341:70 *4701:A3 0
10 *442:38 *4701:A3 2.65831e-05
11 *633:15 *4701:A3 0.000387387
*RES
1 *4697:X *4701:A3 39.372
*END
*D_NET *635 0.00179915
*CONN
*I *4700:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4698:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4700:A1 0.00043747
2 *4698:X 0.00043747
3 *4700:A1 *4485:A_N 0
4 *4700:A1 *4698:A 1.95409e-05
5 *4700:A1 *4698:D 3.20069e-06
6 *4700:A1 *4701:A1 0.000231941
7 *4700:A1 *4701:B1 3.88655e-05
8 *4700:A1 *4843:CLK 6.9507e-05
9 *4700:A1 *993:18 8.21849e-06
10 *4517:A2 *4700:A1 0
11 *4517:B1 *4700:A1 0
12 *457:28 *4700:A1 3.20069e-06
13 *490:16 *4700:A1 0
14 *633:15 *4700:A1 0.000549731
*RES
1 *4698:X *4700:A1 41.7879
*END
*D_NET *636 0.00136983
*CONN
*I *4700:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4699:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4700:A2 0.0001659
2 *4699:X 0.0001659
3 *4700:A2 *4700:B1 1.09551e-05
4 *4700:A2 *990:17 1.61631e-05
5 *4700:A2 *997:17 0
6 *436:59 *4700:A2 0.000307881
7 *440:34 *4700:A2 6.49003e-05
8 *457:28 *4700:A2 0.00063813
*RES
1 *4699:X *4700:A2 28.1436
*END
*D_NET *637 0.00144121
*CONN
*I *4701:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4700:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4701:B1 0.000250525
2 *4700:X 0.000250525
3 *4701:B1 *4698:A 0.000470049
4 *4700:A1 *4701:B1 3.88655e-05
5 *440:34 *4701:B1 6.08467e-05
6 *633:15 *4701:B1 0.000370398
*RES
1 *4700:X *4701:B1 37.113
*END
*D_NET *638 0.00856083
*CONN
*I *4703:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4702:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4703:A 0.0011179
2 *4702:X 0.00163509
3 *638:19 0.00275298
4 *4703:A *4713:A 0
5 *4703:A *4729:A 0
6 *4703:A *4740:A 0
7 *4703:A *643:20 0
8 *4703:A *643:45 0
9 *4703:A *644:9 2.71337e-05
10 *4703:A *855:14 2.02035e-05
11 *4703:A *865:13 0.000389761
12 *638:19 *4554:B 0.000358297
13 *638:19 *4789:A 0.000340543
14 *638:19 *689:31 0.00030363
15 *638:19 *703:15 1.84293e-05
16 *638:19 *830:76 0.000324729
17 *638:19 *880:37 8.92347e-05
18 *4613:A *638:19 0.000169041
19 *4668:B *4703:A 0.000265168
20 *4882:D *4703:A 0.000118792
21 *259:17 *638:19 1.80257e-05
22 *291:22 *4703:A 3.90891e-05
23 *292:15 *638:19 0.000154145
24 *518:37 *638:19 2.13679e-05
25 *573:89 *638:19 6.50586e-05
26 *573:112 *638:19 9.32983e-05
27 *600:17 *638:19 6.21363e-05
28 *607:65 *638:19 6.50586e-05
29 *621:78 *638:19 0.000111722
*RES
1 *4702:X *638:19 48.0381
2 *638:19 *4703:A 45.375
*END
*D_NET *639 0.00272598
*CONN
*I *4705:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4704:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4705:A 0.000501616
2 *4704:X 0.000501616
3 *4705:A *4704:A 3.73237e-05
4 *4705:A *4710:A 3.30151e-05
5 *4705:A *1009:44 0.000200794
6 *4704:B *4705:A 0.000113968
7 *226:15 *4705:A 0.000652984
8 *281:11 *4705:A 5.4225e-05
9 *384:17 *4705:A 0.000317707
10 *385:23 *4705:A 0.000210067
11 *433:45 *4705:A 6.88782e-05
12 *621:39 *4705:A 3.37888e-05
*RES
1 *4704:X *4705:A 44.1505
*END
*D_NET *640 0.00580445
*CONN
*I *4707:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4706:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4707:A 0
2 *4706:X 0.00178711
3 *640:13 0.00178711
4 *640:13 *5143:A 2.65667e-05
5 *640:13 *5148:A 0.000586932
6 *640:13 *821:5 0.000160617
7 *640:13 *865:22 1.78895e-05
8 *4437:B *640:13 0.000391247
9 *226:15 *640:13 0.000764071
10 *226:25 *640:13 7.09666e-06
11 *281:11 *640:13 3.34025e-05
12 *341:70 *640:13 6.22259e-05
13 *413:23 *640:13 1.53438e-05
14 *438:37 *640:13 0.000164843
*RES
1 *4706:X *640:13 49.2769
2 *640:13 *4707:A 9.24915
*END
*D_NET *641 0.00577123
*CONN
*I *4709:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4708:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4709:A 0.000857346
2 *4708:X 0.000857346
3 *4709:A *4708:A 6.8939e-05
4 *4709:A *4722:B 0.000111504
5 *4709:A *840:15 8.28376e-05
6 *4709:A *865:22 0
7 *4709:A *982:14 2.30388e-05
8 *4709:A *984:24 0.000116428
9 *4706:B *4709:A 3.73375e-05
10 *227:19 *4709:A 0.00158134
11 *229:20 *4709:A 0.000163788
12 *328:20 *4709:A 0.000135974
13 *332:25 *4709:A 0.00013142
14 *344:66 *4709:A 0.000884755
15 *385:23 *4709:A 0.000156955
16 *438:19 *4709:A 0.000474491
17 *534:33 *4709:A 2.68759e-05
18 *630:39 *4709:A 6.08467e-05
*RES
1 *4708:X *4709:A 45.7321
*END
*D_NET *642 0.00442301
*CONN
*I *4711:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4710:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4711:A 0.00149963
2 *4710:X 0.00149963
3 *4711:A *4558:A1 0.000107496
4 *4711:A *4608:A 3.82228e-05
5 *4711:A *4628:A 2.90419e-05
6 *4711:A *645:20 7.65564e-05
7 *4558:B1 *4711:A 0.000400335
8 *4595:B *4711:A 0.000398075
9 *4889:D *4711:A 0.000360159
10 *520:36 *4711:A 1.28832e-05
11 *522:13 *4711:A 9.80242e-07
*RES
1 *4710:X *4711:A 43.1179
*END
*D_NET *643 0.0130313
*CONN
*I *4762:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4751:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4781:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4732:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4713:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4712:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4762:A 2.11013e-05
2 *4751:A 0.000436838
3 *4781:A 0
4 *4732:A 2.38695e-05
5 *4713:A 0.000502721
6 *4712:X 0.00140941
7 *643:54 0.000659244
8 *643:45 0.000672701
9 *643:20 0.000812477
10 *643:19 0.00216669
11 *4713:A *4729:A 9.46208e-05
12 *4713:A *4740:A 4.1479e-05
13 *4732:A *4740:A 4.87301e-05
14 *4732:A *656:17 4.87301e-05
15 *4751:A *4755:A 0.000156955
16 *4751:A *4757:A 0.000156955
17 *4751:A *675:36 1.58551e-05
18 *4751:A *698:17 9.96222e-05
19 *643:19 *4737:A 7.09666e-06
20 *643:19 *4741:B 6.22114e-05
21 *643:19 *656:37 4.49912e-05
22 *643:19 *823:48 3.07726e-05
23 *643:19 *837:14 0
24 *643:19 *855:14 0
25 *643:19 *978:6 5.88009e-05
26 *643:19 *979:23 0.000111708
27 *643:19 *1004:67 0.000118774
28 *643:20 *4734:A 7.97624e-06
29 *643:20 *656:17 3.89558e-05
30 *643:45 *703:15 5.78883e-05
31 *643:45 *916:29 6.78626e-06
32 *643:54 *686:13 0
33 *643:54 *833:36 8.68143e-06
34 *4333:A *643:45 0
35 *4333:A *643:54 0
36 *4567:B *4713:A 3.03456e-06
37 *4597:B *4713:A 0
38 *4653:B *643:19 3.37256e-05
39 *4653:B *643:20 2.02035e-05
40 *4653:B *643:45 0.000224032
41 *4703:A *4713:A 0
42 *4703:A *643:20 0
43 *4703:A *643:45 0
44 *4712:A *643:19 6.92705e-05
45 *4800:A *643:45 0.000311249
46 *4800:A *643:54 0.000106215
47 *4898:D *4713:A 5.76707e-05
48 *276:26 *643:19 0.000147849
49 *290:23 *643:19 0.000453443
50 *291:22 *643:19 0.000355542
51 *314:14 *643:20 0
52 *320:33 *643:45 1.91246e-05
53 *330:14 *4751:A 0.000353672
54 *332:87 *643:19 1.25094e-05
55 *336:73 *4751:A 0.00010322
56 *336:76 *643:45 0.000311249
57 *336:76 *643:54 0.000118166
58 *338:50 *4762:A 4.43174e-05
59 *338:50 *643:54 0.000171033
60 *346:62 *643:19 4.72072e-05
61 *348:18 *643:19 5.14254e-06
62 *348:20 *643:19 6.43352e-05
63 *549:23 *4713:A 9.84167e-06
64 *556:28 *4713:A 8.3478e-05
65 *556:28 *643:20 7.27864e-06
66 *587:66 *643:19 0.000637631
67 *590:26 *643:19 0.000697375
68 *592:18 *4762:A 4.99151e-05
69 *592:18 *643:54 0.000296109
70 *603:31 *643:19 0.000284965
71 *603:31 *643:20 9.85683e-06
*RES
1 *4712:X *643:19 46.4495
2 *643:19 *643:20 7.02265
3 *643:20 *4713:A 26.6886
4 *643:20 *4732:A 15.0271
5 *643:19 *643:45 18.9762
6 *643:45 *4781:A 9.24915
7 *643:45 *643:54 11.7625
8 *643:54 *4751:A 31.9892
9 *643:54 *4762:A 14.7506
*END
*D_NET *644 0.0151215
*CONN
*I *4714:B I *D sky130_fd_sc_hd__and2_1
*I *4728:B I *D sky130_fd_sc_hd__and2_1
*I *4718:B I *D sky130_fd_sc_hd__and2_1
*I *4716:B I *D sky130_fd_sc_hd__and2_1
*I *4730:B I *D sky130_fd_sc_hd__and2_1
*I *4713:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4714:B 5.57247e-05
2 *4728:B 0.000481583
3 *4718:B 5.30529e-05
4 *4716:B 0
5 *4730:B 0.000111655
6 *4713:X 0.00115879
7 *644:52 0.000212584
8 *644:49 0.0010384
9 *644:36 0.00140024
10 *644:9 0.00221767
11 *4714:B *645:20 4.69495e-06
12 *4718:B *647:26 0.000483488
13 *4718:B *1000:45 0.000374834
14 *4728:B *829:78 0.00012774
15 *4728:B *829:114 4.02726e-06
16 *4728:B *829:116 9.7112e-06
17 *4728:B *1013:29 0.000219418
18 *4730:B *4748:A1 0.000145937
19 *4730:B *696:45 1.38817e-05
20 *4730:B *974:21 7.25029e-05
21 *644:9 *4729:A 2.36582e-05
22 *644:9 *855:14 0
23 *644:9 *1014:37 0.00163624
24 *644:36 *4714:A 5.01835e-05
25 *644:36 *696:45 1.24189e-05
26 *644:36 *1014:37 0.000226696
27 *644:49 *4714:A 1.15048e-05
28 *644:49 *645:20 2.52921e-05
29 *644:49 *647:26 8.51781e-05
30 *644:49 *829:60 3.53339e-05
31 *644:49 *865:47 3.81654e-05
32 *644:49 *1000:45 0.000305407
33 *4582:A *644:36 3.66231e-05
34 *4703:A *644:9 2.71337e-05
35 *4898:D *644:9 0.000118657
36 *341:67 *4728:B 3.28887e-05
37 *341:67 *644:52 0.00012774
38 *376:9 *644:36 0.000118501
39 *523:38 *4728:B 6.50727e-05
40 *527:30 *4728:B 6.50727e-05
41 *539:19 *4730:B 0.000224395
42 *549:23 *644:9 0.000112647
43 *560:48 *644:9 0.00243736
44 *560:48 *644:36 0.000190314
45 *582:35 *4730:B 2.15348e-05
46 *582:42 *644:9 0.000191211
47 *583:52 *644:36 2.20619e-05
48 *584:56 *4728:B 0
49 *585:23 *644:49 0.000207266
50 *611:22 *644:49 2.81262e-05
51 *612:40 *4714:B 3.68806e-05
52 *612:40 *644:36 5.63032e-05
53 *612:40 *644:49 0.000207266
54 *615:36 *644:49 0.000158451
*RES
1 *4713:X *644:9 22.6604
2 *644:9 *4730:B 21.1295
3 *644:9 *644:36 11.1061
4 *644:36 *644:49 29.001
5 *644:49 *644:52 7.57775
6 *644:52 *4716:B 9.24915
7 *644:52 *4718:B 14.4094
8 *644:49 *4728:B 23.7058
9 *644:36 *4714:B 14.9583
*END
*D_NET *645 0.00781888
*CONN
*I *4715:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4714:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4715:A 0.000655161
2 *4714:X 0.000949752
3 *645:20 0.00160491
4 *4715:A *4384:A 0.00011818
5 *4715:A *4717:A 0.000843793
6 *4715:A *902:11 0.000113968
7 *4715:A *1009:44 0.000168348
8 *645:20 *4384:A 3.29488e-05
9 *645:20 *4558:A1 0.00014963
10 *645:20 *4710:A 0.000111722
11 *645:20 *4714:A 1.72919e-05
12 *645:20 *829:53 0.000366478
13 *645:20 *829:60 0.000296155
14 *645:20 *902:25 0.000388527
15 *645:20 *1001:78 0.00021218
16 *4452:A *4715:A 6.92705e-05
17 *4458:A *4715:A 6.08467e-05
18 *4458:B *4715:A 0.000158371
19 *4595:B *4715:A 0.000143032
20 *4711:A *645:20 7.65564e-05
21 *4714:B *645:20 4.69495e-06
22 *4886:D *4715:A 0.000113968
23 *4889:D *645:20 0.000265296
24 *229:20 *4715:A 0.000132825
25 *284:17 *4715:A 8.181e-05
26 *330:16 *4715:A 0.000101723
27 *346:75 *645:20 2.55661e-06
28 *378:20 *645:20 1.86714e-05
29 *385:23 *4715:A 1.92172e-05
30 *436:69 *4715:A 0.000102003
31 *437:21 *4715:A 6.08467e-05
32 *438:19 *4715:A 0.000167076
33 *522:13 *645:20 1.00981e-05
34 *615:22 *645:20 9.40063e-05
35 *620:13 *4715:A 5.51483e-06
36 *621:39 *645:20 7.61582e-05
37 *644:49 *645:20 2.52921e-05
*RES
1 *4714:X *645:20 49.3058
2 *645:20 *4715:A 46.9551
*END
*D_NET *646 0.00968141
*CONN
*I *4717:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4716:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4717:A 0.000678115
2 *4716:X 0.00115618
3 *646:16 0.00183429
4 *646:16 *4720:C 0.000144069
5 *646:16 *4723:A 8.92739e-06
6 *646:16 *4723:C 0.000117341
7 *646:16 *4723:D 0.000271425
8 *646:16 *4854:CLK 1.55255e-05
9 *646:16 *818:33 0.000341209
10 *646:16 *829:116 1.02264e-05
11 *646:16 *987:16 1.23822e-05
12 *646:16 *1000:45 6.50586e-05
13 *646:16 *1007:62 0.000486489
14 *646:16 *1008:17 0.00130635
15 *4558:B1 *646:16 0.000821891
16 *4715:A *4717:A 0.000843793
17 *284:17 *4717:A 1.62206e-05
18 *348:22 *646:16 3.29488e-05
19 *378:21 *646:16 2.62977e-05
20 *384:17 *646:16 0.0012215
21 *401:23 *646:16 1.40158e-05
22 *437:21 *646:16 3.74542e-05
23 *575:5 *646:16 6.50586e-05
24 *580:60 *646:16 0.000154642
*RES
1 *4716:X *646:16 39.7724
2 *646:16 *4717:A 20.944
*END
*D_NET *647 0.0101719
*CONN
*I *4719:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4718:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4719:A 0.000648356
2 *4718:X 0.00146027
3 *647:26 0.00210862
4 *4719:A *4379:A 4.06322e-05
5 *4719:A *4608:A 1.42709e-05
6 *4719:A *902:11 0.000307037
7 *4719:A *1001:86 0.000119411
8 *4719:A *1009:44 0.000203486
9 *4719:A *1010:16 6.03122e-05
10 *647:26 *4379:A 0.000604897
11 *647:26 *4720:A 0.000212392
12 *647:26 *818:33 0.000137208
13 *647:26 *829:48 7.86825e-06
14 *647:26 *829:60 0.000151037
15 *647:26 *865:47 1.71324e-05
16 *647:26 *1000:45 0.000178496
17 *647:26 *1010:16 0.000138583
18 *647:26 *1015:27 0.000247948
19 *4718:B *647:26 0.000483488
20 *4886:D *4719:A 0.000107496
21 *38:29 *4719:A 0
22 *284:17 *4719:A 5.54963e-05
23 *284:17 *647:26 0.000203185
24 *332:25 *4719:A 1.29018e-05
25 *341:70 *647:26 8.62625e-06
26 *377:29 *647:26 0.000453854
27 *378:20 *647:26 5.38612e-06
28 *382:23 *647:26 0.000108569
29 *401:17 *647:26 0.000554676
30 *554:21 *4719:A 0
31 *580:60 *4719:A 0.000616314
32 *611:22 *647:26 0.000818751
33 *644:49 *647:26 8.51781e-05
*RES
1 *4718:X *647:26 48.6382
2 *647:26 *4719:A 25.9329
*END
*D_NET *648 0.00164715
*CONN
*I *4725:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4720:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4725:A2 0.000365227
2 *4720:X 0.000365227
3 *4725:A2 *4725:A3 0.000111708
4 *4725:A2 *865:22 1.07529e-05
5 *4725:A2 *865:47 0.000314359
6 *341:70 *4725:A2 0.000373167
7 *385:23 *4725:A2 2.15348e-05
8 *580:45 *4725:A2 8.51781e-05
*RES
1 *4720:X *4725:A2 37.8069
*END
*D_NET *649 0.00095279
*CONN
*I *4725:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4721:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4725:A3 9.72089e-05
2 *4721:X 9.72089e-05
3 *4725:A3 *985:26 2.29454e-05
4 *4725:A2 *4725:A3 0.000111708
5 *385:23 *4725:A3 0.000460517
6 *580:45 *4725:A3 0.000163202
*RES
1 *4721:X *4725:A3 24.2131
*END
*D_NET *650 0.00162239
*CONN
*I *4724:A I *D sky130_fd_sc_hd__or2_1
*I *4722:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4724:A 0.000400312
2 *4722:X 0.000400312
3 *4724:A *826:25 0.000111722
4 *4706:B *4724:A 0.000241024
5 *249:25 *4724:A 0.000227997
6 *341:70 *4724:A 0.000241024
*RES
1 *4722:X *4724:A 36.7033
*END
*D_NET *651 0.00131922
*CONN
*I *4724:B I *D sky130_fd_sc_hd__or2_1
*I *4723:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4724:B 0.000287017
2 *4723:X 0.000287017
3 *4724:B *4723:A 0.000124641
4 *4724:B *4723:B 0.000354886
5 *4724:B *1007:62 2.40662e-05
6 *4449:B *4724:B 6.50727e-05
7 *4706:B *4724:B 6.50586e-05
8 *620:38 *4724:B 0.000111459
*RES
1 *4723:X *4724:B 28.1436
*END
*D_NET *652 0.000939458
*CONN
*I *4725:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4724:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4725:B1 0.000274005
2 *4724:X 0.000274005
3 *4725:B1 *865:22 8.94611e-05
4 *4725:B1 *865:47 2.57465e-06
5 *385:23 *4725:B1 3.11717e-05
6 *565:29 *4725:B1 9.99218e-05
7 *609:31 *4725:B1 1.4174e-05
8 *620:38 *4725:B1 0.000154145
*RES
1 *4724:X *4725:B1 33.1983
*END
*D_NET *653 0.00493026
*CONN
*I *4727:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4726:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4727:A 0
2 *4726:X 0.00142494
3 *653:11 0.00142494
4 *653:11 *4726:B 1.32897e-05
5 *653:11 *4776:A 6.50727e-05
6 *653:11 *869:13 0.00157562
7 *653:11 *945:17 6.50586e-05
8 *306:10 *653:11 0.000207839
9 *307:22 *653:11 5.01835e-05
10 *329:69 *653:11 2.16355e-05
11 *344:41 *653:11 7.09666e-06
12 *513:73 *653:11 1.10925e-05
13 *592:30 *653:11 5.8588e-05
14 *621:94 *653:11 4.89898e-06
*RES
1 *4726:X *653:11 44.1217
2 *653:11 *4727:A 9.24915
*END
*D_NET *654 0.00902674
*CONN
*I *4729:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4728:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4729:A 0.000552471
2 *4728:X 0.00125968
3 *654:17 0.00181215
4 *654:17 *4741:A 2.65667e-05
5 *654:17 *4892:CLK 0.000116601
6 *654:17 *661:10 0.000364342
7 *4567:A *4729:A 0.000164815
8 *4589:A *4729:A 0.00141889
9 *4589:A *654:17 0.000264179
10 *4589:B *4729:A 2.82583e-05
11 *4703:A *4729:A 0
12 *4713:A *4729:A 9.46208e-05
13 *4892:D *654:17 0.000512826
14 *346:62 *654:17 4.49767e-05
15 *520:26 *654:17 2.55661e-06
16 *523:38 *4729:A 0.000169041
17 *523:38 *654:17 0.000121801
18 *527:30 *654:17 0.00115027
19 *532:19 *4729:A 0.000899036
20 *644:9 *4729:A 2.36582e-05
*RES
1 *4728:X *654:17 45.317
2 *654:17 *4729:A 41.4614
*END
*D_NET *655 0.00706785
*CONN
*I *4731:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4730:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4731:A 0.000426389
2 *4730:X 0.00170803
3 *655:11 0.00213442
4 *655:11 *5146:A 0.000154145
5 *655:11 *696:45 0.000123931
6 *655:11 *820:5 0.000366603
7 *655:11 *824:8 1.92336e-05
8 *4595:B *4731:A 1.61631e-05
9 *4603:A *4731:A 5.13937e-05
10 *4630:B *4731:A 0.000107496
11 *4632:B *655:11 0.000555321
12 *38:29 *4731:A 0.000114371
13 *330:16 *4731:A 0.00035992
14 *457:46 *655:11 6.12042e-05
15 *537:17 *655:11 0.000217951
16 *582:35 *655:11 0.000460207
17 *582:42 *4731:A 0.000104624
18 *583:20 *655:11 3.31745e-05
19 *616:7 *655:11 5.32691e-05
*RES
1 *4730:X *655:11 47.1734
2 *655:11 *4731:A 30.1092
*END
*D_NET *656 0.00976616
*CONN
*I *4737:B I *D sky130_fd_sc_hd__and2_1
*I *4733:B I *D sky130_fd_sc_hd__and2_1
*I *4735:B I *D sky130_fd_sc_hd__and2_1
*I *4741:B I *D sky130_fd_sc_hd__and2_1
*I *4739:B I *D sky130_fd_sc_hd__and2_1
*I *4732:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4737:B 0
2 *4733:B 0.000108149
3 *4735:B 0
4 *4741:B 0.000553015
5 *4739:B 0
6 *4732:X 0.000704673
7 *656:52 0.000329297
8 *656:37 0.00110604
9 *656:23 0.000514555
10 *656:17 0.000887356
11 *4733:B *4733:A 0.000100694
12 *4733:B *4735:A 0.000333568
13 *4741:B *4741:A 4.18433e-05
14 *4741:B *4743:A 3.1894e-05
15 *4741:B *4743:D 0.000109954
16 *4741:B *657:18 9.32704e-05
17 *4741:B *823:48 9.32704e-05
18 *4741:B *829:37 0.000261526
19 *4741:B *977:8 1.50389e-06
20 *4741:B *978:6 9.18679e-06
21 *656:17 *4641:A 6.92705e-05
22 *656:17 *4734:A 9.75356e-05
23 *656:17 *4737:A 5.67298e-05
24 *656:17 *4740:A 2.01057e-05
25 *656:23 *4378:A 0.000176752
26 *656:23 *4397:A 6.50727e-05
27 *656:23 *4734:A 3.07561e-05
28 *656:23 *4739:A 0.000107496
29 *656:23 *4895:CLK 9.12416e-06
30 *656:23 *696:62 0.00014697
31 *656:23 *1005:54 3.74542e-05
32 *656:37 *4734:A 0.000124658
33 *656:37 *4737:A 2.91863e-05
34 *656:37 *4739:A 0.000290593
35 *656:37 *658:11 5.4225e-05
36 *656:52 *4894:CLK 0.000162209
37 *4641:B *656:17 0.000111722
38 *4732:A *656:17 4.87301e-05
39 *248:19 *656:37 0.000111708
40 *248:19 *656:52 0.000319954
41 *290:23 *656:17 0.000404073
42 *290:23 *656:37 0.000360145
43 *290:23 *656:52 0.000309968
44 *344:59 *656:23 0
45 *346:62 *656:37 0.00013115
46 *379:30 *656:23 0.000165767
47 *527:30 *4741:B 0.00019628
48 *571:21 *4733:B 0.000639834
49 *621:39 *4741:B 1.42832e-05
50 *621:49 *656:52 4.8464e-05
51 *643:19 *4741:B 6.22114e-05
52 *643:19 *656:37 4.49912e-05
53 *643:20 *656:17 3.89558e-05
*RES
1 *4732:X *656:17 35.4654
2 *656:17 *656:23 15.5742
3 *656:23 *4739:B 9.24915
4 *656:23 *656:37 20.358
5 *656:37 *4741:B 31.7082
6 *656:37 *656:52 16.4047
7 *656:52 *4735:B 9.24915
8 *656:52 *4733:B 16.8689
9 *656:17 *4737:B 9.24915
*END
*D_NET *657 0.00719644
*CONN
*I *4734:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4733:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4734:A 0.00130546
2 *4733:X 0.00102495
3 *657:18 0.0023304
4 *4734:A *4397:A 0.000555245
5 *4734:A *4739:A 9.82896e-06
6 *4734:A *4740:A 4.83938e-05
7 *4734:A *4746:D 0.000260374
8 *4734:A *979:23 6.50727e-05
9 *657:18 *4733:A 8.51781e-05
10 *657:18 *4735:A 7.72698e-05
11 *657:18 *658:11 0.000364782
12 *657:18 *977:8 3.19043e-05
13 *4568:B *4734:A 6.20642e-05
14 *4641:B *4734:A 1.77137e-05
15 *4653:B *657:18 0
16 *4712:A *657:18 6.39153e-06
17 *4741:B *657:18 9.32704e-05
18 *248:19 *657:18 9.80784e-05
19 *314:32 *657:18 0.00017187
20 *350:98 *657:18 2.24462e-05
21 *379:30 *4734:A 0.000160617
22 *571:21 *657:18 0.000144209
23 *608:40 *657:18 0
24 *643:20 *4734:A 7.97624e-06
25 *656:17 *4734:A 9.75356e-05
26 *656:23 *4734:A 3.07561e-05
27 *656:37 *4734:A 0.000124658
*RES
1 *4733:X *657:18 46.0422
2 *657:18 *4734:A 40.6309
*END
*D_NET *658 0.00542208
*CONN
*I *4736:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4735:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4736:A 5.14943e-05
2 *4735:X 0.00176331
3 *658:11 0.0018148
4 *658:11 *4554:A 7.60356e-05
5 *658:11 *4735:A 0.000207266
6 *248:19 *658:11 7.0789e-05
7 *290:23 *658:11 0.000904559
8 *571:21 *658:11 4.97617e-05
9 *592:18 *658:11 6.50586e-05
10 *656:37 *658:11 5.4225e-05
11 *657:18 *658:11 0.000364782
*RES
1 *4735:X *658:11 49.2581
2 *658:11 *4736:A 10.6477
*END
*D_NET *659 0.00282473
*CONN
*I *4738:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4737:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4738:A 0.000675143
2 *4737:X 0.000675143
3 *4738:A *4789:A 6.1578e-06
4 *4738:A *4895:CLK 0.000104718
5 *4738:A *672:19 0.000247443
6 *4738:A *698:17 2.78219e-06
7 *4902:D *4738:A 0.000247443
8 *276:26 *4738:A 4.69495e-06
9 *290:23 *4738:A 6.50586e-05
10 *332:12 *4738:A 1.91391e-05
11 *344:59 *4738:A 0.000376695
12 *573:89 *4738:A 0.000138911
13 *587:66 *4738:A 0.000163324
14 *607:65 *4738:A 9.80784e-05
*RES
1 *4737:X *4738:A 45.1111
*END
*D_NET *660 0.00278756
*CONN
*I *4740:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4739:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4740:A 0.000923206
2 *4739:X 0.000923206
3 *4740:A *4378:A 0.000540861
4 *4740:A *4397:A 7.81557e-05
5 *4703:A *4740:A 0
6 *4713:A *4740:A 4.1479e-05
7 *4732:A *4740:A 4.87301e-05
8 *4734:A *4740:A 4.83938e-05
9 *379:30 *4740:A 0.000163418
10 *656:17 *4740:A 2.01057e-05
*RES
1 *4739:X *4740:A 48.6851
*END
*D_NET *661 0.00583078
*CONN
*I *4742:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4741:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4742:A 0.00044364
2 *4741:X 0.00109443
3 *661:10 0.00153807
4 *4742:A *696:62 6.50586e-05
5 *661:10 *4376:A 0.00015242
6 *661:10 *4898:CLK 0.00011884
7 *661:10 *5153:A 1.67988e-05
8 *661:10 *831:10 0.000205006
9 *661:10 *1014:37 3.03575e-05
10 *4589:A *661:10 9.75763e-05
11 *4638:B *661:10 4.88764e-06
12 *4897:D *4742:A 0.000512342
13 *4898:D *4742:A 0.000572381
14 *328:20 *661:10 0
15 *344:66 *661:10 4.97207e-06
16 *523:44 *4742:A 0.000404628
17 *527:39 *661:10 9.75243e-05
18 *527:43 *661:10 0.000107496
19 *654:17 *661:10 0.000364342
*RES
1 *4741:X *661:10 37.8495
2 *661:10 *4742:A 26.6348
*END
*D_NET *662 0.00276888
*CONN
*I *4744:C I *D sky130_fd_sc_hd__and3_1
*I *4743:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4744:C 0.000895653
2 *4743:X 0.000895653
3 *4744:C *4728:A 5.17821e-05
4 *4744:C *4745:A 4.14841e-05
5 *4744:C *4745:C 2.82306e-05
6 *4744:C *4747:A1 5.89666e-06
7 *4744:C *4748:A4 6.21894e-05
8 *4744:C *973:28 1.47888e-05
9 *4744:C *975:32 0.000216467
10 *4744:C *976:10 2.6467e-05
11 *4744:C *1005:54 3.66718e-05
12 *4744:C *1027:26 8.02487e-05
13 *248:29 *4744:C 3.78277e-05
14 *314:32 *4744:C 4.23155e-05
15 *350:98 *4744:C 8.79404e-05
16 *400:40 *4744:C 9.95542e-06
17 *539:19 *4744:C 0
18 *557:17 *4744:C 0.000111222
19 *557:53 *4744:C 3.08542e-05
20 *571:21 *4744:C 9.32356e-05
*RES
1 *4743:X *4744:C 49.5032
*END
*D_NET *663 0.00186567
*CONN
*I *4748:A4 I *D sky130_fd_sc_hd__a41o_1
*I *4744:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4748:A4 0.000556204
2 *4744:X 0.000556204
3 *4748:A4 *973:10 0
4 *4748:A4 *973:28 9.80574e-05
5 *4748:A4 *976:10 6.58975e-05
6 *4748:A4 *1005:54 7.62851e-05
7 *4748:A4 *1012:33 0.000114523
8 *4748:A4 *1027:26 8.09673e-05
9 *4744:C *4748:A4 6.21894e-05
10 *4748:A3 *4748:A4 7.94738e-05
11 *4899:D *4748:A4 0.000114523
12 *350:98 *4748:A4 4.22218e-05
13 *587:41 *4748:A4 1.91246e-05
*RES
1 *4744:X *4748:A4 41.1023
*END
*D_NET *664 0.00110349
*CONN
*I *4747:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4745:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4747:A1 0.000239395
2 *4745:X 0.000239395
3 *4747:A1 *4728:A 0.000128013
4 *4747:A1 *4745:A 0.000128583
5 *4747:A1 *4745:C 0.000113671
6 *4744:C *4747:A1 5.89666e-06
7 *4852:D *4747:A1 0.000163668
8 *531:21 *4747:A1 8.4868e-05
*RES
1 *4745:X *4747:A1 35.3915
*END
*D_NET *665 0.00376415
*CONN
*I *4747:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4746:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4747:A2 0
2 *4746:X 0.000958435
3 *665:23 0.000958435
4 *665:23 *4378:A 0.000644332
5 *665:23 *4748:A1 0
6 *665:23 *4748:B1 0.000221217
7 *665:23 *974:21 2.1203e-06
8 *4569:B *665:23 2.47582e-05
9 *4852:D *665:23 0.000111708
10 *248:19 *665:23 2.57465e-06
11 *248:29 *665:23 2.41483e-05
12 *379:30 *665:23 0.000182758
13 *539:19 *665:23 0.000156345
14 *560:48 *665:23 2.61387e-06
15 *582:35 *665:23 0.000474711
*RES
1 *4746:X *665:23 41.0058
2 *665:23 *4747:A2 9.24915
*END
*D_NET *666 0.00206734
*CONN
*I *4748:B1 I *D sky130_fd_sc_hd__a41o_1
*I *4747:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4748:B1 0.000659607
2 *4747:X 0.000659607
3 *4748:B1 *4378:A 2.29454e-05
4 *4748:B1 *4748:A1 6.55458e-05
5 *4748:B1 *823:48 1.05272e-06
6 *4748:B1 *975:32 5.0782e-05
7 *4899:D *4748:B1 0.000113691
8 *248:29 *4748:B1 0.00019613
9 *529:26 *4748:B1 7.13655e-06
10 *539:19 *4748:B1 3.43768e-05
11 *560:48 *4748:B1 3.52504e-05
12 *665:23 *4748:B1 0.000221217
*RES
1 *4747:X *4748:B1 45.2157
*END
*D_NET *667 0.00569911
*CONN
*I *4750:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4749:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4750:A 0
2 *4749:X 0.00144185
3 *667:13 0.00144185
4 *667:13 *5069:TE_B 1.05272e-06
5 *667:13 *767:21 0.000202229
6 *667:13 *858:22 0.002251
7 *667:13 *945:17 0.000110306
8 *4257:A *667:13 1.5714e-05
9 *296:13 *667:13 0.000193536
10 *573:89 *667:13 4.15661e-05
*RES
1 *4749:X *667:13 47.8971
2 *667:13 *4750:A 9.24915
*END
*D_NET *668 0.00989257
*CONN
*I *4752:B I *D sky130_fd_sc_hd__and2_1
*I *4754:B I *D sky130_fd_sc_hd__and2_1
*I *4758:B I *D sky130_fd_sc_hd__and2_1
*I *4760:B I *D sky130_fd_sc_hd__and2_1
*I *4756:B I *D sky130_fd_sc_hd__and2_1
*I *4751:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4752:B 0.000132497
2 *4754:B 0
3 *4758:B 0.000317352
4 *4760:B 0.000408034
5 *4756:B 7.48537e-05
6 *4751:X 0.000211975
7 *668:39 0.000354541
8 *668:27 0.00113132
9 *668:22 0.0010345
10 *668:9 0.000502738
11 *4752:B *675:36 6.50586e-05
12 *4752:B *699:38 2.43314e-05
13 *4752:B *699:51 1.35504e-05
14 *4756:B *4756:A 1.74351e-05
15 *4758:B *5152:A 1.80304e-05
16 *4758:B *672:19 6.50586e-05
17 *4758:B *830:14 0.000160794
18 *4758:B *916:29 2.57465e-06
19 *4760:B *4909:CLK 0.000137356
20 *4760:B *673:18 6.08467e-05
21 *4760:B *688:41 6.08467e-05
22 *4760:B *830:14 0.000112717
23 *4760:B *830:18 0.000144975
24 *4760:B *965:10 1.43361e-05
25 *4760:B *968:8 0.000248983
26 *668:9 *698:17 2.27135e-05
27 *668:9 *833:36 0.000945208
28 *668:22 *4903:CLK 5.85325e-05
29 *668:22 *699:51 1.03079e-05
30 *668:22 *833:36 0.000532693
31 *668:27 *676:32 4.15394e-05
32 *668:27 *699:38 0.000863145
33 *668:39 *830:14 4.49767e-05
34 *4891:D *4756:B 7.36639e-05
35 *4903:D *668:27 0.000100285
36 *316:26 *4758:B 1.32841e-05
37 *316:26 *4760:B 5.88009e-05
38 *316:26 *668:9 0.000910652
39 *316:26 *668:22 0.000540009
40 *316:26 *668:39 8.17829e-06
41 *332:12 *668:9 1.91391e-05
42 *341:25 *4758:B 4.11944e-05
43 *341:25 *4760:B 4.83562e-06
44 *346:53 *4752:B 6.50586e-05
45 *346:62 *4756:B 0
46 *518:37 *4758:B 6.92705e-05
47 *600:49 *4758:B 0.000113241
48 *621:78 *4752:B 1.02264e-05
49 *621:78 *668:22 3.09169e-05
*RES
1 *4751:X *668:9 18.7703
2 *668:9 *4756:B 18.7423
3 *668:9 *668:22 6.56196
4 *668:22 *668:27 20.8155
5 *668:27 *4760:B 24.0966
6 *668:27 *668:39 1.00149
7 *668:39 *4758:B 21.2876
8 *668:39 *4754:B 13.7491
9 *668:22 *4752:B 16.7198
*END
*D_NET *669 0.00465383
*CONN
*I *4753:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4752:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4753:A 2.06838e-05
2 *4752:X 0.00115793
3 *669:22 0.00117861
4 *4753:A *4761:A 0.000111708
5 *669:22 *4752:A 0.000228593
6 *669:22 *4766:A 0.00115707
7 *669:22 *675:36 5.51483e-06
8 *669:22 *687:36 0.000204088
9 *669:22 *807:17 2.0409e-05
10 *669:22 *882:14 0.00021277
11 *287:10 *669:22 2.6958e-05
12 *302:23 *4753:A 0.000111708
13 *302:23 *669:22 2.1203e-06
14 *310:24 *669:22 0.000104115
15 *331:68 *669:22 1.05272e-06
16 *513:73 *669:22 0.000110505
*RES
1 *4752:X *669:22 49.7851
2 *669:22 *4753:A 15.0271
*END
*D_NET *670 0.00580766
*CONN
*I *4755:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4754:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4755:A 0.000154826
2 *4754:X 0.00140155
3 *670:18 0.00155637
4 *4755:A *4757:A 0.000118325
5 *4755:A *699:51 0.000491468
6 *670:18 *676:32 0.000806456
7 *670:18 *699:51 0.00086964
8 *670:18 *967:8 0.00016553
9 *4751:A *4755:A 0.000156955
10 *300:15 *670:18 4.15661e-05
11 *344:59 *670:18 4.49767e-05
*RES
1 *4754:X *670:18 44.7624
2 *670:18 *4755:A 15.5427
*END
*D_NET *671 0.00301549
*CONN
*I *4757:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4756:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4757:A 0.000363175
2 *4756:X 0.000363175
3 *4757:A *4812:A 0.00011708
4 *4757:A *699:51 2.11143e-05
5 *4757:A *742:36 0.000283548
6 *4346:A *4757:A 0.000113968
7 *4751:A *4757:A 0.000156955
8 *4755:A *4757:A 0.000118325
9 *4903:D *4757:A 0.00147815
*RES
1 *4756:X *4757:A 35.8839
*END
*D_NET *672 0.00651
*CONN
*I *4759:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4758:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4759:A 0
2 *4758:X 0.000829931
3 *672:19 0.000829931
4 *672:19 *4758:A 0.000118166
5 *672:19 *4902:CLK 0.000107496
6 *672:19 *5152:A 3.11428e-05
7 *672:19 *686:13 0.00166123
8 *672:19 *880:37 0.000317721
9 *672:19 *916:29 0.000106261
10 *4738:A *672:19 0.000247443
11 *4758:B *672:19 6.50586e-05
12 *4902:D *672:19 7.25925e-05
13 *300:15 *672:19 0.000120828
14 *311:15 *672:19 0.00171814
15 *346:62 *672:19 3.29488e-05
16 *348:18 *672:19 3.29488e-05
17 *518:37 *672:19 5.75508e-05
18 *600:17 *672:19 0.000160617
*RES
1 *4758:X *672:19 40.9246
2 *672:19 *4759:A 9.24915
*END
*D_NET *673 0.0064552
*CONN
*I *4761:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4760:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4761:A 0.000423284
2 *4760:X 0.000573362
3 *673:18 0.000996647
4 *4761:A *858:13 0.00020502
5 *673:18 *4806:A 0.000160617
6 *673:18 *4900:CLK 2.78668e-05
7 *673:18 *688:41 0.00069578
8 *673:18 *767:21 0.00011818
9 *673:18 *769:16 0
10 *673:18 *807:17 4.88764e-06
11 *673:18 *1004:67 0.000415324
12 *4275:A *673:18 0.000111738
13 *4753:A *4761:A 0.000111708
14 *4760:B *673:18 6.08467e-05
15 *4901:D *4761:A 0.00152705
16 *302:23 *4761:A 0.000547483
17 *329:6 *673:18 3.5534e-06
18 *331:68 *4761:A 6.50727e-05
19 *338:50 *4761:A 0.00040678
*RES
1 *4760:X *673:18 36.0253
2 *673:18 *4761:A 29.9624
*END
*D_NET *674 0.0208373
*CONN
*I *4779:B I *D sky130_fd_sc_hd__and2_1
*I *4777:B I *D sky130_fd_sc_hd__and2_1
*I *4763:B I *D sky130_fd_sc_hd__and2_1
*I *4765:B I *D sky130_fd_sc_hd__and2_1
*I *4775:B I *D sky130_fd_sc_hd__and2_1
*I *4762:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4779:B 0.000694958
2 *4777:B 9.78604e-05
3 *4763:B 9.36721e-06
4 *4765:B 0.000397255
5 *4775:B 0
6 *4762:X 0.00168378
7 *674:33 0.000566971
8 *674:31 0.000464279
9 *674:22 0.000816086
10 *674:19 0.00182463
11 *674:16 0.00220343
12 *4763:B *688:17 6.50586e-05
13 *4763:B *700:35 6.50586e-05
14 *4765:B *675:20 6.22259e-05
15 *4765:B *699:38 6.08467e-05
16 *4765:B *971:19 6.50727e-05
17 *4765:B *1004:67 2.15927e-05
18 *4777:B *699:16 4.88872e-06
19 *4777:B *956:21 0.000122378
20 *4779:B *4779:A 9.12416e-06
21 *4779:B *5065:A 4.92264e-05
22 *4779:B *690:19 0
23 *4779:B *881:25 0.000389748
24 *674:16 *4227:A 0.000358825
25 *674:16 *5126:TE_B 0.00194491
26 *674:16 *838:16 1.84334e-05
27 *674:16 *858:22 0.000440809
28 *674:16 *862:25 0
29 *674:19 *881:25 0.000114268
30 *674:22 *5054:TE_B 0.000214883
31 *674:22 *685:16 3.62904e-05
32 *674:22 *762:16 6.1061e-06
33 *674:31 *762:16 3.70141e-05
34 io_oeb[24] *674:16 2.61302e-05
35 io_out[1] *4779:B 0
36 la1_data_out[14] *674:16 0
37 la1_data_out[22] *674:22 0.00051888
38 *3:16 *674:16 0.0026277
39 *303:19 *4765:B 2.01428e-05
40 *305:24 *674:16 0.000264392
41 *309:15 *4777:B 0.000275256
42 *309:15 *674:33 4.12977e-05
43 *310:24 *4765:B 0.000106281
44 *310:24 *674:33 4.69495e-06
45 *318:23 *4777:B 5.99856e-05
46 *320:33 *674:16 0.000498881
47 *320:52 *4765:B 5.5447e-05
48 *320:52 *674:22 9.12416e-06
49 *320:52 *674:31 5.27831e-05
50 *320:52 *674:33 4.22534e-05
51 *326:99 *674:16 0.000103865
52 *328:75 *674:19 0.000960588
53 *336:38 *674:16 0.000137079
54 *338:50 *674:16 0.000188591
55 *347:17 *4779:B 0.000172729
56 *347:17 *674:19 0.000151872
57 *347:22 *674:16 8.26173e-05
58 *513:60 *4765:B 4.15661e-05
59 *513:73 *674:19 0.0015277
60 *592:30 *674:16 2.20425e-05
61 *592:34 *674:22 0
*RES
1 *4762:X *674:16 49.394
2 *674:16 *674:19 5.78987
3 *674:19 *674:22 17.866
4 *674:22 *4775:B 13.7491
5 *674:22 *674:31 4.45785
6 *674:31 *674:33 3.26095
7 *674:33 *4765:B 23.0951
8 *674:33 *4763:B 14.4725
9 *674:31 *4777:B 18.3398
10 *674:19 *4779:B 23.338
*END
*D_NET *675 0.00947241
*CONN
*I *4764:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4763:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4764:A 0
2 *4763:X 0.00158137
3 *675:36 0.000771704
4 *675:20 0.00235307
5 *675:20 *4752:A 0.000207266
6 *675:20 *699:38 1.5714e-05
7 *675:20 *830:18 0.000107496
8 *675:20 *830:158 0.00021767
9 *675:20 *830:162 0.000105566
10 *675:36 *4752:A 0.000246228
11 *675:36 *4766:A 0.00174154
12 *675:36 *4785:A 0.000216458
13 *675:36 *698:17 0.00025175
14 *675:36 *700:49 1.58193e-05
15 *4240:A *675:36 6.08467e-05
16 *4330:A *675:36 9.95922e-06
17 *4346:A *675:36 0.000107496
18 *4751:A *675:36 1.58551e-05
19 *4752:B *675:36 6.50586e-05
20 *4765:B *675:20 6.22259e-05
21 *300:15 *675:36 1.53438e-05
22 *303:19 *675:20 4.58003e-05
23 *310:24 *675:20 6.22259e-05
24 *310:24 *675:36 0.000167879
25 *315:19 *675:36 8.48283e-06
26 *321:16 *675:36 0
27 *330:14 *675:36 0.000128831
28 *330:45 *675:36 5.99527e-05
29 *331:14 *675:36 5.49916e-05
30 *346:53 *675:20 0.000165194
31 *346:53 *675:36 0.000488659
32 *346:62 *675:36 7.48797e-05
33 *621:78 *675:20 4.15661e-05
34 *669:22 *675:36 5.51483e-06
*RES
1 *4763:X *675:20 49.5699
2 *675:20 *675:36 45.8324
3 *675:36 *4764:A 9.24915
*END
*D_NET *676 0.00920613
*CONN
*I *4766:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4765:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4766:A 0.000397335
2 *4765:X 0.000896236
3 *676:32 0.00129357
4 *4766:A *4785:A 4.49912e-05
5 *4766:A *703:15 4.49767e-05
6 *4766:A *807:17 0.000122378
7 *676:32 *4754:A 2.15348e-05
8 *676:32 *4765:A 0.000158357
9 *676:32 *4767:A 6.92705e-05
10 *676:32 *4767:C 0.00011818
11 *676:32 *4772:A2 0.000107635
12 *676:32 *699:38 0.000447072
13 *676:32 *699:51 0.000194706
14 *676:32 *742:36 1.91246e-05
15 *676:32 *965:20 0.000314972
16 *676:32 *971:19 0.00029557
17 *4891:D *676:32 0
18 *4903:D *676:32 0.000297565
19 *310:24 *4766:A 0.000398089
20 *316:47 *676:32 0.000217951
21 *668:27 *676:32 4.15394e-05
22 *669:22 *4766:A 0.00115707
23 *670:18 *676:32 0.000806456
24 *675:36 *4766:A 0.00174154
*RES
1 *4765:X *676:32 47.5819
2 *676:32 *4766:A 44.9944
*END
*D_NET *677 0.000809817
*CONN
*I *4772:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4767:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4772:A2 0.000257543
2 *4767:X 0.000257543
3 *4772:A2 *4812:A 8.5809e-05
4 *4772:A2 *679:17 8.83115e-05
5 *4772:A1 *4772:A2 1.2977e-05
6 *676:32 *4772:A2 0.000107635
*RES
1 *4767:X *4772:A2 33.1267
*END
*D_NET *678 0.00186592
*CONN
*I *4772:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4768:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4772:A3 0.000510465
2 *4768:X 0.000510465
3 *4772:A3 *1026:49 0.000560302
4 *4908:D *4772:A3 6.08467e-05
5 *600:49 *4772:A3 0.000129823
6 *600:60 *4772:A3 3.31745e-05
7 *607:47 *4772:A3 6.08467e-05
*RES
1 *4768:X *4772:A3 28.867
*END
*D_NET *679 0.00575782
*CONN
*I *4771:A I *D sky130_fd_sc_hd__or2_1
*I *4769:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4771:A 0.00017247
2 *4769:X 0.00143409
3 *679:17 0.00160656
4 *4771:A *4770:A 0.000160617
5 *4771:A *4770:C 3.82278e-05
6 *4771:A *4770:D 0.000167716
7 *4771:A *4771:B 0.000253916
8 *4771:A *4772:B1 6.08467e-05
9 *679:17 *4767:A 2.23682e-05
10 *679:17 *4767:B 0.000401517
11 *679:17 *4770:C 7.09879e-06
12 *679:17 *4770:D 2.66676e-05
13 *679:17 *4812:A 0
14 *679:17 *4863:CLK 2.94007e-05
15 *679:17 *688:41 0.000141013
16 *679:17 *689:31 0.000665901
17 *679:17 *782:19 0.000136732
18 *4772:A1 *679:17 3.33173e-06
19 *4772:A2 *679:17 8.83115e-05
20 *4908:D *679:17 8.44495e-06
21 *259:17 *679:17 7.44425e-06
22 *318:23 *4771:A 4.34402e-05
23 *318:23 *679:17 3.31745e-05
24 *341:56 *679:17 4.15661e-05
25 *518:37 *679:17 0.000126123
26 *607:47 *679:17 8.08437e-05
*RES
1 *4769:X *679:17 48.1411
2 *679:17 *4771:A 15.603
*END
*D_NET *680 0.0007681
*CONN
*I *4771:B I *D sky130_fd_sc_hd__or2_1
*I *4770:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4771:B 0.000212101
2 *4770:X 0.000212101
3 *4771:B *4772:B1 4.49912e-05
4 *4771:A *4771:B 0.000253916
5 *592:40 *4771:B 4.49912e-05
*RES
1 *4770:X *4771:B 31.4416
*END
*D_NET *681 0.00291704
*CONN
*I *4772:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4771:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4772:B1 0.000741831
2 *4771:X 0.000741831
3 *4772:B1 *4612:A 2.1203e-06
4 *4771:A *4772:B1 6.08467e-05
5 *4771:B *4772:B1 4.49912e-05
6 *311:15 *4772:B1 0.000111722
7 *316:47 *4772:B1 3.91685e-05
8 *318:23 *4772:B1 6.23875e-05
9 *320:52 *4772:B1 0.000344892
10 *513:60 *4772:B1 2.69627e-05
11 *592:40 *4772:B1 0.000384647
12 *600:60 *4772:B1 0.000203191
13 *607:47 *4772:B1 0.000152447
*RES
1 *4771:X *4772:B1 47.6604
*END
*D_NET *682 0.00342282
*CONN
*I *4774:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4773:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4774:A 0.000584592
2 *4773:X 0.000584592
3 *4774:A *5126:TE_B 0.000111722
4 *4774:A *779:8 9.66954e-05
5 *4774:A *798:11 0.000497846
6 *4774:A *869:13 0.000143116
7 io_oeb[23] *4774:A 9.66954e-05
8 *4773:A *4774:A 0.000402882
9 *344:44 *4774:A 0.000648619
10 *345:58 *4774:A 0.000160617
11 *345:68 *4774:A 9.54448e-05
*RES
1 *4773:X *4774:A 48.795
*END
*D_NET *683 0.00802714
*CONN
*I *4776:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4775:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4776:A 0.000542497
2 *4775:X 0.00158947
3 *683:15 0.00213196
4 *4776:A *698:35 0.000770605
5 *4776:A *945:17 0.000146026
6 *683:15 *4900:CLK 2.65831e-05
7 *683:15 *697:27 6.21391e-05
8 *683:15 *698:35 1.56802e-05
9 *683:15 *955:7 0.000112985
10 *683:15 *955:22 8.15039e-05
11 *683:15 *964:12 0.00016553
12 *4909:D *683:15 5.68237e-06
13 *287:10 *4776:A 0.000951724
14 *296:13 *683:15 5.68237e-06
15 *307:32 *683:15 2.29191e-05
16 *308:27 *4776:A 0.000865117
17 *320:33 *683:15 0.000293918
18 *320:52 *683:15 0.000172048
19 *653:11 *4776:A 6.50727e-05
*RES
1 *4775:X *683:15 36.7261
2 *683:15 *4776:A 31.5418
*END
*D_NET *684 0.0130506
*CONN
*I *4778:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4777:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4778:A 0.00151709
2 *4777:X 0.00151709
3 *4778:A *4808:A 0
4 *4778:A *685:16 0.00268104
5 *4778:A *690:19 7.43042e-05
6 *4778:A *703:15 5.36085e-05
7 *4778:A *870:13 0.000355431
8 *4778:A *906:14 0.00515604
9 *4778:A *956:10 0
10 *307:22 *4778:A 0.000437845
11 *319:14 *4778:A 3.09374e-06
12 *336:73 *4778:A 0.00125502
*RES
1 *4777:X *4778:A 47.1396
*END
*D_NET *685 0.0151834
*CONN
*I *4780:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4779:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4780:A 0.00156061
2 *4779:X 0.0014257
3 *685:16 0.0029863
4 *4780:A *4806:A 4.58907e-05
5 *4780:A *697:27 8.66302e-05
6 *685:16 *4900:CLK 0.000101503
7 *685:16 *5054:TE_B 0.000838125
8 *685:16 *698:35 7.44425e-06
9 *685:16 *762:16 0.000350327
10 *685:16 *870:13 0.00265798
11 la1_data_out[22] *685:16 0.000249229
12 *4778:A *685:16 0.00268104
13 *318:16 *4780:A 5.11466e-05
14 *319:14 *4780:A 4.58989e-05
15 *319:28 *4780:A 0.0016312
16 *321:16 *4780:A 0
17 *329:20 *4780:A 0.000260388
18 *329:69 *685:16 0.000167714
19 *674:22 *685:16 3.62904e-05
*RES
1 *4779:X *685:16 49.1514
2 *685:16 *4780:A 45.483
*END
*D_NET *686 0.0209941
*CONN
*I *4782:B I *D sky130_fd_sc_hd__and2_1
*I *4788:B I *D sky130_fd_sc_hd__and2_1
*I *4798:B I *D sky130_fd_sc_hd__and2_1
*I *4784:B I *D sky130_fd_sc_hd__and2_1
*I *4786:B I *D sky130_fd_sc_hd__and2_1
*I *4781:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4782:B 0
2 *4788:B 0
3 *4798:B 0.000281496
4 *4784:B 0.000127794
5 *4786:B 0.000302997
6 *4781:X 0.0018089
7 *686:47 0.000424084
8 *686:41 0.00023199
9 *686:28 0.00084743
10 *686:13 0.00274213
11 *4784:B *4793:B 4.99151e-05
12 *4784:B *687:14 1.15467e-05
13 *4784:B *688:17 8.33551e-05
14 *4784:B *959:8 2.60935e-05
15 *4786:B *4786:A 0.00013521
16 *4786:B *4790:D 6.31651e-05
17 *4786:B *960:10 0.000237222
18 *4798:B *4798:A 0.00011818
19 *4798:B *697:16 0.0002646
20 *4798:B *701:22 0.000162503
21 *4798:B *748:15 5.73392e-05
22 *4798:B *832:14 2.55661e-06
23 *686:13 *833:36 9.94647e-05
24 *686:13 *837:14 8.98335e-05
25 *686:13 *916:29 0.0027804
26 *686:28 *4788:A 0.00043701
27 *686:28 *4790:B 0
28 *686:28 *4790:D 8.03915e-05
29 *686:28 *4793:A 2.09984e-05
30 *686:28 *688:17 0.000205101
31 *686:28 *693:11 6.00869e-05
32 *686:28 *960:8 4.56325e-05
33 *686:28 *960:10 1.02764e-05
34 *686:41 *4788:A 6.3657e-05
35 *686:41 *688:17 4.25446e-05
36 *686:41 *958:10 9.12416e-06
37 *686:41 *959:8 1.51223e-05
38 *686:47 *4788:A 0.000148372
39 *686:47 *4792:C 1.47978e-05
40 *686:47 *688:17 1.41976e-05
41 *686:47 *701:22 6.50727e-05
42 *4333:A *686:13 0.000106511
43 *4916:D *686:13 0.00128407
44 *4919:D *4784:B 7.07558e-05
45 *259:17 *686:13 0.00261001
46 *311:15 *686:13 0.000183148
47 *316:26 *686:13 6.12559e-06
48 *332:12 *686:13 0.000219772
49 *340:77 *4786:B 0
50 *346:40 *686:47 0.000325896
51 *347:9 *686:47 2.20702e-05
52 *351:97 *4798:B 0.000320392
53 *592:18 *686:13 0
54 *598:34 *4786:B 9.32704e-05
55 *608:40 *686:13 0.000217396
56 *609:10 *4798:B 0
57 *609:23 *686:13 0.00169285
58 *643:54 *686:13 0
59 *672:19 *686:13 0.00166123
*RES
1 *4781:X *686:13 30.9236
2 *686:13 *4786:B 21.6023
3 *686:13 *686:28 23.2952
4 *686:28 *4784:B 17.2194
5 *686:28 *686:41 6.64014
6 *686:41 *686:47 6.31766
7 *686:47 *4798:B 28.006
8 *686:47 *4788:B 9.24915
9 *686:41 *4782:B 9.24915
*END
*D_NET *687 0.0142674
*CONN
*I *4783:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4782:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4783:A 4.67101e-05
2 *4782:X 0.00100081
3 *687:36 0.00207168
4 *687:14 0.00302579
5 *4783:A *4806:A 0.000203595
6 *4783:A *697:27 0.000154145
7 *687:14 *4790:B 5.44807e-05
8 *687:14 *688:17 2.57465e-06
9 *687:14 *690:19 9.12246e-05
10 *687:14 *830:203 0.000103231
11 *687:14 *959:13 1.43983e-05
12 *687:36 *4227:A 0.000205436
13 *687:36 *4763:A 0.00024619
14 *687:36 *4767:A 0.00013323
15 *687:36 *688:17 0.000667415
16 *687:36 *688:41 2.87885e-05
17 *687:36 *700:35 0.000459452
18 *687:36 *882:14 9.36962e-05
19 *687:36 *964:12 7.71732e-06
20 *687:36 *965:10 0.000207883
21 *4333:A *687:36 4.60607e-05
22 *4784:B *687:14 1.15467e-05
23 *4905:D *687:36 7.37172e-06
24 *4906:D *687:36 2.86215e-05
25 *4919:D *687:14 6.26367e-05
26 *301:16 *687:36 0.000754687
27 *302:23 *687:36 0.000837977
28 *303:19 *687:14 1.26614e-05
29 *310:24 *687:36 0.000108236
30 *319:28 *4783:A 2.07365e-05
31 *331:14 *687:36 0.00112981
32 *331:68 *687:36 0.000845174
33 *331:76 *687:14 1.81263e-05
34 *334:31 *687:14 0
35 *338:50 *687:36 0.00106331
36 *346:40 *687:14 5.72235e-05
37 *347:22 *687:36 0.000170967
38 *609:10 *687:14 6.96979e-05
39 *669:22 *687:36 0.000204088
*RES
1 *4782:X *687:14 43.9772
2 *687:14 *687:36 49.9958
3 *687:36 *4783:A 11.6364
*END
*D_NET *688 0.0145109
*CONN
*I *4785:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4784:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4785:A 0.000293418
2 *4784:X 0.000737682
3 *688:41 0.00140164
4 *688:17 0.0018459
5 *4785:A *4799:A 3.55859e-05
6 *4785:A *700:49 0.000126415
7 *4785:A *703:15 5.71492e-05
8 *688:17 *4763:A 0.000260388
9 *688:17 *4767:A 0.000211464
10 *688:17 *4788:A 7.5301e-06
11 *688:17 *4792:C 0.00042026
12 *688:17 *4792:D 6.50727e-05
13 *688:17 *700:35 0.000830055
14 *688:17 *956:21 0.000158713
15 *688:41 *4767:B 6.14756e-06
16 *688:41 *4806:A 0.000122223
17 *688:41 *697:27 0.000759683
18 *688:41 *767:21 4.58003e-05
19 *4275:A *688:41 0.00016542
20 *4760:B *688:41 6.08467e-05
21 *4763:B *688:17 6.50586e-05
22 *4766:A *4785:A 4.49912e-05
23 *4784:B *688:17 8.33551e-05
24 *287:10 *688:41 1.51956e-05
25 *309:15 *688:41 0.00186784
26 *310:24 *4785:A 1.5962e-05
27 *310:24 *688:41 0.00124238
28 *318:23 *688:41 0.000748075
29 *331:14 *688:41 3.10409e-06
30 *331:15 *4785:A 6.64392e-05
31 *334:31 *688:17 0.000373061
32 *338:38 *688:41 8.94611e-05
33 *338:50 *688:41 8.85969e-05
34 *341:25 *688:41 3.13286e-05
35 *346:40 *688:17 7.64622e-05
36 *347:49 *688:41 6.99626e-05
37 *609:10 *688:17 4.4196e-06
38 *673:18 *688:41 0.00069578
39 *675:36 *4785:A 0.000216458
40 *679:17 *688:41 0.000141013
41 *686:28 *688:17 0.000205101
42 *686:41 *688:17 4.25446e-05
43 *686:47 *688:17 1.41976e-05
44 *687:14 *688:17 2.57465e-06
45 *687:36 *688:17 0.000667415
46 *687:36 *688:41 2.87885e-05
*RES
1 *4784:X *688:17 48.7812
2 *688:17 *688:41 47.3525
3 *688:41 *4785:A 25.5607
*END
*D_NET *689 0.0111896
*CONN
*I *4787:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4786:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4787:A 6.50272e-05
2 *4786:X 0.00146292
3 *689:31 0.00148831
4 *689:17 0.00288621
5 *689:17 *4572:A1 6.56365e-05
6 *689:17 *4863:CLK 1.19856e-05
7 *689:17 *4916:CLK 0.000219753
8 *689:17 *1024:26 0.000634105
9 *689:17 *1026:49 0.000109767
10 *689:31 *4554:B 0.000317693
11 *689:31 *5152:A 9.82202e-06
12 *689:31 *830:76 0.000572588
13 *689:31 *880:37 2.77625e-06
14 *689:31 *916:29 0.000104003
15 *689:31 *966:21 0
16 *4572:A2 *689:17 1.84334e-05
17 *4572:B1 *689:17 1.12969e-05
18 *4653:B *689:31 0.000743073
19 *4772:B2 *689:17 1.3822e-06
20 *4916:D *689:17 2.20702e-05
21 *259:17 *689:17 3.11762e-05
22 *292:15 *689:31 0.000158357
23 *311:15 *4787:A 2.01974e-05
24 *328:19 *4787:A 6.50465e-05
25 *341:56 *689:17 1.62266e-06
26 *341:56 *689:31 0.000355581
27 *518:37 *689:31 0.000350726
28 *603:31 *689:17 0.000432613
29 *607:65 *689:31 5.79358e-05
30 *638:19 *689:31 0.00030363
31 *679:17 *689:31 0.000665901
*RES
1 *4786:X *689:17 47.3054
2 *689:17 *689:31 49.4638
3 *689:31 *4787:A 15.1659
*END
*D_NET *690 0.0223802
*CONN
*I *4789:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4788:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4789:A 0.00235829
2 *4788:X 0.000902138
3 *690:19 0.00528319
4 *690:13 0.00382703
5 *4789:A *837:14 0.000126213
6 *690:13 *4795:A3 0.000451419
7 *690:13 *701:22 6.08467e-05
8 *690:13 *833:36 0.00190898
9 *690:13 *837:14 5.21062e-05
10 *690:13 *916:29 0.00125382
11 *690:19 *4779:A 3.56288e-05
12 *690:19 *4911:CLK 0
13 *690:19 *700:35 8.72065e-05
14 *690:19 *701:22 6.98648e-06
15 *690:19 *743:11 0
16 *690:19 *830:167 0
17 *690:19 *830:203 0
18 *690:19 *956:21 0.000143545
19 io_out[1] *690:19 0
20 *4738:A *4789:A 6.1578e-06
21 *4778:A *690:19 7.43042e-05
22 *4779:B *690:19 0
23 *4911:D *690:19 0
24 *4916:D *4789:A 0.000109235
25 *320:33 *4789:A 0
26 *328:19 *4789:A 1.79503e-05
27 *331:76 *690:19 2.74313e-05
28 *332:12 *4789:A 5.96098e-05
29 *334:16 *690:19 1.40356e-05
30 *334:31 *690:19 0
31 *336:25 *690:19 1.27831e-06
32 *338:28 *690:19 1.91246e-05
33 *347:9 *690:13 1.03403e-05
34 *347:17 *690:19 0.00459239
35 *592:33 *690:19 0.000307141
36 *594:11 *690:13 0
37 *600:17 *4789:A 0.000184627
38 *607:65 *4789:A 1.91246e-05
39 *608:40 *4789:A 8.2674e-06
40 *638:19 *4789:A 0.000340543
41 *687:14 *690:19 9.12246e-05
*RES
1 *4788:X *690:13 33.2983
2 *690:13 *690:19 44.699
3 *690:19 *4789:A 30.055
*END
*D_NET *691 0.00204067
*CONN
*I *4795:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4790:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4795:A2 0.000591833
2 *4790:X 0.000591833
3 *4795:B2 *4795:A2 6.35449e-05
4 *351:97 *4795:A2 9.33987e-05
5 *566:7 *4795:A2 0.000606215
6 *601:32 *4795:A2 5.01835e-05
7 *609:94 *4795:A2 4.3663e-05
*RES
1 *4790:X *4795:A2 39.4763
*END
*D_NET *692 0.00285182
*CONN
*I *4795:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4791:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4795:A3 0.00064426
2 *4791:X 0.00064426
3 *4795:A3 *748:15 0.000211464
4 *4795:A3 *832:10 0.000104441
5 *4795:B2 *4795:A3 8.10514e-06
6 *4921:D *4795:A3 4.75721e-06
7 *351:97 *4795:A3 0.000783112
8 *594:11 *4795:A3 0
9 *690:13 *4795:A3 0.000451419
*RES
1 *4791:X *4795:A3 45.8361
*END
*D_NET *693 0.00516199
*CONN
*I *4794:A I *D sky130_fd_sc_hd__or2_1
*I *4792:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4794:A 0.00032585
2 *4792:X 0.00129157
3 *693:11 0.00161742
4 *4794:A *707:16 0
5 *4794:A *709:11 0.00010756
6 *4794:A *948:10 2.20663e-05
7 *693:11 *4790:B 1.42855e-05
8 *693:11 *4792:B 5.22654e-06
9 *693:11 *4814:B 0.000313495
10 *693:11 *743:11 0
11 *693:11 *759:28 2.46335e-05
12 *4919:D *693:11 0.000934315
13 *590:70 *4794:A 0.000445479
14 *686:28 *693:11 6.00869e-05
*RES
1 *4792:X *693:11 44.7129
2 *693:11 *4794:A 22.6404
*END
*D_NET *694 0.00221021
*CONN
*I *4794:B I *D sky130_fd_sc_hd__or2_1
*I *4793:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4794:B 0.000838473
2 *4793:X 0.000838473
3 *4794:B *1004:23 0
4 *4794:B *1004:39 0.000114078
5 *4917:D *4794:B 0.000118532
6 *340:77 *4794:B 6.96979e-05
7 *525:59 *4794:B 0.000230958
*RES
1 *4793:X *4794:B 41.3092
*END
*D_NET *695 0.00155266
*CONN
*I *4795:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4794:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4795:B1 0.00040511
2 *4794:X 0.00040511
3 *4795:B1 *704:11 0.000561634
4 *4926:D *4795:B1 0.000112059
5 *590:70 *4795:B1 6.87503e-05
*RES
1 *4794:X *4795:B1 37.3185
*END
*D_NET *696 0.0224495
*CONN
*I *4797:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4796:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4797:A 0.000397182
2 *4796:X 0.00171227
3 *696:62 0.00117136
4 *696:45 0.00239634
5 *696:20 0.00333444
6 *4797:A *1024:37 7.97098e-06
7 *696:20 *4718:A 1.57066e-05
8 *696:20 *840:15 0.00193338
9 *696:20 *988:11 1.55255e-05
10 *696:20 *1001:78 5.4678e-05
11 *696:20 *1010:16 0.00043783
12 *696:20 *1015:27 0.00173867
13 *696:45 *4714:A 8.03676e-06
14 *696:45 *4748:A1 2.77419e-05
15 *696:45 *4892:CLK 7.48867e-05
16 *696:62 *4895:CLK 3.70433e-05
17 *696:62 *4898:CLK 1.48605e-05
18 *696:62 *5151:A 0.000169041
19 *696:62 *824:25 9.32704e-05
20 *4569:B *696:45 7.15245e-05
21 *4569:B *696:62 0.000779987
22 *4581:A1 *696:45 1.30473e-05
23 *4582:A *696:45 0
24 *4638:B *696:62 7.72673e-05
25 *4730:B *696:45 1.38817e-05
26 *4742:A *696:62 6.50586e-05
27 *4897:D *696:62 0.000163958
28 *284:17 *696:20 6.14756e-06
29 *289:21 *696:45 3.38973e-05
30 *291:22 *4797:A 0.00103729
31 *314:14 *4797:A 0.000706643
32 *328:19 *696:62 0.000215028
33 *344:59 *696:62 0
34 *379:30 *696:62 1.46979e-05
35 *382:23 *696:20 3.33882e-05
36 *400:20 *696:45 1.24189e-05
37 *400:20 *696:62 0.000731077
38 *401:23 *696:20 0.00196843
39 *412:46 *696:20 2.95915e-05
40 *457:46 *696:45 2.27118e-06
41 *523:38 *696:45 4.07966e-05
42 *523:44 *696:62 0.000325034
43 *523:91 *696:20 4.3405e-05
44 *539:19 *696:45 6.50586e-05
45 *540:24 *696:45 6.1808e-06
46 *560:48 *696:20 8.97992e-05
47 *571:21 *4797:A 0.000107496
48 *573:112 *696:62 9.32704e-05
49 *582:35 *696:45 0.000227877
50 *582:35 *696:62 1.15389e-05
51 *583:52 *696:45 1.86239e-05
52 *584:56 *696:20 0
53 *585:12 *696:45 0
54 *585:23 *696:45 2.65335e-05
55 *609:31 *696:20 0.000350211
56 *612:40 *696:45 0.00103781
57 *615:36 *696:45 0.000106696
58 *644:36 *696:45 1.24189e-05
59 *655:11 *696:45 0.000123931
60 *656:23 *696:62 0.00014697
*RES
1 *4796:X *696:20 42.466
2 *696:20 *696:45 41.816
3 *696:45 *696:62 44.3646
4 *696:62 *4797:A 25.5497
*END
*D_NET *697 0.0170989
*CONN
*I *4799:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4798:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4799:A 0.000272669
2 *4798:X 0.0010725
3 *697:27 0.00098869
4 *697:16 0.00178852
5 *4799:A *700:49 2.68365e-05
6 *4799:A *703:15 0.000135185
7 *4799:A *858:13 0.000623304
8 *697:16 *4791:A 1.58551e-05
9 *697:16 *4791:B 0.000470598
10 *697:16 *4791:C 0.00030129
11 *697:16 *4798:A 4.82966e-05
12 *697:16 *4909:CLK 2.09155e-05
13 *697:16 *4911:CLK 5.1493e-06
14 *697:16 *699:16 0.000794755
15 *697:16 *748:13 2.95507e-05
16 *697:16 *748:15 7.6174e-05
17 *697:16 *762:16 0.00160058
18 *697:16 *830:210 2.70631e-05
19 *697:16 *830:213 0.000113968
20 *697:16 *919:14 3.33882e-05
21 *697:16 *922:14 0.00239583
22 *697:16 *955:22 0.000453457
23 *697:16 *958:5 0.000118166
24 *697:27 *4806:A 0.000248535
25 *697:27 *767:21 7.72394e-06
26 *4234:A *697:27 0.000748342
27 *4275:A *697:27 0.000211464
28 *4780:A *697:27 8.66302e-05
29 *4783:A *697:27 0.000154145
30 *4785:A *4799:A 3.55859e-05
31 *4798:B *697:16 0.0002646
32 *302:23 *4799:A 0.000129558
33 *307:32 *697:27 0.00051358
34 *308:33 *697:27 1.37531e-05
35 *315:19 *4799:A 6.11359e-06
36 *319:28 *697:27 0.00148041
37 *320:33 *697:27 0.000315549
38 *320:52 *697:16 2.43314e-05
39 *320:52 *697:27 6.08467e-05
40 *329:20 *697:27 0.000264586
41 *337:95 *697:27 0.00011162
42 *341:25 *697:16 3.3239e-06
43 *347:52 *4799:A 4.26431e-05
44 *621:78 *697:27 0.000141013
45 *683:15 *697:27 6.21391e-05
46 *688:41 *697:27 0.000759683
*RES
1 *4798:X *697:16 49.2747
2 *697:16 *697:27 45.8845
3 *697:27 *4799:A 28.7297
*END
*D_NET *698 0.0265461
*CONN
*I *4809:B I *D sky130_fd_sc_hd__and2_1
*I *4807:B I *D sky130_fd_sc_hd__and2_1
*I *4805:B I *D sky130_fd_sc_hd__and2_1
*I *4803:B I *D sky130_fd_sc_hd__and2_1
*I *4801:B I *D sky130_fd_sc_hd__and2_1
*I *4800:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4809:B 0.000285875
2 *4807:B 0.000413986
3 *4805:B 2.19663e-05
4 *4803:B 0
5 *4801:B 0
6 *4800:X 0.00103448
7 *698:74 0.000618453
8 *698:65 0.00046976
9 *698:60 0.000747187
10 *698:55 0.00283835
11 *698:46 0.00260853
12 *698:35 0.00142793
13 *698:17 0.00194643
14 *4805:B *5104:TE_B 6.50727e-05
15 *4807:B *4807:A 0.000863194
16 *4809:B *4809:A 9.12416e-06
17 *4809:B *5091:TE_B 0.000129784
18 *4809:B *703:8 2.1203e-06
19 *698:35 *4900:CLK 6.50727e-05
20 *698:35 *824:25 6.53645e-05
21 *698:35 *824:32 1.15389e-05
22 *698:35 *858:22 5.0715e-05
23 *698:46 *4749:B 6.64392e-05
24 *698:46 *767:21 3.7211e-05
25 *698:46 *824:32 0.00100958
26 *698:46 *858:22 5.51483e-06
27 *698:46 *908:15 9.72326e-06
28 *698:55 *5091:TE_B 0.00110089
29 *698:55 *769:19 0.00229215
30 *698:55 *897:15 0.000118134
31 *698:65 *4801:A 0.000118166
32 *698:65 *4803:A 0.000217937
33 *698:65 *748:15 0.000206223
34 *698:74 *748:15 8.90486e-05
35 io_out[25] *698:60 0.000382436
36 *4240:A *698:17 7.09666e-06
37 *4619:A *4807:B 9.48476e-05
38 *4623:A *4807:B 1.58551e-05
39 *4738:A *698:17 2.78219e-06
40 *4749:A *698:46 0.000362955
41 *4751:A *698:17 9.96222e-05
42 *4776:A *698:35 0.000770605
43 *4800:A *698:17 0.000556
44 *4902:D *698:17 0.000315527
45 *4909:D *698:46 1.34901e-05
46 *296:13 *698:35 9.80747e-05
47 *306:16 *698:46 2.57465e-05
48 *307:32 *698:35 0.000573004
49 *307:32 *698:46 0.000350367
50 *308:27 *698:35 1.41976e-05
51 *308:33 *698:35 7.68538e-06
52 *319:36 *698:46 0.000104324
53 *328:19 *698:17 4.55061e-05
54 *328:75 *698:46 0.000374418
55 *329:69 *698:35 5.56766e-05
56 *330:14 *698:17 0.000110306
57 *330:45 *698:17 0.000161172
58 *331:8 *698:35 0.000213529
59 *332:12 *698:17 0.000217095
60 *336:38 *698:35 4.42742e-06
61 *336:73 *698:17 0.00015252
62 *336:76 *698:17 2.74378e-05
63 *336:125 *4807:B 1.59078e-05
64 *336:125 *698:74 6.6468e-05
65 *337:70 *4807:B 0.00148112
66 *344:59 *698:35 0.000425539
67 *513:73 *698:17 1.41853e-05
68 *573:15 *4807:B 3.45499e-05
69 *573:15 *698:74 4.08688e-05
70 *590:70 *698:60 0
71 *594:7 *698:55 6.50727e-05
72 *594:11 *698:60 0
73 *607:65 *698:17 2.1203e-06
74 *668:9 *698:17 2.27135e-05
75 *675:36 *698:17 0.00025175
76 *683:15 *698:35 1.56802e-05
77 *685:16 *698:35 7.44425e-06
*RES
1 *4800:X *698:17 45.5011
2 *698:17 *698:35 41.3127
3 *698:35 *698:46 30.1784
4 *698:46 *698:55 41.5953
5 *698:55 *698:60 19.9675
6 *698:60 *4801:B 9.24915
7 *698:60 *698:65 9.04245
8 *698:65 *4803:B 9.24915
9 *698:65 *698:74 9.96496
10 *698:74 *4805:B 14.4725
11 *698:74 *4807:B 34.0678
12 *698:55 *4809:B 23.1623
*END
*D_NET *699 0.0181865
*CONN
*I *4802:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4801:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4802:A 0
2 *4801:X 0.00144028
3 *699:51 0.000802465
4 *699:38 0.00191173
5 *699:16 0.00254955
6 *699:16 *701:22 0
7 *699:16 *748:15 0.000632154
8 *699:16 *762:16 2.81147e-06
9 *699:16 *832:10 6.01588e-05
10 *699:16 *919:14 0.00236294
11 *699:16 *922:14 0.000573463
12 *699:16 *956:10 5.84021e-05
13 *699:16 *1004:67 0.000185367
14 *699:38 *4754:A 6.08467e-05
15 *699:38 *4767:A 2.65667e-05
16 *699:38 *4767:B 0.000115934
17 *699:38 *4767:C 2.83829e-05
18 *699:38 *4900:CLK 0
19 *699:38 *830:34 0
20 *699:38 *830:60 9.32704e-05
21 *699:38 *965:20 0.000373609
22 *699:38 *970:15 0.000107496
23 *699:38 *971:19 0.000476148
24 *699:51 *4812:A 0.000665537
25 *699:51 *4903:CLK 3.5534e-06
26 *699:51 *742:36 0.000491096
27 *699:51 *833:15 0.00026099
28 *699:51 *833:36 4.42142e-05
29 *4333:A *699:51 4.76248e-05
30 *4346:A *699:51 1.92336e-05
31 *4752:B *699:38 2.43314e-05
32 *4752:B *699:51 1.35504e-05
33 *4755:A *699:51 0.000491468
34 *4757:A *699:51 2.11143e-05
35 *4765:B *699:38 6.08467e-05
36 *4777:B *699:16 4.88872e-06
37 *4891:D *699:51 0
38 *4903:D *699:51 2.39581e-05
39 *300:15 *699:38 0.000111708
40 *309:15 *699:16 7.52574e-06
41 *310:24 *699:16 3.77755e-05
42 *334:31 *699:16 6.80617e-05
43 *338:51 *699:51 0.000164829
44 *346:40 *699:16 9.04963e-05
45 *346:53 *699:38 0.000111708
46 *513:60 *699:38 0.000258128
47 *594:11 *699:16 0
48 *621:78 *699:38 0.000106979
49 *668:22 *699:51 1.03079e-05
50 *668:27 *699:38 0.000863145
51 *670:18 *699:51 0.00086964
52 *675:20 *699:38 1.5714e-05
53 *676:32 *699:38 0.000447072
54 *676:32 *699:51 0.000194706
55 *697:16 *699:16 0.000794755
*RES
1 *4801:X *699:16 46.9658
2 *699:16 *699:38 46.3426
3 *699:38 *699:51 42.2724
4 *699:51 *4802:A 9.24915
*END
*D_NET *700 0.0187992
*CONN
*I *4804:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4803:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4804:A 0
2 *4803:X 0.00119852
3 *700:49 0.00219702
4 *700:35 0.00336959
5 *700:21 0.00237109
6 *700:21 *4805:A 1.29348e-05
7 *700:21 *4809:A 0.000156355
8 *700:21 *701:22 0.000474233
9 *700:21 *748:15 0.000623449
10 *700:21 *832:14 3.57683e-05
11 *700:21 *832:92 9.82896e-06
12 *700:21 *832:96 0.000666075
13 *700:21 *949:8 3.81416e-06
14 *700:21 *959:8 0.000263116
15 *700:35 *701:22 0.000141003
16 *700:35 *701:30 0.00151263
17 *700:35 *830:25 0.000110629
18 *700:49 *4806:A 7.16754e-05
19 *4763:B *700:35 6.50586e-05
20 *4785:A *700:49 0.000126415
21 *4799:A *700:49 2.68365e-05
22 *4905:D *700:35 2.43387e-05
23 *4921:D *700:21 0.000104483
24 *4921:D *700:49 0
25 *4922:D *700:21 7.00115e-05
26 *301:16 *700:49 0.00190445
27 *302:23 *700:49 3.58208e-05
28 *309:15 *700:35 0.000592638
29 *309:15 *700:49 1.91391e-05
30 *331:68 *700:35 0.000318331
31 *331:68 *700:49 0.000159838
32 *334:31 *700:35 0.000224395
33 *346:15 *700:21 0.000517234
34 *675:36 *700:49 1.58193e-05
35 *687:36 *700:35 0.000459452
36 *688:17 *700:35 0.000830055
37 *690:19 *700:35 8.72065e-05
*RES
1 *4803:X *700:21 48.6954
2 *700:21 *700:35 46.5919
3 *700:35 *700:49 47.8796
4 *700:49 *4804:A 9.24915
*END
*D_NET *701 0.0190073
*CONN
*I *4806:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4805:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4806:A 0.00139772
2 *4805:X 0.00100226
3 *701:30 0.00311921
4 *701:22 0.00260475
5 *701:11 0.00188552
6 *701:11 *4815:C 8.90486e-05
7 *701:11 *759:37 0.000154884
8 *701:22 *4815:B 0.00011818
9 *701:22 *4815:C 0.000200794
10 *701:22 *4815:D 2.61012e-05
11 *701:22 *707:16 0.000200794
12 *701:22 *832:14 0.000186587
13 *701:22 *956:21 0.000213725
14 *701:30 *4900:CLK 6.22114e-05
15 *701:30 *830:25 0.000693505
16 *701:30 *830:34 0.000111708
17 *4234:A *4806:A 0.00044418
18 *4780:A *4806:A 4.58907e-05
19 *4783:A *4806:A 0.000203595
20 *4798:B *701:22 0.000162503
21 *4901:D *701:30 0.000161132
22 *309:15 *701:22 0.000698867
23 *318:16 *4806:A 0.000213949
24 *318:23 *701:22 0.000328409
25 *319:28 *4806:A 7.39819e-05
26 *327:7 *4806:A 0.00050655
27 *331:68 *701:30 1.77912e-05
28 *336:125 *701:11 0.000550383
29 *338:77 *701:11 0
30 *346:40 *701:22 0.000570314
31 *347:9 *701:22 8.63502e-05
32 *351:97 *701:22 1.25395e-05
33 *673:18 *4806:A 0.000160617
34 *686:47 *701:22 6.50727e-05
35 *688:41 *4806:A 0.000122223
36 *690:13 *701:22 6.08467e-05
37 *690:19 *701:22 6.98648e-06
38 *697:27 *4806:A 0.000248535
39 *699:16 *701:22 0
40 *700:21 *701:22 0.000474233
41 *700:35 *701:22 0.000141003
42 *700:35 *701:30 0.00151263
43 *700:49 *4806:A 7.16754e-05
*RES
1 *4805:X *701:11 39.1166
2 *701:11 *701:22 48.8325
3 *701:22 *701:30 43.3703
4 *701:30 *4806:A 42.9594
*END
*D_NET *702 0.0172106
*CONN
*I *4808:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4807:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4808:A 0.000869242
2 *4807:X 0.000347015
3 *702:9 0.00322707
4 *702:8 0.00270484
5 *4808:A *703:15 0
6 *4808:A *860:10 0
7 *4808:A *871:8 8.62921e-05
8 *4808:A *881:25 1.51692e-05
9 *4808:A *883:8 5.50692e-05
10 *4808:A *945:17 0.000171273
11 *702:9 *703:9 0.000947462
12 *702:9 *860:11 0.000409671
13 *4778:A *4808:A 0
14 *319:14 *4808:A 0
15 *321:16 *4808:A 0
16 *331:113 *702:8 0.000141264
17 *341:18 *702:9 0.00373538
18 *345:45 *702:8 0.000438151
19 *348:8 *702:8 4.3116e-06
20 *573:85 *702:9 0.000322971
21 *592:33 *702:9 0.00373538
*RES
1 *4807:X *702:8 29.2167
2 *702:8 *702:9 110.535
3 *702:9 *4808:A 38.5238
*END
*D_NET *703 0.0195055
*CONN
*I *4810:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4809:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4810:A 0
2 *4809:X 0.000344305
3 *703:15 0.00144172
4 *703:9 0.00236493
5 *703:8 0.00126751
6 *703:9 *860:11 0.0076346
7 *703:9 *900:15 0.00020476
8 *703:15 *860:10 0
9 *703:15 *881:25 0
10 io_out[25] *703:8 0
11 io_out[27] *703:8 0
12 *4333:A *703:15 0.000635526
13 *4766:A *703:15 4.49767e-05
14 *4778:A *703:15 5.36085e-05
15 *4785:A *703:15 5.71492e-05
16 *4799:A *703:15 0.000135185
17 *4808:A *703:15 0
18 *4809:B *703:8 2.1203e-06
19 *4923:D *703:8 8.94814e-06
20 *3:16 *703:15 2.01653e-05
21 *303:19 *703:15 4.20184e-06
22 *305:8 *703:15 0.000241266
23 *307:22 *703:15 5.4678e-05
24 *308:27 *703:15 1.78358e-05
25 *329:93 *703:8 1.91246e-05
26 *340:53 *703:15 1.66673e-05
27 *341:18 *703:9 0.000433781
28 *347:22 *703:15 0.000542019
29 *573:85 *703:9 0.00293662
30 *638:19 *703:15 1.84293e-05
31 *643:45 *703:15 5.78883e-05
32 *702:9 *703:9 0.000947462
*RES
1 *4809:X *703:8 24.6489
2 *703:8 *703:9 81.1409
3 *703:9 *703:15 46.8518
4 *703:15 *4810:A 9.24915
*END
*D_NET *704 0.0198265
*CONN
*I *4812:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4811:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4812:A 0.00137374
2 *4811:X 0.00105488
3 *704:25 0.00194661
4 *704:11 0.00162775
5 *4812:A *4767:A 2.35827e-05
6 *4812:A *4770:C 5.1493e-06
7 *4812:A *4770:D 3.29488e-05
8 *4812:A *742:36 0.00205359
9 *4812:A *833:15 0.00017165
10 *4812:A *970:15 2.55661e-06
11 *704:11 *4817:B 2.28654e-05
12 *704:11 *4880:CLK 0.000478984
13 *704:11 *4926:CLK 0.000307023
14 *704:11 *916:33 8.82538e-05
15 *704:11 *1004:39 5.24861e-05
16 *704:11 *1025:34 0
17 *704:25 *4793:A 2.12616e-05
18 *704:25 *4793:C 6.08467e-05
19 *704:25 *4793:D 1.92172e-05
20 *704:25 *4915:CLK 0.000171288
21 *704:25 *880:37 1.6917e-05
22 *704:25 *1004:39 0.001315
23 *4757:A *4812:A 0.00011708
24 *4772:A2 *4812:A 8.5809e-05
25 *4795:B1 *704:11 0.000561634
26 *4795:B2 *704:11 0.00011818
27 *4903:D *4812:A 7.68538e-06
28 *4926:D *704:11 0.000271396
29 *303:19 *4812:A 0.00350529
30 *311:15 *704:25 0.000563103
31 *316:26 *4812:A 0.000532399
32 *330:14 *4812:A 3.34965e-05
33 *338:51 *4812:A 0.000265053
34 *513:48 *704:25 2.1203e-06
35 *513:60 *704:25 8.12577e-06
36 *513:73 *4812:A 7.99851e-05
37 *567:15 *704:25 0.000610533
38 *573:15 *704:11 0
39 *590:26 *704:11 0
40 *590:70 *704:11 0
41 *592:40 *4812:A 0
42 *600:60 *704:25 0.000828483
43 *607:33 *704:25 0.000260659
44 *607:47 *704:25 0.000226148
45 *609:78 *704:11 5.41094e-05
46 *609:78 *704:25 0.00018305
47 *679:17 *4812:A 0
48 *699:51 *4812:A 0.000665537
*RES
1 *4811:X *704:11 46.7304
2 *704:11 *704:25 46.0898
3 *704:25 *4812:A 42.8588
*END
*D_NET *705 0.00143735
*CONN
*I *4818:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4813:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4818:A2 0.000222913
2 *4813:X 0.000222913
3 *4818:A2 *4813:B 0.000164829
4 *4818:A2 *4813:C 0.000171288
5 *4818:A2 *4813:D 0.00010234
6 *4818:A2 *742:36 1.5714e-05
7 *4818:A2 *952:25 0.000196576
8 *336:125 *4818:A2 0.000340779
9 *338:77 *4818:A2 0
*RES
1 *4813:X *4818:A2 36.1459
*END
*D_NET *706 0.00167779
*CONN
*I *4818:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4814:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4818:A3 0.000609056
2 *4814:X 0.000609056
3 *4818:A3 *4809:A 0.000104944
4 *4818:A3 *951:24 2.18041e-06
5 *336:125 *4818:A3 0.000291706
6 *573:15 *4818:A3 0
7 *607:15 *4818:A3 6.08467e-05
*RES
1 *4814:X *4818:A3 39.3664
*END
*D_NET *707 0.00448996
*CONN
*I *4817:A I *D sky130_fd_sc_hd__or2_1
*I *4815:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4817:A 0.000517071
2 *4815:X 0.000574458
3 *707:16 0.00109153
4 *4817:A *709:11 0.00069632
5 *4817:A *946:8 5.68968e-05
6 *707:16 *4798:A 1.77537e-06
7 *707:16 *709:11 8.26234e-06
8 *707:16 *759:28 0.00011818
9 *707:16 *946:8 0.000240082
10 *707:16 *946:17 8.45578e-05
11 *707:16 *948:10 0.000120864
12 *4694:B *4817:A 0.000158357
13 *4794:A *707:16 0
14 *526:8 *4817:A 0.000458227
15 *632:18 *4817:A 0.000162583
16 *701:22 *707:16 0.000200794
*RES
1 *4815:X *707:16 35.7331
2 *707:16 *4817:A 30.4942
*END
*D_NET *708 0.00987793
*CONN
*I *4817:B I *D sky130_fd_sc_hd__or2_1
*I *4816:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4817:B 0.000987449
2 *4816:X 0.000914933
3 *708:14 0.00190238
4 *4817:B *718:45 0.00159466
5 *4817:B *1005:29 2.4469e-05
6 *708:14 *4258:A 9.10158e-05
7 *708:14 *5064:TE_B 4.70016e-05
8 *708:14 *731:10 1.34685e-05
9 *708:14 *832:132 0.000250313
10 *708:14 *953:11 2.41274e-06
11 *4338:A *708:14 0.000347614
12 *4625:A1 *708:14 0.000330541
13 *4629:B2 *4817:B 0.000164829
14 *4634:B1 *4817:B 6.74182e-05
15 *4661:B2 *708:14 3.33519e-05
16 *323:14 *708:14 4.70104e-05
17 *323:32 *708:14 0.000251546
18 *330:82 *708:14 5.04829e-06
19 *335:10 *4817:B 4.15661e-05
20 *335:29 *4817:B 3.29488e-05
21 *335:74 *4817:B 0.00159399
22 *573:24 *708:14 9.17656e-06
23 *573:49 *708:14 0.000113267
24 *590:26 *4817:B 2.1203e-06
25 *598:20 *708:14 0.00026534
26 *608:45 *4817:B 0.000210077
27 *632:18 *4817:B 0.000511123
28 *704:11 *4817:B 2.28654e-05
*RES
1 *4816:X *708:14 47.8149
2 *708:14 *4817:B 45.2688
*END
*D_NET *709 0.00513734
*CONN
*I *4818:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4817:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4818:B1 0.000103425
2 *4817:X 0.00141396
3 *709:11 0.00151738
4 *4818:B1 *952:25 0.000131599
5 *709:11 *832:122 1.90658e-05
6 *709:11 *952:11 1.03403e-05
7 *4694:B *709:11 0.000111722
8 *4794:A *709:11 0.00010756
9 *4817:A *709:11 0.00069632
10 *4818:A1 *4818:B1 3.11717e-05
11 *4818:B2 *4818:B1 3.20069e-06
12 *4924:D *709:11 0.000176522
13 *338:77 *4818:B1 2.28894e-05
14 *590:26 *709:11 9.88174e-05
15 *590:70 *709:11 3.07481e-05
16 *607:15 *4818:B1 2.7381e-05
17 *607:33 *709:11 0.000519481
18 *608:45 *709:11 0.000107496
19 *707:16 *709:11 8.26234e-06
*RES
1 *4817:X *709:11 48.1265
2 *709:11 *4818:B1 21.2372
*END
*D_NET *710 0.000783768
*CONN
*I *5032:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4216:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5032:TE_B 0.000107676
2 *4216:Y 0.000107676
3 *5032:TE_B *5032:A 6.08467e-05
4 la1_data_out[0] *5032:TE_B 2.99287e-05
5 *325:21 *5032:TE_B 0.000477641
*RES
1 *4216:Y *5032:TE_B 23.6585
*END
*D_NET *711 0.0191689
*CONN
*I *5033:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4217:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5033:TE_B 0.000134773
2 *4217:Y 0
3 *711:6 0.00388124
4 *711:5 0.00374647
5 *711:6 *5052:TE_B 9.8511e-05
6 *711:6 *5085:TE_B 5.21927e-05
7 *711:6 *5134:A 5.36834e-05
8 *711:6 *5134:TE_B 0.000119538
9 *711:6 *5138:TE_B 0
10 *711:6 *5139:TE_B 4.08482e-05
11 io_oeb[35] *711:6 1.91391e-05
12 io_oeb[37] *711:6 4.51176e-05
13 io_oeb[5] *711:6 1.93781e-05
14 io_out[21] *711:6 4.51062e-05
15 io_out[31] *711:6 4.40325e-05
16 *4274:A *711:6 0
17 *4336:A *711:6 0.000492481
18 *329:28 *711:6 0.000222929
19 *332:94 *711:6 0
20 *336:80 *5033:TE_B 2.54062e-05
21 *336:81 *711:6 0.000406941
22 *336:85 *711:6 0.000518266
23 *336:89 *711:6 0
24 *336:91 *711:6 6.20396e-05
25 *336:99 *711:6 0.000237487
26 *338:54 *711:6 0.00874527
27 *347:62 *711:6 0.000158066
*RES
1 *4217:Y *711:5 13.7491
2 *711:5 *711:6 164.611
3 *711:6 *5033:TE_B 16.691
*END
*D_NET *712 0.00076402
*CONN
*I *5034:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4218:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5034:TE_B 0.000213229
2 *4218:Y 0.000213229
3 la1_data_out[2] *5034:TE_B 0
4 *4218:A *5034:TE_B 0.00020172
5 *328:31 *5034:TE_B 4.81015e-05
6 *348:22 *5034:TE_B 8.77402e-05
*RES
1 *4218:Y *5034:TE_B 33.9303
*END
*D_NET *713 0.000542731
*CONN
*I *5035:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4219:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5035:TE_B 0.00017828
2 *4219:Y 0.00017828
3 *4217:A *5035:TE_B 5.53934e-05
4 *4274:A *5035:TE_B 0.000130777
*RES
1 *4219:Y *5035:TE_B 31.5781
*END
*D_NET *714 0.0016159
*CONN
*I *5036:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4220:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5036:TE_B 0.000679067
2 *4220:Y 0.000679067
3 *5036:TE_B *5103:TE_B 0.000109648
4 *5036:TE_B *805:9 3.77804e-05
5 *5036:TE_B *839:10 3.77804e-05
6 *337:31 *5036:TE_B 7.25614e-05
*RES
1 *4220:Y *5036:TE_B 38.9273
*END
*D_NET *715 0.00157609
*CONN
*I *5037:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4222:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5037:TE_B 0.000576357
2 *4222:Y 0.000576357
3 *4224:A *5037:TE_B 0.000258087
4 *325:34 *5037:TE_B 0
5 *326:23 *5037:TE_B 6.92705e-05
6 *331:31 *5037:TE_B 9.60216e-05
*RES
1 *4222:Y *5037:TE_B 37.8181
*END
*D_NET *716 0.00101665
*CONN
*I *5038:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4223:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5038:TE_B 0.000395343
2 *4223:Y 0.000395343
3 *5038:TE_B *4398:A 0.000140564
4 *332:56 *5038:TE_B 4.27003e-05
5 *343:56 *5038:TE_B 4.27003e-05
*RES
1 *4223:Y *5038:TE_B 36.7089
*END
*D_NET *717 0.00091074
*CONN
*I *5039:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4224:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5039:TE_B 0.000354058
2 *4224:Y 0.000354058
3 *5039:TE_B *5039:A 0.000137565
4 *4224:A *5039:TE_B 6.50586e-05
5 *325:34 *5039:TE_B 0
*RES
1 *4224:Y *5039:TE_B 35.4548
*END
*D_NET *718 0.0274486
*CONN
*I *5040:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4339:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5040:TE_B 0.000331756
2 *4339:Y 0.00171792
3 *718:45 0.00354148
4 *718:24 0.00492765
5 *5040:TE_B *4665:A1 0
6 *5040:TE_B *756:10 0.000462698
7 *5040:TE_B *1038:8 0
8 *718:24 *829:37 1.63131e-05
9 *718:24 *917:24 5.01835e-05
10 *718:45 *4553:B 0.000423908
11 *718:45 *4572:A1 1.00454e-05
12 *718:45 *4790:D 5.57646e-06
13 *718:45 *759:17 0
14 *718:45 *806:19 0.000450202
15 *718:45 *837:14 0
16 *718:45 *1005:29 0.00051852
17 *718:45 *1012:33 6.26921e-05
18 *718:45 *1025:17 5.31569e-06
19 *4555:B1 *718:45 0.000117341
20 *4566:B2 *718:45 0.000192601
21 *4568:B *718:45 1.64564e-05
22 *4572:A2 *718:45 0.000336795
23 *4572:B1 *718:45 5.26364e-05
24 *4582:A *718:24 0.000380772
25 *4817:B *718:45 0.00159466
26 *276:26 *718:45 0.00351203
27 *329:108 *718:45 6.23101e-05
28 *335:74 *718:45 3.33882e-05
29 *340:77 *718:45 0
30 *346:62 *718:24 6.39153e-06
31 *348:20 *718:24 0.000117341
32 *348:22 *718:24 9.12416e-06
33 *350:59 *718:45 2.33103e-06
34 *397:15 *718:24 0.000858287
35 *456:14 *718:45 3.06232e-05
36 *518:42 *718:45 5.49916e-05
37 *518:46 *718:45 5.15144e-05
38 *520:26 *718:24 0.000101888
39 *529:26 *718:45 0.000298318
40 *532:19 *718:24 0.00118148
41 *556:28 *718:24 0.000855747
42 *557:53 *718:24 2.37678e-05
43 *568:42 *718:45 0.00104226
44 *583:20 *718:24 5.88009e-05
45 *583:52 *718:24 0.000206449
46 *584:56 *718:24 0.00160893
47 *585:12 *718:24 0.000413252
48 *590:26 *718:45 0.00157029
49 *592:40 *718:24 5.01835e-05
50 *605:20 *5040:TE_B 6.08467e-05
51 *611:22 *718:24 2.25742e-05
*RES
1 *4339:Y *718:24 45.983
2 *718:24 *718:45 49.6291
3 *718:45 *5040:TE_B 26.525
*END
*D_NET *719 0.00890053
*CONN
*I *5041:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4235:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5041:TE_B 0.000232577
2 *4235:Y 0.000133891
3 *719:9 0.00140326
4 *719:8 0.00130457
5 *5041:TE_B *5041:A 0
6 *5041:TE_B *5063:A 6.8703e-05
7 *5041:TE_B *5081:A 0
8 *719:9 *762:19 0.000283749
9 *719:9 *856:9 0.00030367
10 *719:9 *881:25 0.000110257
11 io_out[17] *5041:TE_B 0
12 *4235:A *719:8 1.91246e-05
13 *319:37 *719:9 0.00168786
14 *330:60 *719:9 0.00335288
*RES
1 *4235:Y *719:8 20.4964
2 *719:8 *719:9 52.8561
3 *719:9 *5041:TE_B 24.2337
*END
*D_NET *720 0.00155654
*CONN
*I *5042:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4232:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5042:TE_B 0.000399609
2 *4232:Y 0.000399609
3 *5042:TE_B *5042:A 0.000168843
4 *4233:A *5042:TE_B 0.000294241
5 *326:44 *5042:TE_B 0.000294241
*RES
1 *4232:Y *5042:TE_B 40.0309
*END
*D_NET *721 0.000337855
*CONN
*I *5043:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4230:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5043:TE_B 0.000134292
2 *4230:Y 0.000134292
3 la1_data_out[11] *5043:TE_B 0
4 la1_data_out[2] *5043:TE_B 0
5 *328:41 *5043:TE_B 6.92705e-05
*RES
1 *4230:Y *5043:TE_B 30.4689
*END
*D_NET *722 0.00199762
*CONN
*I *5044:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4226:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5044:TE_B 0.000736646
2 *4226:Y 0.000736646
3 *5044:TE_B *5053:A 0
4 *5044:TE_B *5053:TE_B 2.01874e-05
5 *5044:TE_B *5081:A 0
6 *5044:TE_B *5081:TE_B 3.77804e-05
7 *5044:TE_B *759:37 0.000466359
8 io_out[0] *5044:TE_B 0
9 io_out[17] *5044:TE_B 0
*RES
1 *4226:Y *5044:TE_B 42.2438
*END
*D_NET *723 0.000827629
*CONN
*I *5045:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4225:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5045:TE_B 0.00032642
2 *4225:Y 0.00032642
3 *5045:TE_B *5050:TE_B 9.96342e-05
4 la1_data_out[18] *5045:TE_B 0
5 *5157:A *5045:TE_B 4.20184e-06
6 *326:106 *5045:TE_B 7.0954e-05
*RES
1 *4225:Y *5045:TE_B 34.9002
*END
*D_NET *724 0.00220161
*CONN
*I *5046:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4229:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5046:TE_B 0.000253295
2 *4229:Y 0.000253295
3 *5046:TE_B *5054:A 8.5985e-05
4 *5046:TE_B *798:11 2.67486e-05
5 *5046:TE_B *807:17 8.5985e-05
6 *5046:TE_B *862:25 1.14836e-05
7 *329:69 *5046:TE_B 5.62125e-05
8 *336:25 *5046:TE_B 0.000557397
9 *337:95 *5046:TE_B 0.000871211
*RES
1 *4229:Y *5046:TE_B 40.3982
*END
*D_NET *725 0.00391371
*CONN
*I *5047:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4231:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5047:TE_B 0.000180989
2 *4231:Y 0.00076751
3 *725:10 0.000948498
4 *5047:TE_B *5047:A 7.88559e-05
5 *725:10 *5092:TE_B 3.14978e-05
6 *725:10 *939:13 0.000183558
7 io_oeb[17] *725:10 0
8 la1_data_out[23] *725:10 0
9 *325:21 *5047:TE_B 0.000100961
10 *328:31 *725:10 6.50727e-05
11 *348:27 *725:10 0.000226589
12 *348:37 *5047:TE_B 0.000897691
13 *348:37 *725:10 0.000432488
*RES
1 *4231:Y *725:10 41.0462
2 *725:10 *5047:TE_B 19.4249
*END
*D_NET *726 0.00100926
*CONN
*I *5048:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4233:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5048:TE_B 0.000413342
2 *4233:Y 0.000413342
3 *326:54 *5048:TE_B 0.000127179
4 *343:56 *5048:TE_B 5.53934e-05
*RES
1 *4233:Y *5048:TE_B 37.1242
*END
*D_NET *727 0.00241444
*CONN
*I *5049:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4236:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5049:TE_B 0.000754573
2 *4236:Y 0.000754573
3 *5049:TE_B *1004:109 0.000176465
4 *5049:TE_B *1037:36 2.7269e-05
5 *4459:A2 *5049:TE_B 0.000501786
6 *4459:B1 *5049:TE_B 3.04233e-05
7 *335:46 *5049:TE_B 0.000120681
8 *337:12 *5049:TE_B 4.86647e-05
*RES
1 *4236:Y *5049:TE_B 49.3086
*END
*D_NET *728 0.000620332
*CONN
*I *5050:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4237:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5050:TE_B 0.000242209
2 *4237:Y 0.000242209
3 *4237:A *5050:TE_B 1.84293e-05
4 *5045:TE_B *5050:TE_B 9.96342e-05
5 *326:106 *5050:TE_B 1.78514e-05
*RES
1 *4237:Y *5050:TE_B 33.3757
*END
*D_NET *729 0.00166829
*CONN
*I *5051:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4238:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5051:TE_B 0.000499783
2 *4238:Y 0.000499783
3 *4266:A *5051:TE_B 0.000105193
4 *4478:B1 *5051:TE_B 0.000154333
5 *329:122 *5051:TE_B 0.000346333
6 *335:48 *5051:TE_B 6.28598e-05
*RES
1 *4238:Y *5051:TE_B 40.8614
*END
*D_NET *730 0.000470139
*CONN
*I *5052:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4239:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5052:TE_B 0.00017298
2 *4239:Y 0.00017298
3 *4274:A *5052:TE_B 2.56676e-05
4 *711:6 *5052:TE_B 9.8511e-05
*RES
1 *4239:Y *5052:TE_B 31.7175
*END
*D_NET *731 0.00642964
*CONN
*I *5053:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4241:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5053:TE_B 0.00039436
2 *4241:Y 0.000594223
3 *731:10 0.000988583
4 *5053:TE_B *5053:A 0.000228593
5 *5053:TE_B *759:37 0.000118887
6 *731:10 *4258:A 1.3028e-05
7 *4338:A *731:10 0.000347614
8 *4645:C_N *731:10 7.14746e-05
9 *5044:TE_B *5053:TE_B 2.01874e-05
10 *323:14 *731:10 5.56367e-05
11 *323:32 *731:10 0.00031228
12 *326:77 *5053:TE_B 0.000100493
13 *330:66 *731:10 9.60366e-05
14 *330:82 *731:10 6.50727e-05
15 *334:84 *5053:TE_B 0.000440512
16 *337:11 *5053:TE_B 0.0010031
17 *346:98 *5053:TE_B 0.000251655
18 *572:17 *731:10 8.98552e-05
19 *572:28 *731:10 0.000977833
20 *598:20 *731:10 0.000246749
21 *708:14 *731:10 1.34685e-05
*RES
1 *4241:Y *731:10 40.7366
2 *731:10 *5053:TE_B 26.6348
*END
*D_NET *732 0.00291686
*CONN
*I *5054:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4242:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5054:TE_B 0.000723946
2 *4242:Y 0.000723946
3 *5054:TE_B *769:19 0.000303952
4 *320:52 *5054:TE_B 0
5 *592:34 *5054:TE_B 1.96459e-05
6 *592:40 *5054:TE_B 9.23646e-05
7 *674:22 *5054:TE_B 0.000214883
8 *685:16 *5054:TE_B 0.000838125
*RES
1 *4242:Y *5054:TE_B 48.9823
*END
*D_NET *733 0.000997607
*CONN
*I *5055:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4243:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5055:TE_B 0.000278242
2 *4243:Y 0.000278242
3 *5055:TE_B *5047:A 0.000116971
4 *5055:TE_B *768:5 0.000324151
5 *344:28 *5055:TE_B 0
*RES
1 *4243:Y *5055:TE_B 33.242
*END
*D_NET *734 0.0016065
*CONN
*I *5056:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4244:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5056:TE_B 0.000532049
2 *4244:Y 0.000532049
3 *5056:TE_B *4662:A 7.34948e-06
4 *326:54 *5056:TE_B 0.000162673
5 *343:56 *5056:TE_B 0.000372379
*RES
1 *4244:Y *5056:TE_B 41.8313
*END
*D_NET *735 0.000425239
*CONN
*I *5057:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4340:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5057:TE_B 0.000177984
2 *4340:Y 0.000177984
3 la1_data_out[7] *5057:TE_B 0
4 *4340:A *5057:TE_B 6.92705e-05
*RES
1 *4340:Y *5057:TE_B 31.0235
*END
*D_NET *736 0.00112528
*CONN
*I *5058:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4254:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5058:TE_B 0.000322212
2 *4254:Y 0.000322212
3 *5058:TE_B *5058:A 0.00027859
4 *326:44 *5058:TE_B 0.000101133
5 *328:48 *5058:TE_B 0.000101133
*RES
1 *4254:Y *5058:TE_B 36.7089
*END
*D_NET *737 0.000465223
*CONN
*I *5059:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4251:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5059:TE_B 0.000164867
2 *4251:Y 0.000164867
3 *5059:TE_B *5059:A 5.0715e-05
4 *4251:A *5059:TE_B 8.47748e-05
*RES
1 *4251:Y *5059:TE_B 23.1039
*END
*D_NET *738 0.00241145
*CONN
*I *5060:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4249:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5060:TE_B 0
2 *4249:Y 0.00089752
3 *738:9 0.00089752
4 *738:9 *5060:A 5.04829e-06
5 io_oeb[16] *738:9 0.000117754
6 io_out[29] *738:9 0.0001438
7 *326:44 *738:9 0.000172414
8 *328:48 *738:9 0
9 *337:31 *738:9 9.65989e-05
10 *343:56 *738:9 8.07939e-05
*RES
1 *4249:Y *738:9 42.9663
2 *738:9 *5060:TE_B 9.24915
*END
*D_NET *739 0.00084191
*CONN
*I *5061:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4247:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5061:TE_B 0.000167161
2 *4247:Y 0.000167161
3 *5061:TE_B *5057:A 0.000168843
4 *5061:TE_B *878:13 0.000175485
5 io_out[2] *5061:TE_B 0
6 la1_data_out[29] *5061:TE_B 0.000124942
7 *154:12 *5061:TE_B 3.83172e-05
*RES
1 *4247:Y *5061:TE_B 33.7966
*END
*D_NET *740 0.0012883
*CONN
*I *5062:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4245:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5062:TE_B 0.000583514
2 *4245:Y 0.000583514
3 *5062:TE_B *5062:A 5.12215e-05
4 *5062:TE_B *760:8 2.68928e-05
5 *4245:A *5062:TE_B 4.31539e-05
*RES
1 *4245:Y *5062:TE_B 36.7089
*END
*D_NET *741 0.00127807
*CONN
*I *5063:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4248:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5063:TE_B 0.000352025
2 *4248:Y 0.000352025
3 *4627:A *5063:TE_B 0.000330596
4 *4643:B1 *5063:TE_B 1.92336e-05
5 *329:93 *5063:TE_B 0.000212208
6 *580:7 *5063:TE_B 1.19856e-05
*RES
1 *4248:Y *5063:TE_B 29.2046
*END
*D_NET *742 0.0206166
*CONN
*I *5064:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4346:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5064:TE_B 0.00116604
2 *4346:Y 0.00220683
3 *742:36 0.00337287
4 *5064:TE_B *4813:B 0.000313481
5 *5064:TE_B *4813:C 6.24655e-05
6 *5064:TE_B *4816:B 6.50727e-05
7 *5064:TE_B *951:24 0.000266832
8 *5064:TE_B *953:11 0.000167076
9 *742:36 *833:36 2.3599e-05
10 *742:36 *882:14 0.00356323
11 *4332:A *5064:TE_B 0.000112149
12 *4346:A *742:36 6.92705e-05
13 *4757:A *742:36 0.000283548
14 *4812:A *742:36 0.00205359
15 *4818:A2 *742:36 1.5714e-05
16 *4891:D *742:36 7.18816e-06
17 *4903:D *742:36 1.71784e-05
18 *4914:D *742:36 0.000843801
19 *303:19 *742:36 0.000528668
20 *316:26 *742:36 0.00069129
21 *316:47 *742:36 0.000954998
22 *320:52 *742:36 0.00142006
23 *323:37 *5064:TE_B 0.000121159
24 *330:66 *5064:TE_B 0.000205006
25 *331:113 *742:36 0.000933349
26 *336:125 *742:36 1.37385e-05
27 *346:40 *742:36 5.19999e-05
28 *600:49 *742:36 0.000529187
29 *676:32 *742:36 1.91246e-05
30 *699:51 *742:36 0.000491096
31 *708:14 *5064:TE_B 4.70016e-05
*RES
1 *4346:Y *742:36 45.8768
2 *742:36 *5064:TE_B 37.7268
*END
*D_NET *743 0.00451621
*CONN
*I *5065:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4250:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5065:TE_B 0
2 *4250:Y 0.00115411
3 *743:11 0.00115411
4 *743:11 *4790:B 2.2224e-05
5 *743:11 *4792:B 1.33074e-05
6 *743:11 *4792:D 0.000575723
7 *743:11 *4911:CLK 0.000146065
8 *743:11 *5120:TE_B 2.65667e-05
9 *743:11 *830:203 0.000347756
10 *743:11 *830:210 0.000170562
11 *743:11 *971:19 7.14746e-05
12 io_out[1] *743:11 7.09666e-06
13 *4911:D *743:11 2.27118e-06
14 *331:76 *743:11 0.000675012
15 *331:86 *743:11 6.64392e-05
16 *337:82 *743:11 6.50586e-05
17 *338:28 *743:11 1.84334e-05
18 *690:19 *743:11 0
19 *693:11 *743:11 0
*RES
1 *4250:Y *743:11 45.7631
2 *743:11 *5065:TE_B 9.24915
*END
*D_NET *744 0.000422514
*CONN
*I *5066:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4253:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5066:TE_B 0.000158918
2 *4253:Y 0.000158918
3 *5066:TE_B *5066:A 5.39463e-05
4 *5066:TE_B *852:11 0
5 *5066:TE_B *878:13 5.07314e-05
6 io_out[2] *5066:TE_B 0
*RES
1 *4253:Y *5066:TE_B 31.0235
*END
*D_NET *745 0.000931495
*CONN
*I *5067:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4255:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5067:TE_B 0.000359444
2 *4255:Y 0.000359444
3 *331:22 *5067:TE_B 0.000101133
4 *332:92 *5067:TE_B 0.000111473
*RES
1 *4255:Y *5067:TE_B 35.5997
*END
*D_NET *746 0.00173377
*CONN
*I *5068:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4256:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5068:TE_B 0.000572695
2 *4256:Y 0.000572695
3 *5068:TE_B *791:11 0.000149643
4 *5068:TE_B *1004:109 0.00015602
5 io_oeb[11] *5068:TE_B 2.37478e-05
6 *335:48 *5068:TE_B 9.46009e-05
7 *439:49 *5068:TE_B 0.000164374
*RES
1 *4256:Y *5068:TE_B 43.4951
*END
*D_NET *747 0.000468571
*CONN
*I *5069:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4257:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5069:TE_B 0.00015117
2 *4257:Y 0.00015117
3 *5069:TE_B *858:22 6.50727e-05
4 *328:75 *5069:TE_B 0.000100106
5 *667:13 *5069:TE_B 1.05272e-06
*RES
1 *4257:Y *5069:TE_B 30.4689
*END
*D_NET *748 0.00968049
*CONN
*I *5070:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4260:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5070:TE_B 2.06324e-05
2 *4260:Y 0.00101997
3 *748:15 0.00194699
4 *748:13 0.00294633
5 *748:13 *4791:A 0.000464814
6 *748:13 *4791:B 6.56121e-06
7 *748:13 *830:203 0
8 *748:13 *830:213 4.65531e-05
9 *748:13 *956:21 9.10682e-05
10 *748:15 *4791:B 3.07729e-05
11 *748:15 *4798:A 0.000426168
12 *748:15 *4801:A 0.000224381
13 *748:15 *4803:A 0.000217937
14 *748:15 *5070:A 7.22498e-05
15 io_out[37] *748:15 8.46404e-05
16 *4271:A *748:15 8.67474e-05
17 *4795:A3 *748:15 0.000211464
18 *4798:B *748:15 5.73392e-05
19 *336:11 *748:15 6.92705e-05
20 *697:16 *748:13 2.95507e-05
21 *697:16 *748:15 7.6174e-05
22 *698:65 *748:15 0.000206223
23 *698:74 *748:15 8.90486e-05
24 *699:16 *748:15 0.000632154
25 *700:21 *748:15 0.000623449
*RES
1 *4260:Y *748:13 34.8757
2 *748:13 *748:15 52.9767
3 *748:15 *5070:TE_B 9.82786
*END
*D_NET *749 0.00066748
*CONN
*I *5071:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4261:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5071:TE_B 0.000264852
2 *4261:Y 0.000264852
3 *5071:TE_B *5139:A 1.00763e-05
4 *4261:A *5071:TE_B 2.65667e-05
5 *347:70 *5071:TE_B 0.000101133
*RES
1 *4261:Y *5071:TE_B 32.2721
*END
*D_NET *750 0.00029799
*CONN
*I *5072:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4262:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5072:TE_B 0.000121637
2 *4262:Y 0.000121637
3 *5072:TE_B *5072:A 4.12533e-05
4 *4262:A *5072:TE_B 7.34948e-06
5 *326:13 *5072:TE_B 6.11359e-06
6 *348:22 *5072:TE_B 0
*RES
1 *4262:Y *5072:TE_B 30.0537
*END
*D_NET *751 0.000559984
*CONN
*I *5073:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4263:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5073:TE_B 0.000163784
2 *4263:Y 0.000163784
3 *4263:A *5073:TE_B 2.65667e-05
4 *4336:A *5073:TE_B 0.000101118
5 *336:99 *5073:TE_B 0.000104731
*RES
1 *4263:Y *5073:TE_B 31.1629
*END
*D_NET *752 0.00361284
*CONN
*I *5074:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4264:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5074:TE_B 0
2 *4264:Y 0.000871785
3 *752:11 0.000871785
4 *752:11 *5074:A 0.000128736
5 *752:11 *1006:20 0.000884353
6 *4264:A *752:11 0.000466359
7 *412:78 *752:11 0.000375622
8 *436:55 *752:11 1.41976e-05
*RES
1 *4264:Y *752:11 43.5503
2 *752:11 *5074:TE_B 9.24915
*END
*D_NET *753 0.00210027
*CONN
*I *5075:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4341:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5075:TE_B 0.00037067
2 *4341:Y 0.00037067
3 *5075:TE_B *894:13 0.000695282
4 *4253:A *5075:TE_B 0.000663646
*RES
1 *4341:Y *5075:TE_B 32.5563
*END
*D_NET *754 0.000509467
*CONN
*I *5076:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4274:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5076:TE_B 0.000174955
2 *4274:Y 0.000174955
3 *5076:TE_B *5039:A 3.94829e-05
4 *4274:A *5076:TE_B 0.000120075
*RES
1 *4274:Y *5076:TE_B 31.1629
*END
*D_NET *755 0.000564053
*CONN
*I *5077:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4272:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5077:TE_B 0.000231453
2 *4272:Y 0.000231453
3 *324:24 *5077:TE_B 0.000101148
*RES
1 *4272:Y *5077:TE_B 32.8508
*END
*D_NET *756 0.00365942
*CONN
*I *5078:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4269:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5078:TE_B 0
2 *4269:Y 0.000814247
3 *756:10 0.000814247
4 *756:10 *4665:A1 0
5 *756:10 *4870:CLK 0.000169041
6 *756:10 *776:8 0.00136141
7 *756:10 *1038:8 0
8 *4655:B1 *756:10 3.77804e-05
9 *5040:TE_B *756:10 0.000462698
10 *456:14 *756:10 0
*RES
1 *4269:Y *756:10 45.1679
2 *756:10 *5078:TE_B 9.24915
*END
*D_NET *757 0.00522539
*CONN
*I *5079:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4267:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5079:TE_B 0.000621231
2 *4267:Y 0.000621231
3 *4459:B1 *5079:TE_B 0.000253916
4 *4480:B1 *5079:TE_B 0.000559672
5 *4583:B *5079:TE_B 0.000519297
6 *4587:A *5079:TE_B 1.04751e-05
7 *4587:B *5079:TE_B 2.29454e-05
8 *4594:A *5079:TE_B 1.65872e-05
9 *4594:B *5079:TE_B 0.000304777
10 *4606:B *5079:TE_B 4.66492e-05
11 *4633:C1 *5079:TE_B 3.03051e-05
12 *379:54 *5079:TE_B 1.5962e-05
13 *527:94 *5079:TE_B 0.000371445
14 *527:95 *5079:TE_B 0.00061558
15 *539:66 *5079:TE_B 0.000101435
16 *547:8 *5079:TE_B 0.000107496
17 *549:23 *5079:TE_B 7.45592e-06
18 *553:11 *5079:TE_B 0.000998931
*RES
1 *4267:Y *5079:TE_B 48.0852
*END
*D_NET *758 0.00290406
*CONN
*I *5080:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4266:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5080:TE_B 0.000601818
2 *4266:Y 0.000601818
3 *5080:TE_B *1056:10 3.67901e-05
4 *4266:A *5080:TE_B 2.65667e-05
5 *4467:A *5080:TE_B 0.000398075
6 *4620:A *5080:TE_B 0.000265022
7 *396:6 *5080:TE_B 0.0006563
8 *436:26 *5080:TE_B 0.000317672
*RES
1 *4266:Y *5080:TE_B 45.4264
*END
*D_NET *759 0.0140207
*CONN
*I *5081:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4268:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5081:TE_B 9.64581e-05
2 *4268:Y 0.000525351
3 *759:37 0.000923787
4 *759:28 0.00231004
5 *759:17 0.00200806
6 *5081:TE_B *5053:A 0
7 *759:17 *4553:B 0.000110268
8 *759:17 *4591:A1 7.09666e-06
9 *759:17 *4858:CLK 3.20837e-05
10 *759:17 *1009:78 6.08467e-05
11 *759:17 *1012:33 9.66168e-05
12 *759:28 *4801:A 1.07248e-05
13 *759:28 *4814:A 6.50586e-05
14 *759:28 *4814:B 0.000132219
15 *759:28 *4919:CLK 7.48797e-05
16 *759:28 *832:14 4.34752e-05
17 *759:28 *832:16 0.000167606
18 *759:28 *832:18 0.000493963
19 *759:28 *832:20 4.60518e-05
20 *759:28 *832:24 0.000101684
21 *759:28 *947:5 1.92336e-05
22 *759:28 *947:16 0.000130532
23 *759:28 *948:10 1.45475e-05
24 *759:37 *4815:C 0.000313481
25 *759:37 *5053:A 9.9028e-05
26 *759:37 *948:19 0.00036013
27 *4259:A *759:37 0.000116764
28 *4562:A2 *759:17 2.78219e-06
29 *4858:D *759:17 8.56518e-05
30 *4919:D *759:28 1.67414e-05
31 *4923:D *759:37 0.000410225
32 *5044:TE_B *5081:TE_B 3.77804e-05
33 *5044:TE_B *759:37 0.000466359
34 *5053:TE_B *759:37 0.000118887
35 *326:77 *759:37 0.000253916
36 *331:89 *759:28 6.18126e-05
37 *334:16 *759:37 0.000294011
38 *334:84 *759:37 2.77625e-06
39 *340:77 *759:28 0.00103817
40 *346:98 *759:37 8.79845e-05
41 *347:9 *759:37 0.00199102
42 *350:59 *759:17 5.89592e-05
43 *517:15 *759:17 9.54357e-06
44 *590:70 *759:28 0.000167619
45 *632:18 *759:17 0.000258819
46 *693:11 *759:28 2.46335e-05
47 *701:11 *759:37 0.000154884
48 *707:16 *759:28 0.00011818
49 *718:45 *759:17 0
*RES
1 *4268:Y *759:17 34.4291
2 *759:17 *759:28 47.23
3 *759:28 *759:37 48.1839
4 *759:37 *5081:TE_B 15.9964
*END
*D_NET *760 0.00830706
*CONN
*I *5082:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4270:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *5082:TE_B 7.11888e-05
2 *4270:Y 0.000247228
3 *760:8 0.00189763
4 *760:7 0.00207367
5 *5082:TE_B *5082:A 2.61012e-05
6 *760:7 *4478:A1 2.16355e-05
7 *760:8 *4662:A 5.89474e-05
8 *760:8 *5042:A 0
9 *760:8 *5048:A 0
10 *760:8 *5062:A 0
11 *760:8 *5114:A 0
12 *760:8 *5114:TE_B 0
13 *760:8 *1006:20 6.77948e-06
14 *760:8 *1006:27 1.89644e-05
15 *4285:A *760:8 0
16 *4475:A *760:8 0
17 *4478:B1 *760:7 0.000111722
18 *5062:TE_B *760:8 2.68928e-05
19 *87:7 *5082:TE_B 2.65831e-05
20 *326:63 *760:7 6.08467e-05
21 *326:63 *760:8 0.00143699
22 *326:69 *760:8 2.95757e-05
23 *337:26 *760:8 0
24 *338:117 *760:8 0
25 *342:42 *760:8 0.000268804
26 *396:6 *760:8 9.82479e-06
27 *436:26 *760:8 0
28 *452:27 *760:8 2.67922e-05
29 *452:33 *760:8 0.00184532
30 *455:7 *760:7 2.137e-05
31 *574:20 *760:8 2.02035e-05
*RES
1 *4270:Y *760:7 18.9094
2 *760:7 *760:8 65.3656
3 *760:8 *5082:TE_B 15.5817
*END
*D_NET *761 0.000460524
*CONN
*I *5083:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4273:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5083:TE_B 0.000164148
2 *4273:Y 0.000164148
3 *336:91 *5083:TE_B 0.000127179
4 *347:62 *5083:TE_B 5.04829e-06
*RES
1 *4273:Y *5083:TE_B 31.0235
*END
*D_NET *762 0.0155278
*CONN
*I *5084:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4275:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5084:TE_B 0.000281098
2 *4275:Y 0.00117151
3 *762:19 0.00267424
4 *762:16 0.00356466
5 *762:16 *4760:A 7.09666e-06
6 *762:16 *4909:CLK 1.27831e-06
7 *762:16 *919:14 0.00165024
8 *762:16 *922:14 1.85963e-05
9 *762:16 *955:22 1.94425e-05
10 *762:16 *956:10 5.41186e-05
11 io_out[20] *5084:TE_B 0
12 la1_data_out[22] *762:16 5.15545e-05
13 *318:23 *762:16 0.000268824
14 *319:37 *762:19 0.00334217
15 *324:103 *762:16 3.21097e-05
16 *326:92 *762:19 0.000110257
17 *573:76 *5084:TE_B 0
18 *674:22 *762:16 6.1061e-06
19 *674:31 *762:16 3.70141e-05
20 *685:16 *762:16 0.000350327
21 *697:16 *762:16 0.00160058
22 *699:16 *762:16 2.81147e-06
23 *719:9 *762:19 0.000283749
*RES
1 *4275:Y *762:16 44.9959
2 *762:16 *762:19 47.3733
3 *762:19 *5084:TE_B 18.9032
*END
*D_NET *763 0.000417977
*CONN
*I *5085:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4276:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5085:TE_B 0.000114989
2 *4276:Y 0.000114989
3 io_out[21] *5085:TE_B 8.62625e-06
4 *336:81 *5085:TE_B 0.000127179
5 *711:6 *5085:TE_B 5.21927e-05
*RES
1 *4276:Y *5085:TE_B 31.0235
*END
*D_NET *764 0.000672514
*CONN
*I *5086:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4278:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5086:TE_B 6.69559e-05
2 *4278:Y 6.69559e-05
3 *325:13 *5086:TE_B 0.000423729
4 *337:39 *5086:TE_B 0.000114873
*RES
1 *4278:Y *5086:TE_B 23.1039
*END
*D_NET *765 0.000800843
*CONN
*I *5087:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4279:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5087:TE_B 0.00032849
2 *4279:Y 0.00032849
3 *337:24 *5087:TE_B 4.27148e-05
4 *395:12 *5087:TE_B 0.000101148
*RES
1 *4279:Y *5087:TE_B 34.4905
*END
*D_NET *766 0.00101402
*CONN
*I *5088:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4280:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5088:TE_B 0.000204801
2 *4280:Y 0.000204801
3 io_out[24] *5088:TE_B 0.000373047
4 *4282:A *5088:TE_B 9.60216e-05
5 *326:13 *5088:TE_B 0.000135345
*RES
1 *4280:Y *5088:TE_B 35.0451
*END
*D_NET *767 0.00990201
*CONN
*I *5089:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4281:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5089:TE_B 0.00224096
2 *4281:Y 0.000746076
3 *767:21 0.00298704
4 *5089:TE_B *4791:D 0.000160617
5 *5089:TE_B *5104:TE_B 2.72636e-05
6 *5089:TE_B *5154:A 0.000248106
7 *5089:TE_B *782:28 2.41916e-05
8 *5089:TE_B *824:32 8.88984e-06
9 *767:21 *4749:B 3.49478e-05
10 *767:21 *858:22 0.000163428
11 *767:21 *964:12 0
12 *767:21 *1004:67 7.21828e-06
13 *4275:A *767:21 6.37496e-05
14 *4749:A *767:21 0.000210077
15 *4909:D *767:21 0.000211464
16 *307:32 *5089:TE_B 5.74614e-05
17 *307:32 *767:21 0.000711909
18 *318:16 *767:21 6.52046e-06
19 *320:33 *767:21 2.95855e-05
20 *336:25 *5089:TE_B 0.00119842
21 *337:95 *767:21 0.000252923
22 *338:28 *5089:TE_B 8.4101e-05
23 *621:78 *767:21 1.59138e-05
24 *667:13 *767:21 0.000202229
25 *673:18 *767:21 0.00011818
26 *688:41 *767:21 4.58003e-05
27 *697:27 *767:21 7.72394e-06
28 *698:46 *767:21 3.7211e-05
*RES
1 *4281:Y *767:21 41.6884
2 *767:21 *5089:TE_B 45.9856
*END
*D_NET *768 0.0100407
*CONN
*I *5090:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4282:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *5090:TE_B 0.000154961
2 *4282:Y 0
3 *768:5 0.00367837
4 *768:4 0.00352341
5 *5090:TE_B *5032:A 0
6 *5090:TE_B *5066:A 0
7 *768:5 *5034:A 0.000171288
8 *768:5 *5072:A 0.000164829
9 *768:5 *5105:A 0.000171288
10 *768:5 *5110:A 0.000171288
11 *768:5 *5111:TE_B 6.08467e-05
12 *768:5 *878:13 0.000685873
13 *4243:A *768:5 0.000934374
14 *5055:TE_B *768:5 0.000324151
*RES
1 *4282:Y *768:4 9.24915
2 *768:4 *768:5 90.5692
3 *768:5 *5090:TE_B 21.7421
*END
*D_NET *769 0.0130641
*CONN
*I *5091:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4284:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5091:TE_B 0.000418275
2 *4284:Y 0.000896948
3 *769:19 0.00151031
4 *769:16 0.00198898
5 *5091:TE_B *888:12 0.000108607
6 *769:16 *5126:TE_B 6.39153e-06
7 *769:16 *779:8 4.81146e-05
8 *769:16 *807:17 5.80995e-05
9 *769:19 *5126:TE_B 3.86121e-05
10 *769:19 *5129:A 0.00103248
11 *769:19 *807:17 2.20702e-05
12 io_oeb[23] *769:16 0.000315899
13 io_out[27] *5091:TE_B 7.34948e-06
14 *4643:A1 *5091:TE_B 0.000823881
15 *4643:A1 *769:19 4.6284e-05
16 *4643:B1 *5091:TE_B 0.000468178
17 *4809:B *5091:TE_B 0.000129784
18 *5054:TE_B *769:19 0.000303952
19 *319:28 *769:16 3.43356e-05
20 *319:36 *769:19 4.9278e-05
21 *324:82 *5091:TE_B 4.00824e-05
22 *324:103 *769:19 2.42273e-05
23 *324:118 *769:16 9.06916e-05
24 *324:118 *769:19 0.0005247
25 *329:6 *769:16 1.99996e-05
26 *329:69 *769:16 1.70854e-05
27 *329:93 *5091:TE_B 2.20556e-05
28 *336:73 *769:16 0.000122556
29 *338:34 *769:16 1.69846e-05
30 *338:38 *769:16 0.0001869
31 *590:70 *5091:TE_B 0.00023285
32 *594:7 *5091:TE_B 6.50727e-05
33 *673:18 *769:16 0
34 *698:55 *5091:TE_B 0.00110089
35 *698:55 *769:19 0.00229215
*RES
1 *4284:Y *769:16 37.8149
2 *769:16 *769:19 42.3428
3 *769:19 *5091:TE_B 35.2914
*END
*D_NET *770 0.000906988
*CONN
*I *5092:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4342:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5092:TE_B 0.000325228
2 *4342:Y 0.000325228
3 io_out[28] *5092:TE_B 0
4 *325:21 *5092:TE_B 0.000153358
5 *348:37 *5092:TE_B 7.16754e-05
6 *725:10 *5092:TE_B 3.14978e-05
*RES
1 *4342:Y *5092:TE_B 36.7384
*END
*D_NET *771 0.00144652
*CONN
*I *5093:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4294:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5093:TE_B 0.000365573
2 *4294:Y 0.000365573
3 *4294:A *5093:TE_B 0.000268195
4 *64:11 *5093:TE_B 0.00039844
5 *87:8 *5093:TE_B 0
6 *337:26 *5093:TE_B 4.87343e-05
*RES
1 *4294:Y *5093:TE_B 39.886
*END
*D_NET *772 0.00162977
*CONN
*I *5094:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4292:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5094:TE_B 0.000445579
2 *4292:Y 0.000445579
3 *5094:TE_B *5116:TE_B 0.000147435
4 io_out[26] *5094:TE_B 0.000530137
5 *4307:A *5094:TE_B 7.6719e-06
6 *331:31 *5094:TE_B 5.3371e-05
*RES
1 *4292:Y *5094:TE_B 36.5696
*END
*D_NET *773 0.000610082
*CONN
*I *5095:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4288:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5095:TE_B 0.000206721
2 *4288:Y 0.000206721
3 *5095:TE_B *5095:A 7.16512e-05
4 *4288:A *5095:TE_B 3.14978e-05
5 *336:99 *5095:TE_B 9.34919e-05
*RES
1 *4288:Y *5095:TE_B 31.7175
*END
*D_NET *774 0.000756634
*CONN
*I *5096:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4286:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5096:TE_B 0.000322845
2 *4286:Y 0.000322845
3 *5096:TE_B *833:7 6.76384e-05
4 *5155:A *5096:TE_B 4.3305e-05
5 *329:28 *5096:TE_B 0
*RES
1 *4286:Y *5096:TE_B 33.3813
*END
*D_NET *775 0.000847687
*CONN
*I *5097:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4285:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5097:TE_B 0.000217898
2 *4285:Y 0.000217898
3 *5097:TE_B *5097:A 0.000209595
4 *332:63 *5097:TE_B 0.000101148
5 *337:26 *5097:TE_B 0.000101148
*RES
1 *4285:Y *5097:TE_B 34.4905
*END
*D_NET *776 0.00665454
*CONN
*I *5098:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4287:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5098:TE_B 5.52702e-05
2 *4287:Y 7.71196e-05
3 *776:8 0.00129054
4 *776:7 0.00131239
5 *5098:TE_B *1004:5 4.30017e-06
6 *5098:TE_B *1004:99 1.00846e-05
7 *776:8 *4842:CLK 0.000118485
8 *776:8 *5049:A 2.02035e-05
9 *776:8 *5099:A 2.02035e-05
10 *776:8 *5131:A 1.91246e-05
11 *776:8 *837:14 0.00033788
12 *776:8 *855:14 0.000154139
13 *776:8 *1004:12 0.000119553
14 *776:8 *1006:8 0
15 *4655:A2 *776:8 0.000196638
16 *4655:B1 *776:8 2.04012e-05
17 *4658:A1 *776:8 7.21868e-05
18 *4666:A1 *776:8 4.40272e-05
19 *4870:D *776:8 0
20 *335:32 *776:8 8.80212e-05
21 *338:116 *776:7 0.000111722
22 *436:8 *776:8 0.000656489
23 *574:29 *776:8 0.000278164
24 *601:62 *776:8 0.000286195
25 *607:15 *776:8 0
26 *756:10 *776:8 0.00136141
*RES
1 *4287:Y *776:7 15.0271
2 *776:7 *776:8 47.925
3 *776:8 *5098:TE_B 15.0513
*END
*D_NET *777 0.0113303
*CONN
*I *5099:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4291:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5099:TE_B 0.000171681
2 *4291:Y 0.0012968
3 *777:30 0.00176081
4 *777:14 0.00288593
5 *5099:TE_B *1037:36 0.000453429
6 *777:14 *4865:CLK 0.000379666
7 *777:14 *831:54 0.000377259
8 *777:14 *1046:44 0.000151161
9 *777:30 *4631:A 2.12964e-05
10 *777:30 *4647:A1 0.000441717
11 *777:30 *1001:12 0.000334069
12 *777:30 *1003:26 6.63489e-05
13 *777:30 *1046:44 0.000499981
14 *4598:B *777:14 2.78272e-05
15 *4601:A2 *777:14 4.58529e-05
16 *4601:B1 *777:14 1.41291e-05
17 *4602:B *777:14 8.62625e-06
18 *4634:B1 *777:30 0
19 *4656:B *777:30 3.14978e-05
20 *4665:B1 *777:30 4.81015e-05
21 *4670:B1 *777:14 0.000286402
22 *324:13 *777:30 0.000211478
23 *326:72 *777:30 0.000112148
24 *329:108 *777:30 0.000115573
25 *330:106 *777:30 0
26 *336:125 *777:14 6.0895e-05
27 *400:59 *777:30 0.000451837
28 *559:11 *777:14 0.000457669
29 *560:8 *777:14 8.03699e-06
30 *593:10 *777:30 0
31 *593:34 *777:30 6.27053e-06
32 *612:53 *777:14 3.93196e-06
33 *614:29 *777:30 0.000157433
34 *617:11 *777:14 0.000442478
*RES
1 *4291:Y *777:14 45.9518
2 *777:14 *777:30 47.7887
3 *777:30 *5099:TE_B 18.9094
*END
*D_NET *778 0.000728675
*CONN
*I *5100:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4293:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5100:TE_B 6.07846e-05
2 *4293:Y 6.07846e-05
3 *344:22 *5100:TE_B 0.00018543
4 *345:68 *5100:TE_B 0.000421676
*RES
1 *4293:Y *5100:TE_B 23.1039
*END
*D_NET *779 0.0218122
*CONN
*I *5101:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4295:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5101:TE_B 0.000452599
2 *4295:Y 0.000707904
3 *779:9 0.00108356
4 *779:8 0.00133886
5 *779:9 *860:11 0.00790231
6 io_oeb[23] *779:8 0.000327203
7 io_oeb[2] *5101:TE_B 0
8 *4295:A *779:8 0.000598602
9 *4774:A *779:8 9.66954e-05
10 *321:33 *5101:TE_B 0.00078376
11 *330:45 *779:9 0.00010238
12 *330:66 *5101:TE_B 6.82231e-05
13 *338:34 *779:8 1.02986e-05
14 *348:8 *5101:TE_B 1.55462e-05
15 *348:9 *779:9 0.00790231
16 *572:28 *5101:TE_B 0.000373829
17 *769:16 *779:8 4.81146e-05
*RES
1 *4295:Y *779:8 34.615
2 *779:8 *779:9 83.9139
3 *779:9 *5101:TE_B 34.1997
*END
*D_NET *780 0.00229051
*CONN
*I *5102:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4345:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5102:TE_B 0.000310754
2 *4345:Y 0.000310754
3 *5102:TE_B *5102:A 0.000113302
4 io_out[24] *5102:TE_B 0
5 *325:19 *5102:TE_B 0.000206583
6 *337:41 *5102:TE_B 2.65667e-05
7 *341:81 *5102:TE_B 0.00132255
*RES
1 *4345:Y *5102:TE_B 43.9429
*END
*D_NET *781 0.0012505
*CONN
*I *5103:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4297:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5103:TE_B 0.000133379
2 *4297:Y 0.000133379
3 *5036:TE_B *5103:TE_B 0.000109648
4 *325:13 *5103:TE_B 8.28869e-05
5 *337:31 *5103:TE_B 0.000791208
*RES
1 *4297:Y *5103:TE_B 26.9861
*END
*D_NET *782 0.0148405
*CONN
*I *5104:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4298:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5104:TE_B 0.00119662
2 *4298:Y 0.00140785
3 *782:28 0.00203174
4 *782:19 0.00224297
5 *5104:TE_B *4805:A 0.000530151
6 *782:19 *4863:CLK 1.54479e-05
7 *782:19 *1026:49 9.32704e-05
8 *782:28 *4791:A 0.000268964
9 *782:28 *4792:B 1.81081e-06
10 *782:28 *4792:D 0.000157163
11 *782:28 *5154:A 0.000990825
12 *782:28 *832:10 6.08467e-05
13 io_oeb[2] *5104:TE_B 0.00017306
14 *4283:A *5104:TE_B 0.000268798
15 *4298:A *782:19 4.72014e-05
16 *4805:B *5104:TE_B 6.50727e-05
17 *4908:D *782:19 0.000222132
18 *5089:TE_B *5104:TE_B 2.72636e-05
19 *5089:TE_B *782:28 2.41916e-05
20 *310:24 *782:19 0.00110882
21 *331:68 *782:19 0.00127829
22 *334:16 *782:19 0.000625289
23 *340:77 *782:19 0.00117705
24 *341:25 *782:19 0.000159516
25 *346:40 *782:19 6.97631e-05
26 *347:17 *782:28 6.46052e-05
27 *350:98 *782:19 0
28 *512:23 *782:19 6.50586e-05
29 *518:37 *782:19 0.000111722
30 *566:19 *782:19 0.00021569
31 *607:47 *782:19 2.55661e-06
32 *679:17 *782:19 0.000136732
*RES
1 *4298:Y *782:19 42.672
2 *782:19 *782:28 36.8254
3 *782:28 *5104:TE_B 37.7027
*END
*D_NET *783 0.000692264
*CONN
*I *5105:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4299:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5105:TE_B 0.00027608
2 *4299:Y 0.00027608
3 *5105:TE_B *5105:A 0.00013092
4 io_oeb[3] *5105:TE_B 0
5 *326:13 *5105:TE_B 9.18559e-06
*RES
1 *4299:Y *5105:TE_B 33.791
*END
*D_NET *784 0.00377657
*CONN
*I *5106:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4300:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5106:TE_B 0
2 *4300:Y 0.0013491
3 *784:10 0.0013491
4 *784:10 *5036:A 6.49003e-05
5 *784:10 *5060:A 5.53934e-05
6 *784:10 *836:13 0.000729676
7 *4221:A *784:10 0.000137277
8 *174:7 *784:10 7.34948e-06
9 *326:11 *784:10 8.37825e-05
*RES
1 *4300:Y *784:10 41.1855
2 *784:10 *5106:TE_B 9.24915
*END
*D_NET *785 0.00129302
*CONN
*I *5107:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4301:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5107:TE_B 0.000504571
2 *4301:Y 0.000504571
3 *5107:TE_B *5107:A 0.00015892
4 *4301:A *5107:TE_B 2.65667e-05
5 *336:89 *5107:TE_B 9.83892e-05
*RES
1 *4301:Y *5107:TE_B 36.1543
*END
*D_NET *786 0.00102791
*CONN
*I *5108:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4303:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5108:TE_B 0.000226958
2 *4303:Y 0.000226958
3 *330:125 *5108:TE_B 4.0752e-05
4 *337:26 *5108:TE_B 0.000195139
5 *342:34 *5108:TE_B 0.000264572
6 *342:37 *5108:TE_B 5.47736e-05
7 *342:42 *5108:TE_B 1.44467e-05
8 *452:33 *5108:TE_B 4.3116e-06
*RES
1 *4303:Y *5108:TE_B 35.0423
*END
*D_NET *787 0.000278613
*CONN
*I *5109:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4304:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5109:TE_B 0.000127917
2 *4304:Y 0.000127917
3 *344:8 *5109:TE_B 2.2778e-05
*RES
1 *4304:Y *5109:TE_B 30.0537
*END
*D_NET *788 0.00339412
*CONN
*I *5110:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4343:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5110:TE_B 0
2 *4343:Y 0.00122604
3 *788:11 0.00122604
4 *788:11 *5032:A 0.000484316
5 *788:11 *5110:A 4.23858e-05
6 io_out[26] *788:11 7.46601e-05
7 la1_data_out[0] *788:11 0.000190042
8 *4292:A *788:11 0.000122378
9 *4307:A *788:11 2.82583e-05
*RES
1 *4343:Y *788:11 43.8318
2 *788:11 *5110:TE_B 9.24915
*END
*D_NET *789 0.000387946
*CONN
*I *5111:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4313:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5111:TE_B 0.000102508
2 *4313:Y 0.000102508
3 io_oeb[9] *5111:TE_B 0
4 *343:20 *5111:TE_B 0.000122083
5 *768:5 *5111:TE_B 6.08467e-05
*RES
1 *4313:Y *5111:TE_B 30.4689
*END
*D_NET *790 0.00130964
*CONN
*I *5112:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4311:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5112:TE_B 0.000613466
2 *4311:Y 0.000613466
3 *4311:A *5112:TE_B 1.43848e-05
4 *4336:A *5112:TE_B 7.92757e-06
5 *336:99 *5112:TE_B 4.6012e-05
6 *347:70 *5112:TE_B 1.43848e-05
*RES
1 *4311:Y *5112:TE_B 36.5696
*END
*D_NET *791 0.00433379
*CONN
*I *5113:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4309:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5113:TE_B 0
2 *4309:Y 0.000792407
3 *791:11 0.000792407
4 *791:11 *4395:A 0.001087
5 *791:11 *5113:A 0.000300738
6 *791:11 *1004:109 8.20492e-06
7 *4266:A *791:11 0.000268901
8 *4309:A *791:11 7.34948e-06
9 *5068:TE_B *791:11 0.000149643
10 *335:48 *791:11 0.000927142
*RES
1 *4309:Y *791:11 49.7791
2 *791:11 *5113:TE_B 9.24915
*END
*D_NET *792 0.000620497
*CONN
*I *5114:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4306:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5114:TE_B 0.000246652
2 *4306:Y 0.000246652
3 *337:26 *5114:TE_B 0.000127194
4 *760:8 *5114:TE_B 0
*RES
1 *4306:Y *5114:TE_B 33.7966
*END
*D_NET *793 0.00156637
*CONN
*I *5115:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4305:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5115:TE_B 0.000483846
2 *4305:Y 0.000483846
3 *326:54 *5115:TE_B 0.000299338
4 *343:56 *5115:TE_B 0.000299338
*RES
1 *4305:Y *5115:TE_B 40.5855
*END
*D_NET *794 0.00170077
*CONN
*I *5116:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4307:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5116:TE_B 0.000397836
2 *4307:Y 0.000397836
3 *5116:TE_B *5116:A 0.000370801
4 *5116:TE_B *894:13 0.000111965
5 io_out[26] *5116:TE_B 3.31882e-05
6 io_out[30] *5116:TE_B 4.8365e-05
7 *4307:A *5116:TE_B 6.92705e-05
8 *5094:TE_B *5116:TE_B 0.000147435
9 *331:31 *5116:TE_B 0.00012407
*RES
1 *4307:Y *5116:TE_B 41.9945
*END
*D_NET *795 0.000185364
*CONN
*I *5117:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4310:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5117:TE_B 9.26818e-05
2 *4310:Y 9.26818e-05
3 io_oeb[15] *5117:TE_B 0
4 *340:38 *5117:TE_B 0
5 *344:8 *5117:TE_B 0
*RES
1 *4310:Y *5117:TE_B 29.7455
*END
*D_NET *796 0.000998322
*CONN
*I *5118:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4312:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5118:TE_B 9.24076e-05
2 *4312:Y 9.24076e-05
3 *5118:TE_B *938:11 4.58003e-05
4 *4221:A *5118:TE_B 0.000183145
5 *340:24 *5118:TE_B 0.000579046
6 *343:55 *5118:TE_B 5.51483e-06
*RES
1 *4312:Y *5118:TE_B 24.7677
*END
*D_NET *797 0.00094731
*CONN
*I *5119:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4315:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5119:TE_B 0.000388018
2 *4315:Y 0.000388018
3 io_oeb[9] *5119:TE_B 0
4 la1_data_out[23] *5119:TE_B 0
5 *154:8 *5119:TE_B 0
6 *326:23 *5119:TE_B 0.000171273
*RES
1 *4315:Y *5119:TE_B 34.9002
*END
*D_NET *798 0.010228
*CONN
*I *5120:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4316:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5120:TE_B 0.000771079
2 *4316:Y 0.00132044
3 *798:11 0.00209152
4 io_out[1] *5120:TE_B 2.65831e-05
5 *4773:A *798:11 0.000172965
6 *4774:A *798:11 0.000497846
7 *4910:D *5120:TE_B 0.000695964
8 *5046:TE_B *798:11 2.67486e-05
9 *324:89 *5120:TE_B 0.00143857
10 *324:103 *5120:TE_B 0.001192
11 *329:69 *798:11 0.000473996
12 *330:45 *798:11 6.22259e-05
13 *336:25 *5120:TE_B 5.24081e-05
14 *336:25 *798:11 2.99978e-05
15 *337:82 *5120:TE_B 0.00102141
16 *337:95 *5120:TE_B 4.59348e-05
17 *621:94 *798:11 0.0002817
18 *743:11 *5120:TE_B 2.65667e-05
*RES
1 *4316:Y *798:11 40.9337
2 *798:11 *5120:TE_B 46.0218
*END
*D_NET *799 0.00219425
*CONN
*I *5121:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4317:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5121:TE_B 0.000681149
2 *4317:Y 0.000681149
3 *5121:TE_B *869:13 0.000483474
4 io_oeb[19] *5121:TE_B 0
5 *344:22 *5121:TE_B 0.000226394
6 *344:24 *5121:TE_B 0.000122083
*RES
1 *4317:Y *5121:TE_B 40.4518
*END
*D_NET *800 0.000758514
*CONN
*I *5122:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4318:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5122:TE_B 0.00030734
2 *4318:Y 0.00030734
3 *337:24 *5122:TE_B 0.000101133
4 *395:12 *5122:TE_B 4.27003e-05
*RES
1 *4318:Y *5122:TE_B 33.9359
*END
*D_NET *801 0.00100582
*CONN
*I *5123:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4319:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5123:TE_B 0.000171159
2 *4319:Y 0.000171159
3 *5123:TE_B *5045:A 0.000470571
4 *5123:TE_B *5123:A 5.56461e-05
5 *5123:TE_B *5132:TE_B 9.60366e-05
6 io_oeb[30] *5123:TE_B 4.12533e-05
*RES
1 *4319:Y *5123:TE_B 34.4905
*END
*D_NET *802 0.000688234
*CONN
*I *5124:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4321:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5124:TE_B 0.000240306
2 *4321:Y 0.000240306
3 *5124:TE_B *5076:A 0
4 *5124:TE_B *5124:A 0.000202396
5 io_oeb[22] *5124:TE_B 5.22654e-06
6 *340:10 *5124:TE_B 0
*RES
1 *4321:Y *5124:TE_B 33.791
*END
*D_NET *803 0.00190589
*CONN
*I *5125:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4322:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5125:TE_B 0.000536358
2 *4322:Y 0.000536358
3 *5125:TE_B *4773:B 3.0394e-05
4 *4316:A *5125:TE_B 0.000113968
5 *4346:A *5125:TE_B 2.16655e-05
6 *4773:A *5125:TE_B 0.000264586
7 *319:28 *5125:TE_B 0.000134575
8 *324:118 *5125:TE_B 0.000227618
9 *338:34 *5125:TE_B 3.70433e-05
10 *348:18 *5125:TE_B 3.3239e-06
*RES
1 *4322:Y *5125:TE_B 40.3068
*END
*D_NET *804 0.00623502
*CONN
*I *5126:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4323:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5126:TE_B 0.000888689
2 *4323:Y 0.000888689
3 *5126:TE_B *862:25 1.49935e-05
4 *5126:TE_B *940:23 3.83492e-06
5 *4774:A *5126:TE_B 0.000111722
6 *319:28 *5126:TE_B 0.000115313
7 *319:36 *5126:TE_B 0.000167076
8 *320:33 *5126:TE_B 0.001901
9 *324:118 *5126:TE_B 7.5909e-06
10 *326:99 *5126:TE_B 3.95014e-05
11 *592:30 *5126:TE_B 0.000106696
12 *674:16 *5126:TE_B 0.00194491
13 *769:16 *5126:TE_B 6.39153e-06
14 *769:19 *5126:TE_B 3.86121e-05
*RES
1 *4323:Y *5126:TE_B 46.5678
*END
*D_NET *805 0.00397327
*CONN
*I *5127:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4344:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5127:TE_B 0
2 *4344:Y 0.000501411
3 *805:9 0.000501411
4 *805:9 *5106:A 0
5 *805:9 *5127:A 0.000693671
6 *805:9 *836:13 5.99691e-05
7 *805:9 *839:10 0
8 io_oeb[1] *805:9 0
9 io_oeb[28] *805:9 4.82966e-05
10 io_out[13] *805:9 0.000826541
11 *5036:TE_B *805:9 3.77804e-05
12 *328:47 *805:9 0.000952764
13 *346:108 *805:9 0.00035143
*RES
1 *4344:Y *805:9 44.7666
2 *805:9 *5127:TE_B 9.24915
*END
*D_NET *806 0.020214
*CONN
*I *5128:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4333:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5128:TE_B 0
2 *4333:Y 0.00330775
3 *806:19 0.00330775
4 *806:19 *4554:A 1.5714e-05
5 *806:19 *4617:A 0.00267388
6 *806:19 *4869:CLK 0.000130418
7 *806:19 *832:47 0.00065366
8 *806:19 *837:14 0.000218879
9 *806:19 *1002:41 0.000235387
10 *4333:A *806:19 9.75334e-06
11 *4572:A2 *806:19 0.000311163
12 *4655:B1 *806:19 0.000347214
13 *4658:A2 *806:19 0.000141245
14 *4891:D *806:19 7.70947e-05
15 *258:21 *806:19 2.17651e-05
16 *258:29 *806:19 0.00270338
17 *276:26 *806:19 0.000383066
18 *326:72 *806:19 0.000134016
19 *329:108 *806:19 3.70027e-06
20 *334:31 *806:19 0.000226707
21 *512:23 *806:19 6.08467e-05
22 *566:19 *806:19 2.15184e-05
23 *568:42 *806:19 0.000199295
24 *590:39 *806:19 0.00203812
25 *598:48 *806:19 0.00249075
26 *603:31 *806:19 5.07667e-05
27 *718:45 *806:19 0.000450202
*RES
1 *4333:Y *806:19 46.7047
2 *806:19 *5128:TE_B 9.24915
*END
*D_NET *807 0.00778076
*CONN
*I *5129:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4330:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5129:TE_B 0
2 *4330:Y 0.00142066
3 *807:17 0.00142066
4 *807:17 *4767:B 0.000145973
5 *807:17 *5054:A 9.97753e-05
6 *807:17 *5129:A 5.04829e-06
7 *4766:A *807:17 0.000122378
8 *5046:TE_B *807:17 8.5985e-05
9 *287:10 *807:17 0
10 *302:23 *807:17 9.17372e-06
11 *309:15 *807:17 0.00207737
12 *310:24 *807:17 0.00205112
13 *331:68 *807:17 1.22858e-05
14 *340:69 *807:17 0.000224856
15 *669:22 *807:17 2.0409e-05
16 *673:18 *807:17 4.88764e-06
17 *769:16 *807:17 5.80995e-05
18 *769:19 *807:17 2.20702e-05
*RES
1 *4330:Y *807:17 48.9593
2 *807:17 *5129:TE_B 9.24915
*END
*D_NET *808 0.00286697
*CONN
*I *5130:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4328:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5130:TE_B 0.000814265
2 *4328:Y 0.000814265
3 *5130:TE_B *836:13 2.65904e-05
4 *337:31 *5130:TE_B 0.00121186
*RES
1 *4328:Y *5130:TE_B 42.6702
*END
*D_NET *809 0.00890856
*CONN
*I *5131:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4325:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5131:TE_B 0.00176433
2 *4325:Y 0.000674631
3 *809:10 0.00243896
4 *5131:TE_B *4391:A2 6.08467e-05
5 *5131:TE_B *4399:B_N 1.92172e-05
6 *5131:TE_B *4403:A2 0.00014923
7 *5131:TE_B *4404:A 6.08467e-05
8 *5131:TE_B *4406:A_N 5.97576e-05
9 *5131:TE_B *4836:CLK 7.12965e-05
10 *5131:TE_B *1007:45 2.51718e-05
11 *809:10 *4460:A1 0.000453662
12 *809:10 *4836:CLK 0.000368054
13 *809:10 *828:71 0.000143306
14 *809:10 *1045:31 0
15 *809:10 *1046:17 0.000239621
16 *4363:B1 *809:10 0.000264572
17 *4364:B2 *809:10 0.000317707
18 *4403:C1 *5131:TE_B 0.000190912
19 *4407:B1 *5131:TE_B 6.36477e-05
20 *4408:B1 *5131:TE_B 6.50727e-05
21 *4700:C1 *809:10 6.51527e-05
22 *4836:D *5131:TE_B 3.3186e-05
23 *326:69 *5131:TE_B 4.53737e-05
24 *336:129 *809:10 1.72799e-05
25 *345:33 *809:10 0.000537864
26 *351:62 *809:10 0.000442921
27 *394:8 *809:10 4.72727e-05
28 *402:23 *5131:TE_B 4.47682e-05
29 *402:23 *809:10 1.95103e-05
30 *561:26 *809:10 0.000224381
*RES
1 *4325:Y *809:10 46.9794
2 *809:10 *5131:TE_B 40.0176
*END
*D_NET *810 0.00219449
*CONN
*I *5132:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4324:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5132:TE_B 0.000529537
2 *4324:Y 0.000529537
3 *5132:TE_B *841:11 5.65165e-05
4 io_oeb[30] *5132:TE_B 0.000138507
5 *4319:A *5132:TE_B 0.000370815
6 *5123:TE_B *5132:TE_B 9.60366e-05
7 *39:14 *5132:TE_B 0.000473544
*RES
1 *4324:Y *5132:TE_B 42.9672
*END
*D_NET *811 0.00488243
*CONN
*I *5133:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4327:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5133:TE_B 0.00072395
2 *4327:Y 0.00103397
3 *811:7 0.00175792
4 *5133:TE_B *5093:A 0
5 *5133:TE_B *5106:A 0
6 *811:7 *5127:A 6.63455e-05
7 *811:7 *5130:A 0.000417464
8 *811:7 *836:13 0.000153932
9 io_oeb[28] *811:7 7.97944e-05
10 io_oeb[33] *5133:TE_B 0.000220627
11 io_out[13] *5133:TE_B 0
12 *4221:A *5133:TE_B 0
13 *328:41 *811:7 0.0004259
14 *328:47 *811:7 2.52287e-06
*RES
1 *4327:Y *811:7 31.6653
2 *811:7 *5133:TE_B 32.1912
*END
*D_NET *812 0.000808352
*CONN
*I *5134:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4329:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5134:TE_B 0.0003204
2 *4329:Y 0.0003204
3 *336:89 *5134:TE_B 3.36294e-05
4 *346:81 *5134:TE_B 1.43848e-05
5 *711:6 *5134:TE_B 0.000119538
*RES
1 *4329:Y *5134:TE_B 33.242
*END
*D_NET *813 0.000646012
*CONN
*I *5135:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4331:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5135:TE_B 0.000166702
2 *4331:Y 0.000166702
3 *346:111 *5135:TE_B 0.000312608
*RES
1 *4331:Y *5135:TE_B 23.8514
*END
*D_NET *814 0.00107535
*CONN
*I *5136:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4334:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5136:TE_B 0.000157857
2 *4334:Y 0.000157857
3 *5136:TE_B *5136:A 0.000250787
4 *5136:TE_B *866:9 0.000508852
5 *5136:TE_B *913:8 0
*RES
1 *4334:Y *5136:TE_B 35.0451
*END
*D_NET *815 0.000524789
*CONN
*I *5137:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4335:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5137:TE_B 0.000182972
2 *4335:Y 0.000182972
3 *336:99 *5137:TE_B 0.000115573
4 *347:62 *5137:TE_B 2.16355e-05
5 *347:70 *5137:TE_B 2.16355e-05
*RES
1 *4335:Y *5137:TE_B 31.0235
*END
*D_NET *816 0.000400322
*CONN
*I *5138:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4336:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5138:TE_B 0.000147796
2 *4336:Y 0.000147796
3 *4274:A *5138:TE_B 0.000104731
4 *711:6 *5138:TE_B 0
*RES
1 *4336:Y *5138:TE_B 30.6083
*END
*D_NET *817 0.000446029
*CONN
*I *5139:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4337:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5139:TE_B 0.000153335
2 *4337:Y 0.000153335
3 *336:99 *5139:TE_B 9.8511e-05
4 *711:6 *5139:TE_B 4.08482e-05
*RES
1 *4337:Y *5139:TE_B 31.1629
*END
*D_NET *818 0.0184843
*CONN
*I *5142:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5141:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5140:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *5142:A 0.000698161
2 *5141:A 0.000706223
3 *5140:X 0.000955834
4 *818:33 0.00298103
5 *818:9 0.00253248
6 *5141:A *5147:A 2.52776e-05
7 *5141:A *1009:32 0.000374776
8 *5142:A *820:5 6.50727e-05
9 *818:9 *4559:A1 0.000115934
10 *818:9 *988:7 2.18498e-05
11 *818:9 *1001:78 0.00132548
12 *818:9 *1009:7 6.46921e-05
13 *818:33 *4710:A 0.00019287
14 *818:33 *4714:A 8.67924e-06
15 *818:33 *4720:A 0.000469174
16 *818:33 *4720:C 0.000517234
17 *818:33 *4886:CLK 2.65831e-05
18 *818:33 *4888:CLK 3.86121e-05
19 *818:33 *829:163 7.65564e-05
20 *818:33 *986:5 2.57986e-05
21 *818:33 *986:19 0.000133948
22 *818:33 *988:7 2.71452e-05
23 *818:33 *988:11 3.4081e-05
24 *4558:B1 *818:33 0.000177048
25 *4559:B1 *818:9 0.000546755
26 *4621:B1 *5141:A 6.93349e-06
27 *4621:B1 *5142:A 3.58846e-05
28 *4677:A *5141:A 0.00026364
29 *4855:D *818:9 2.13584e-05
30 *229:20 *5141:A 0.000115998
31 *284:17 *818:33 0.000112059
32 *285:14 *818:33 2.51591e-05
33 *346:62 *5142:A 0.000173105
34 *346:70 *5142:A 0.000374833
35 *346:75 *5141:A 9.7015e-05
36 *346:75 *5142:A 5.84132e-05
37 *350:32 *818:9 9.5562e-05
38 *378:20 *5142:A 0.0001584
39 *380:22 *818:9 0.000327681
40 *401:17 *5142:A 0.000163208
41 *401:17 *818:33 1.73685e-05
42 *436:69 *5141:A 0.000661118
43 *457:46 *818:33 8.70837e-05
44 *520:26 *5142:A 1.44159e-05
45 *554:21 *818:9 0.000305297
46 *560:20 *818:9 0.00031033
47 *575:17 *818:9 0.00165314
48 *580:60 *818:33 0.000172625
49 *583:20 *5142:A 2.1203e-06
50 *611:22 *5142:A 9.18679e-06
51 *612:15 *5142:A 8.17829e-06
52 *615:36 *5142:A 3.74542e-05
53 *616:7 *5142:A 6.50727e-05
54 *620:13 *5142:A 7.86728e-05
55 *630:7 *5141:A 0.000413266
56 *646:16 *818:33 0.000341209
57 *647:26 *818:33 0.000137208
*RES
1 *5140:X *818:9 49.5966
2 *818:9 *818:33 39.8871
3 *818:33 *5141:A 35.3435
4 *818:33 *5142:A 31.046
*END
*D_NET *819 0.0189575
*CONN
*I *5143:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5144:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5141:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5143:A 0.00032017
2 *5144:A 0.00105883
3 *5141:X 0
4 *819:9 0.00258828
5 *819:8 0.00222101
6 *819:5 0.00101172
7 *5144:A *4368:A 2.15184e-05
8 *5144:A *4822:CLK 4.66492e-05
9 *5144:A *827:19 0.000260325
10 *5144:A *1020:7 9.80828e-05
11 *5144:A *1048:39 0.000295877
12 *819:9 *4683:A 6.50586e-05
13 *819:9 *827:52 0.000200794
14 *819:9 *827:64 5.08751e-05
15 *819:9 *1016:24 0.000393863
16 *819:9 *1022:48 4.89898e-06
17 *4356:B1 *5144:A 0.000122378
18 *4370:A1 *5144:A 0.000769855
19 *4370:B1 *5144:A 0.000317707
20 *4371:C1 *5144:A 6.12686e-06
21 *4458:A *5143:A 0
22 *4458:B *819:8 0
23 *4502:B *819:9 0.000265436
24 *4513:A1 *5144:A 0.000623933
25 *4513:A1 *819:9 0.000393863
26 *4513:A2 *5144:A 0
27 *4513:A2 *819:9 1.65872e-05
28 *4513:B1 *819:9 0.000111722
29 *4527:C1 *819:9 0.000231067
30 *4540:A2 *819:9 6.73186e-05
31 *4675:A *5143:A 5.38553e-05
32 *4675:A *819:8 2.1203e-06
33 *4683:B *819:9 0.000234782
34 *4684:A *819:9 0.000120329
35 *4690:A *819:8 0.000144531
36 *4822:D *5144:A 6.42685e-05
37 *4843:D *819:8 0
38 *4848:D *5144:A 1.87611e-05
39 *4850:D *819:9 0.000885491
40 *4875:D *819:9 0.000107959
41 *332:41 *819:8 3.0347e-05
42 *348:22 *5143:A 0.000363515
43 *348:22 *819:8 0.00129758
44 *364:10 *5144:A 0.000691009
45 *413:23 *5143:A 6.50586e-05
46 *440:50 *819:8 5.19229e-05
47 *475:22 *819:9 0.00172569
48 *475:40 *819:9 0.00139426
49 *479:25 *819:9 3.7124e-05
50 *481:27 *5144:A 6.37267e-05
51 *481:27 *819:9 1.46936e-05
52 *640:13 *5143:A 2.65667e-05
*RES
1 *5141:X *819:5 13.7491
2 *819:5 *819:8 27.9251
3 *819:8 *819:9 62.2844
4 *819:9 *5144:A 46.9863
5 *819:5 *5143:A 22.1181
*END
*D_NET *820 0.00138398
*CONN
*I *5145:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5146:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5142:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5145:A 0.000106075
2 *5146:A 3.40756e-05
3 *5142:X 5.77598e-05
4 *820:5 0.00019791
5 *5146:A *824:8 0.000111708
6 *5142:A *820:5 6.50727e-05
7 *346:62 *5145:A 1.57066e-05
8 *348:20 *5145:A 9.66954e-05
9 *583:20 *820:5 6.08467e-05
10 *616:7 *5146:A 2.15348e-05
11 *616:7 *820:5 9.58515e-05
12 *655:11 *5146:A 0.000154145
13 *655:11 *820:5 0.000366603
*RES
1 *5142:X *820:5 13.3002
2 *820:5 *5146:A 11.0817
3 *820:5 *5145:A 20.4964
*END
*D_NET *821 0.00426698
*CONN
*I *5148:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5147:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5143:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5148:A 0.000424368
2 *5147:A 0.000473034
3 *5143:X 4.81525e-05
4 *821:5 0.000945555
5 *5147:A *4692:A 0.000114074
6 *5147:A *4883:CLK 4.63742e-05
7 *5147:A *4884:CLK 0.000151755
8 *5148:A *4710:A 3.12828e-05
9 *5148:A *826:6 0.000222147
10 *5148:A *826:111 7.5486e-05
11 *5148:A *983:11 5.88009e-05
12 *821:5 *826:111 2.16355e-05
13 *4548:A *5148:A 0.000247443
14 *5141:A *5147:A 2.52776e-05
15 *223:9 *821:5 5.51483e-06
16 *226:15 *5148:A 1.01851e-05
17 *281:11 *5148:A 0.000172308
18 *346:75 *5147:A 0
19 *348:22 *5147:A 5.56281e-05
20 *413:23 *5147:A 1.95554e-05
21 *413:23 *821:5 2.16355e-05
22 *436:69 *5147:A 0.000256967
23 *438:19 *5148:A 1.5714e-05
24 *440:50 *5147:A 7.65401e-05
25 *640:13 *5148:A 0.000586932
26 *640:13 *821:5 0.000160617
*RES
1 *5143:X *821:5 11.0817
2 *821:5 *5147:A 30.6729
3 *821:5 *5148:A 31.4729
*END
*D_NET *822 0.00218186
*CONN
*I *5150:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5149:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5144:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5150:A 0.000344416
2 *5149:A 0.000241427
3 *5144:X 0
4 *822:5 0.000585842
5 *5149:A *827:16 6.50727e-05
6 *4430:A *5149:A 0.00035144
7 *4462:B *5149:A 9.14346e-05
8 *4462:B *5150:A 2.99929e-05
9 *4467:A *5150:A 2.98446e-05
10 *4467:B *5150:A 0.000163428
11 *451:10 *5149:A 0.000211007
12 *451:10 *5150:A 6.79599e-05
*RES
1 *5144:X *822:5 13.7491
2 *822:5 *5149:A 21.7084
3 *822:5 *5150:A 20.6155
*END
*D_NET *823 0.00810494
*CONN
*I *5152:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5151:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5145:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5152:A 0.000455641
2 *5151:A 0.000366223
3 *5145:X 0.000311816
4 *823:48 0.00176627
5 *823:8 0.00198867
6 *5151:A *829:19 0.000211492
7 *5151:A *829:37 4.6284e-05
8 *5152:A *916:29 2.9102e-05
9 *5152:A *973:10 0.000123931
10 *5152:A *1026:49 6.50727e-05
11 *823:48 *4378:A 0.000307854
12 *823:48 *4733:A 3.91418e-05
13 *823:48 *4741:A 9.66954e-05
14 *823:48 *4743:B 2.20583e-05
15 *823:48 *4743:C 6.12686e-06
16 *823:48 *4748:A1 0.000266832
17 *823:48 *829:37 1.76067e-05
18 *823:48 *973:10 1.45683e-05
19 *823:48 *973:28 0.00029469
20 *823:48 *978:18 0.000160617
21 *823:48 *979:23 2.57365e-05
22 *4653:B *5152:A 7.23843e-05
23 *4712:A *823:48 0.000175485
24 *4741:B *823:48 9.32704e-05
25 *4748:B1 *823:48 1.05272e-06
26 *4758:B *5152:A 1.80304e-05
27 *4897:D *5151:A 0.000164829
28 *4899:D *823:48 6.50586e-05
29 *314:32 *823:48 0
30 *344:59 *5151:A 3.63738e-05
31 *344:66 *5151:A 7.72394e-06
32 *346:62 *823:8 0.000332694
33 *348:20 *823:8 5.77514e-05
34 *350:98 *5152:A 2.12986e-05
35 *350:98 *823:48 5.49916e-05
36 *573:112 *5151:A 5.88009e-05
37 *607:47 *5152:A 6.08467e-05
38 *608:40 *823:48 2.71411e-05
39 *643:19 *823:48 3.07726e-05
40 *672:19 *5152:A 3.11428e-05
41 *689:31 *5152:A 9.82202e-06
42 *696:62 *5151:A 0.000169041
*RES
1 *5145:X *823:8 25.4794
2 *823:8 *5151:A 26.1041
3 *823:8 *823:48 42.5926
4 *823:48 *5152:A 23.1562
*END
*D_NET *824 0.0180903
*CONN
*I *5154:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5153:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5146:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *5154:A 0.000236795
2 *5153:A 3.97625e-05
3 *5146:X 0.000133125
4 *824:32 0.00287589
5 *824:25 0.00471679
6 *824:8 0.00225058
7 *5153:A *831:10 0.000111708
8 *5154:A *4791:D 6.65367e-05
9 *824:8 *831:10 3.88655e-06
10 *824:25 *4902:CLK 2.16652e-05
11 *824:32 *5054:A 0.000172971
12 la1_data_out[22] *824:32 1.43848e-05
13 *4346:A *824:25 3.53861e-05
14 *4589:A *5153:A 0.000207266
15 *4911:D *5154:A 5.0553e-05
16 *4911:D *824:32 0.000160617
17 *5089:TE_B *5154:A 0.000248106
18 *5089:TE_B *824:32 8.88984e-06
19 *5146:A *824:8 0.000111708
20 *292:15 *824:25 0.000477298
21 *296:13 *824:32 0.000998931
22 *300:15 *824:25 0.000214104
23 *307:22 *824:25 7.60356e-05
24 *307:32 *824:32 1.96579e-05
25 *328:75 *824:32 3.14978e-05
26 *330:45 *824:25 0.000217908
27 *331:8 *824:25 0.000213529
28 *336:25 *824:32 0.000130756
29 *338:28 *824:32 0.000109628
30 *338:50 *824:25 3.63738e-05
31 *344:59 *824:25 1.19346e-05
32 *348:18 *824:25 2.34524e-05
33 *348:20 *824:25 7.51896e-05
34 *523:38 *824:25 0.000174077
35 *527:39 *5153:A 6.08467e-05
36 *532:19 *824:8 0.000128713
37 *532:19 *824:25 5.88009e-05
38 *573:112 *824:8 0.000142771
39 *573:112 *824:25 0.000810179
40 *600:17 *824:25 0.000155896
41 *608:40 *824:25 8.4653e-05
42 *616:7 *824:8 0.000164815
43 *655:11 *824:8 1.92336e-05
44 *661:10 *5153:A 1.67988e-05
45 *696:62 *824:25 9.32704e-05
46 *698:35 *824:25 6.53645e-05
47 *698:35 *824:32 1.15389e-05
48 *698:46 *824:32 0.00100958
49 *782:28 *5154:A 0.000990825
*RES
1 *5146:X *824:8 18.6595
2 *824:8 *5153:A 16.1364
3 *824:8 *824:25 47.6563
4 *824:25 *824:32 49.7846
5 *824:32 *5154:A 22.1979
*END
*D_NET *825 0.014274
*CONN
*I *4873:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4881:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4845:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4877:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4850:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4875:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4874:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4876:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4879:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4878:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5147:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4873:CLK 2.1308e-05
2 *4881:CLK 0
3 *4845:CLK 0.0006736
4 *4877:CLK 4.38545e-05
5 *4850:CLK 0.000266668
6 *4875:CLK 3.5247e-05
7 *4874:CLK 0
8 *4876:CLK 0.000202352
9 *4879:CLK 0
10 *4878:CLK 0
11 *5147:X 0.000113752
12 *825:90 0.00128788
13 *825:83 0.000935854
14 *825:55 0.00054796
15 *825:47 0.000603907
16 *825:29 0.000666811
17 *825:27 0.000324687
18 *825:18 0.000264794
19 *825:16 0.000671017
20 *825:5 0.000393946
21 *4845:CLK *4485:A_N 6.23875e-05
22 *4845:CLK *4485:B 1.5613e-05
23 *4845:CLK *4701:A1 7.13972e-05
24 *4845:CLK *992:8 0.000135406
25 *4845:CLK *1016:54 0.000316605
26 *4850:CLK *4488:B 0.000215947
27 *4850:CLK *994:5 0.000689445
28 *825:5 *4692:A 2.99978e-05
29 *825:16 *4692:A 7.09148e-05
30 *825:27 *995:23 2.40651e-05
31 *825:29 *995:8 1.29348e-05
32 *825:29 *995:23 2.18741e-05
33 *825:47 *4685:A 0.000437486
34 *825:47 *891:8 0
35 *825:47 *995:8 1.07248e-05
36 *825:55 *4683:A 0.00010643
37 *825:55 *4685:A 0.000894005
38 *825:55 *994:5 5.31074e-05
39 *825:55 *994:7 0.000159322
40 *825:83 *4689:A 0.000370815
41 *825:83 *996:17 0.000406218
42 *825:90 *992:8 0.000137921
43 *4681:B *825:47 0
44 *4686:A *825:47 0.000211492
45 *4689:B *825:16 4.07907e-05
46 *4689:B *825:18 0.000151741
47 *4689:B *825:27 1.89331e-05
48 *4701:A2 *4845:CLK 6.50586e-05
49 *4874:D *825:55 1.87611e-05
50 *4875:D *4850:CLK 0.000106635
51 *4876:D *4876:CLK 1.41531e-05
52 *4876:D *825:47 0.000196653
53 *4877:D *4877:CLK 4.17399e-05
54 *4878:D *825:16 5.30967e-05
55 *4881:D *4845:CLK 3.22707e-05
56 *275:11 *825:16 0
57 *275:11 *825:18 0
58 *275:11 *825:27 2.57071e-05
59 *334:32 *4850:CLK 9.13485e-05
60 *341:70 *4845:CLK 0
61 *341:70 *825:90 0
62 *346:75 *4876:CLK 1.43848e-05
63 *440:50 *825:5 0.000113968
64 *442:38 *4845:CLK 1.90101e-05
65 *442:41 *4877:CLK 6.08467e-05
66 *475:22 *825:27 0
67 *475:22 *825:29 0.000153796
68 *475:22 *825:47 0.000303621
69 *486:39 *4845:CLK 0.000883983
70 *488:16 *4845:CLK 4.65017e-05
71 *623:24 *825:16 6.22259e-05
72 *624:8 *825:27 1.37687e-05
73 *624:17 *825:47 5.99691e-05
74 *633:15 *825:83 2.29454e-05
75 *633:15 *825:90 0.000154303
*RES
1 *5147:X *825:5 12.191
2 *825:5 *4878:CLK 9.24915
3 *825:5 *825:16 11.5135
4 *825:16 *825:18 2.6625
5 *825:18 *4879:CLK 13.7491
6 *825:18 *825:27 4.37236
7 *825:27 *825:29 3.07775
8 *825:29 *4876:CLK 17.125
9 *825:29 *825:47 18.3514
10 *825:47 *4874:CLK 9.24915
11 *825:47 *825:55 12.2495
12 *825:55 *4875:CLK 10.2378
13 *825:55 *4850:CLK 29.536
14 *825:27 *4877:CLK 15.0513
15 *825:16 *825:83 13.5424
16 *825:83 *825:90 15.1199
17 *825:90 *4845:CLK 35.0753
18 *825:90 *4881:CLK 13.7491
19 *825:83 *4873:CLK 9.82786
*END
*D_NET *826 0.0182739
*CONN
*I *4885:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4883:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4884:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4872:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4828:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4831:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4830:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4829:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4827:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4843:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4853:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4890:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5148:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4885:CLK 2.19722e-05
2 *4883:CLK 0.000154239
3 *4884:CLK 0.000115694
4 *4872:CLK 0
5 *4828:CLK 0
6 *4831:CLK 0.000264594
7 *4830:CLK 1.78359e-05
8 *4829:CLK 0
9 *4827:CLK 0.00012009
10 *4843:CLK 0.000658865
11 *4853:CLK 0.000175474
12 *4890:CLK 0
13 *5148:X 0.000229229
14 *826:111 0.000428408
15 *826:108 0.000180448
16 *826:82 0.000496767
17 *826:74 0.000308634
18 *826:64 0.000427802
19 *826:51 0.00103829
20 *826:48 0.000382245
21 *826:34 0.000444574
22 *826:25 0.000834977
23 *826:13 0.00120069
24 *826:6 0.000647798
25 *4831:CLK *1041:8 0
26 *4843:CLK *4485:A_N 0
27 *4843:CLK *990:12 0.00022055
28 *4843:CLK *990:17 0.000291579
29 *826:13 *4676:B 6.50586e-05
30 *826:25 *985:10 0.000175352
31 *826:25 *985:26 2.43314e-05
32 *826:25 *1009:27 5.03026e-05
33 *826:64 *990:12 3.22732e-05
34 *4385:C1 *826:48 1.92172e-05
35 *4439:A2 *4831:CLK 0
36 *4446:A *4883:CLK 5.36085e-05
37 *4449:B *4853:CLK 2.41803e-05
38 *4449:B *826:48 1.02986e-05
39 *4453:B1 *4830:CLK 1.05106e-05
40 *4453:B1 *4831:CLK 3.14645e-05
41 *4453:B1 *826:74 0.000284653
42 *4453:B1 *826:82 0.000231468
43 *4455:A *4843:CLK 0
44 *4548:A *826:111 0.000247443
45 *4676:A *826:13 0.000222149
46 *4677:A *826:13 3.59437e-05
47 *4700:A1 *4843:CLK 6.9507e-05
48 *4700:C1 *4843:CLK 2.32531e-05
49 *4706:B *826:25 4.15661e-05
50 *4724:A *826:25 0.000111722
51 *4725:B2 *4843:CLK 9.7112e-06
52 *4725:B2 *826:51 6.07573e-05
53 *4827:D *4827:CLK 0.000108301
54 *4829:D *826:82 0.000103827
55 *4853:D *4843:CLK 3.10307e-05
56 *4853:D *4853:CLK 3.83492e-06
57 *4884:D *4884:CLK 0.000111708
58 *4890:D *4853:CLK 9.12416e-06
59 *4890:D *826:48 0.000131615
60 *5147:A *4883:CLK 4.63742e-05
61 *5147:A *4884:CLK 0.000151755
62 *5148:A *826:6 0.000222147
63 *5148:A *826:111 7.5486e-05
64 *223:9 *4885:CLK 0.000150646
65 *223:9 *826:111 0.000686675
66 *226:15 *826:6 0.000225557
67 *226:25 *4830:CLK 2.91008e-06
68 *226:25 *4831:CLK 6.36477e-05
69 *226:25 *826:74 0.000269759
70 *226:25 *826:82 0.00102952
71 *227:19 *826:25 0.000313495
72 *227:19 *826:34 1.19856e-05
73 *227:19 *826:64 0.00019608
74 *229:20 *4831:CLK 0.000208688
75 *249:25 *826:25 0.00035521
76 *249:25 *826:34 6.50727e-05
77 *268:17 *826:13 7.25778e-05
78 *275:11 *4884:CLK 3.82228e-05
79 *275:11 *826:13 0.000176749
80 *281:11 *4885:CLK 0.000164843
81 *281:11 *826:6 2.55661e-06
82 *281:11 *826:111 0.000171273
83 *331:91 *4831:CLK 3.31743e-05
84 *334:32 *4827:CLK 0.000175195
85 *334:32 *826:64 0.000151441
86 *341:70 *826:25 1.69251e-05
87 *348:22 *4883:CLK 3.17465e-05
88 *385:23 *826:48 0.000162583
89 *413:23 *4884:CLK 0.000155338
90 *413:23 *826:25 7.60356e-05
91 *427:24 *826:64 0.000320308
92 *433:45 *826:48 0.000211478
93 *434:17 *826:13 0.00067874
94 *436:69 *4883:CLK 3.33374e-05
95 *438:37 *826:25 0.00014589
96 *440:6 *4843:CLK 0
97 *440:8 *4843:CLK 0
98 *457:28 *4843:CLK 0
99 *457:46 *826:6 1.13071e-05
100 *457:46 *826:13 2.91863e-05
101 *513:14 *826:13 2.94637e-05
102 *513:22 *4843:CLK 1.42855e-05
103 *513:34 *4827:CLK 0
104 *513:34 *4843:CLK 0.000121674
105 *513:34 *826:51 5.84243e-05
106 *565:29 *4853:CLK 4.05967e-05
107 *565:29 *826:48 5.13985e-05
108 *623:24 *826:25 7.58067e-06
109 *630:30 *826:13 0
110 *821:5 *826:111 2.16355e-05
*RES
1 *5148:X *826:6 19.7337
2 *826:6 *826:13 18.4419
3 *826:13 *826:25 28.2832
4 *826:25 *4890:CLK 9.24915
5 *826:25 *826:34 5.95619
6 *826:34 *4853:CLK 16.8269
7 *826:34 *826:48 11.113
8 *826:48 *826:51 7.993
9 *826:51 *4843:CLK 30.115
10 *826:51 *826:64 17.7926
11 *826:64 *4827:CLK 17.2421
12 *826:64 *826:74 11.324
13 *826:74 *4829:CLK 9.24915
14 *826:74 *826:82 11.8396
15 *826:82 *4830:CLK 9.82786
16 *826:82 *4831:CLK 24.9571
17 *826:48 *4828:CLK 9.24915
18 *826:13 *4872:CLK 9.24915
19 *826:6 *826:108 4.5
20 *826:108 *826:111 12.4332
21 *826:111 *4884:CLK 18.1049
22 *826:111 *4883:CLK 17.2421
23 *826:108 *4885:CLK 11.0817
*END
*D_NET *827 0.0191613
*CONN
*I *4822:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4820:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4821:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4823:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4824:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4825:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4849:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4846:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4844:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4847:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4848:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4826:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4851:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5149:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4822:CLK 2.17289e-05
2 *4820:CLK 0.000193196
3 *4821:CLK 2.0095e-05
4 *4823:CLK 4.99974e-05
5 *4824:CLK 0.00016097
6 *4825:CLK 0
7 *4849:CLK 0
8 *4846:CLK 0
9 *4844:CLK 0.000320121
10 *4847:CLK 0
11 *4848:CLK 0
12 *4826:CLK 0.000452502
13 *4851:CLK 0
14 *5149:X 0.000695241
15 *827:114 0.000495542
16 *827:67 0.000525609
17 *827:64 0.000554448
18 *827:52 0.000693589
19 *827:34 0.000536567
20 *827:31 0.000455324
21 *827:27 0.000505428
22 *827:25 0.000938308
23 *827:24 0.000734637
24 *827:20 0.000485614
25 *827:19 0.000693402
26 *827:16 0.000806662
27 *4820:CLK *867:13 6.94589e-05
28 *4820:CLK *1045:31 0.000309784
29 *4820:CLK *1048:39 0
30 *4821:CLK *4357:A 4.87439e-05
31 *4822:CLK *1048:39 1.03403e-05
32 *4826:CLK *4544:A1 0.000377259
33 *4844:CLK *4493:A1 5.05252e-05
34 *4844:CLK *1016:7 0.000224518
35 *827:16 *4429:A 0.000521472
36 *827:19 *1048:39 2.41274e-06
37 *827:20 *867:13 0.00052014
38 *827:20 *1052:28 0
39 *827:24 *867:13 0.000226281
40 *827:27 *1021:5 2.65831e-05
41 *827:27 *1021:13 0.000301012
42 *827:31 *4542:A 2.65831e-05
43 *827:31 *1021:13 0.000113968
44 *827:52 *4542:A 9.60366e-05
45 *827:64 *4355:A 7.99477e-05
46 *827:114 *867:13 0.000561445
47 *827:114 *1048:39 0
48 *4364:B1 *4820:CLK 8.76513e-05
49 *4429:C *827:16 0.000128251
50 *4429:C *827:20 0
51 *4429:C *827:114 0
52 *4430:A *827:16 8.54654e-05
53 *4463:B1 *827:114 4.80339e-05
54 *4511:A *4844:CLK 0.000377707
55 *4511:A *827:67 0.00018037
56 *4511:B *4844:CLK 0.000249561
57 *4511:B *827:67 5.76738e-05
58 *4512:B *4826:CLK 0
59 *4512:B *827:34 1.90335e-05
60 *4512:B *827:52 0.000113913
61 *4513:A2 *827:52 0.000200794
62 *4513:A2 *827:64 1.41976e-05
63 *4516:B *827:64 2.57465e-06
64 *4522:A3 *827:67 7.85226e-05
65 *4532:A2 *827:34 6.07931e-05
66 *4532:A2 *827:52 4.06401e-05
67 *4532:B1 *827:34 0.000122068
68 *4544:A2 *827:27 7.03358e-05
69 *4544:A2 *827:31 1.00846e-05
70 *4822:D *827:20 0
71 *4823:D *827:20 0
72 *4825:D *827:25 0.000165181
73 *4844:D *4844:CLK 0.000151146
74 *4848:D *827:64 4.71175e-05
75 *4849:D *827:27 1.87611e-05
76 *4851:D *4826:CLK 9.73548e-05
77 *4851:D *827:34 1.07248e-05
78 *5144:A *4822:CLK 4.66492e-05
79 *5144:A *827:19 0.000260325
80 *5149:A *827:16 6.50727e-05
81 *214:27 *827:114 2.74245e-05
82 *216:25 *4820:CLK 0.000110306
83 *344:88 *4823:CLK 4.25085e-05
84 *356:23 *4823:CLK 6.93943e-05
85 *356:23 *827:20 3.93117e-06
86 *359:15 *827:64 0.000311263
87 *394:43 *4820:CLK 3.82927e-05
88 *418:8 *827:114 0
89 *418:21 *827:16 0.00015634
90 *418:21 *827:20 0
91 *436:55 *4844:CLK 4.801e-05
92 *436:59 *4844:CLK 0.000139403
93 *442:17 *4821:CLK 0.000114594
94 *442:27 *827:64 1.80122e-05
95 *450:23 *827:20 0
96 *450:23 *827:24 0
97 *466:6 *827:52 0.000372872
98 *466:10 *827:52 0.000153225
99 *466:41 *827:64 5.92798e-05
100 *468:8 *827:52 0.000540783
101 *481:27 *827:64 7.92757e-06
102 *481:27 *827:67 0.000173518
103 *482:26 *827:64 5.47093e-05
104 *482:26 *827:67 9.22013e-06
105 *484:12 *4826:CLK 0.000304791
106 *484:12 *827:64 4.76283e-05
107 *484:33 *827:64 0.000271124
108 *487:8 *827:67 0.000119536
109 *819:9 *827:52 0.000200794
110 *819:9 *827:64 5.08751e-05
*RES
1 *5149:X *827:16 32.2502
2 *827:16 *827:19 7.44181
3 *827:19 *827:20 9.72179
4 *827:20 *827:24 8.40826
5 *827:24 *827:25 10.1517
6 *827:25 *827:27 12.9247
7 *827:27 *827:31 5.778
8 *827:31 *827:34 7.57775
9 *827:34 *4851:CLK 9.24915
10 *827:34 *4826:CLK 22.222
11 *827:31 *827:52 20.3463
12 *827:52 *4848:CLK 9.24915
13 *827:52 *827:64 20.0348
14 *827:64 *827:67 11.315
15 *827:67 *4847:CLK 13.7491
16 *827:67 *4844:CLK 29.052
17 *827:64 *4846:CLK 9.24915
18 *827:27 *4849:CLK 9.24915
19 *827:25 *4825:CLK 9.24915
20 *827:24 *4824:CLK 12.7456
21 *827:20 *4823:CLK 16.2087
22 *827:19 *827:114 10.137
23 *827:114 *4821:CLK 15.0271
24 *827:114 *4820:CLK 20.7386
25 *827:16 *4822:CLK 9.97254
*END
*D_NET *828 0.0172135
*CONN
*I *4841:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4842:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4840:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4839:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4836:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4834:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4833:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4832:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4835:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4819:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4837:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4838:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5150:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4841:CLK 0
2 *4842:CLK 0.00028391
3 *4840:CLK 0
4 *4839:CLK 0
5 *4836:CLK 0.000276279
6 *4834:CLK 0.000362117
7 *4833:CLK 0
8 *4832:CLK 0.000322263
9 *4835:CLK 0
10 *4819:CLK 0.000272246
11 *4837:CLK 0
12 *4838:CLK 0
13 *5150:X 0.000428075
14 *828:105 0.000547079
15 *828:95 0.000330647
16 *828:71 0.000694428
17 *828:58 0.000595565
18 *828:56 0.00061872
19 *828:46 0.000375527
20 *828:36 0.000584083
21 *828:26 0.000457137
22 *828:16 0.000384887
23 *828:11 0.000457771
24 *828:8 0.000799876
25 *4819:CLK *4363:A2 6.32776e-05
26 *4819:CLK *1045:12 3.20069e-06
27 *4819:CLK *1045:31 2.82537e-05
28 *4832:CLK *1044:5 2.61147e-05
29 *4832:CLK *1044:10 3.64415e-05
30 *4836:CLK *4391:A2 0.000113968
31 *4836:CLK *1007:45 3.17103e-05
32 *4836:CLK *1046:17 7.36804e-06
33 *4836:CLK *1046:28 4.5435e-07
34 *828:8 *5068:A 0.000648277
35 *828:8 *1056:10 1.69327e-05
36 *828:26 *1035:17 2.91335e-05
37 *828:36 *1035:17 1.00981e-05
38 *828:46 *4477:B 0
39 *828:46 *1045:12 0.000111518
40 *828:56 *4477:B 0
41 *828:56 *1042:59 6.62808e-05
42 *828:56 *1045:12 0.000170515
43 *828:95 *5068:A 0.000200251
44 *828:105 *4477:A 4.31703e-05
45 *828:105 *5068:A 0.000375388
46 *828:105 *1035:53 0.000172676
47 *4410:C1 *4842:CLK 2.41274e-06
48 *4460:B1 *828:56 5.19205e-05
49 *4467:A *828:8 0.000111708
50 *4469:B1 *828:8 0.000306964
51 *4832:D *4832:CLK 0.000276239
52 *4833:D *4832:CLK 0.000314545
53 *4833:D *828:58 5.175e-05
54 *4834:D *4834:CLK 2.27595e-05
55 *4839:D *828:11 0.000391954
56 *4840:D *828:105 0.000308942
57 *5131:TE_B *4836:CLK 7.12965e-05
58 *215:9 *4819:CLK 0.000262705
59 *233:13 *828:26 0.000218239
60 *237:35 *828:16 7.67155e-05
61 *237:35 *828:26 7.09364e-05
62 *237:35 *828:105 0.000107496
63 *324:14 *828:26 0
64 *336:129 *4836:CLK 0.000401444
65 *336:129 *828:71 0.000143306
66 *338:117 *828:8 0.00015607
67 *349:10 *4819:CLK 0.00032235
68 *351:54 *4836:CLK 1.5714e-05
69 *351:62 *828:58 6.23875e-05
70 *375:10 *828:16 4.78305e-05
71 *375:28 *828:11 0.000207528
72 *375:28 *828:16 5.0715e-05
73 *393:36 *828:36 0.000107496
74 *394:37 *4819:CLK 0.000395406
75 *394:37 *828:36 2.16355e-05
76 *394:37 *828:46 5.56367e-05
77 *402:23 *4836:CLK 4.31703e-05
78 *431:62 *4834:CLK 3.7045e-05
79 *436:8 *4842:CLK 0.000103442
80 *436:55 *4819:CLK 8.4465e-05
81 *436:55 *828:11 0.000262147
82 *436:55 *828:16 2.44829e-05
83 *450:36 *828:26 6.78596e-05
84 *450:36 *828:36 0.000171648
85 *452:13 *828:26 8.10982e-05
86 *452:13 *828:36 0.000140525
87 *453:18 *828:8 0.000321597
88 *453:18 *828:95 8.53807e-05
89 *453:18 *828:105 2.75995e-05
90 *574:20 *828:105 3.37164e-05
91 *574:29 *4842:CLK 0.000370398
92 *574:29 *828:105 5.37882e-05
93 *576:47 *4842:CLK 9.5562e-05
94 *776:8 *4842:CLK 0.000118485
95 *809:10 *4836:CLK 0.000368054
96 *809:10 *828:71 0.000143306
*RES
1 *5150:X *828:8 30.5625
2 *828:8 *828:11 15.2063
3 *828:11 *828:16 9.271
4 *828:16 *4838:CLK 13.7491
5 *828:16 *828:26 12.044
6 *828:26 *4837:CLK 9.24915
7 *828:26 *828:36 12.4574
8 *828:36 *4819:CLK 25.585
9 *828:36 *828:46 3.07775
10 *828:46 *4835:CLK 13.7491
11 *828:46 *828:56 12.044
12 *828:56 *828:58 6.26943
13 *828:58 *4832:CLK 20.51
14 *828:58 *4833:CLK 9.24915
15 *828:56 *828:71 7.1625
16 *828:71 *4834:CLK 19.3434
17 *828:71 *4836:CLK 24.8911
18 *828:11 *4839:CLK 9.24915
19 *828:8 *828:95 3.493
20 *828:95 *4840:CLK 13.7491
21 *828:95 *828:105 15.915
22 *828:105 *4842:CLK 28.006
23 *828:105 *4841:CLK 9.24915
*END
*D_NET *829 0.0228413
*CONN
*I *4897:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4887:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4886:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4889:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4892:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4856:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4857:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4888:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4854:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4908:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4852:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4899:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4893:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4882:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4898:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5151:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4897:CLK 0
2 *4887:CLK 0.000156531
3 *4886:CLK 0.000133013
4 *4889:CLK 0
5 *4892:CLK 0.000382227
6 *4856:CLK 0
7 *4857:CLK 0
8 *4888:CLK 0.00015939
9 *4854:CLK 0.000233894
10 *4908:CLK 0.000443564
11 *4852:CLK 0
12 *4899:CLK 9.62151e-05
13 *4893:CLK 0.000112307
14 *4882:CLK 5.12351e-05
15 *4898:CLK 0.000249259
16 *5151:X 0
17 *829:163 0.000364229
18 *829:116 0.00074012
19 *829:114 0.000521997
20 *829:111 0.000220805
21 *829:89 0.000598732
22 *829:78 0.000944481
23 *829:75 0.000844222
24 *829:62 0.000217787
25 *829:60 0.00115653
26 *829:53 0.000972962
27 *829:48 0.00070971
28 *829:37 0.00143021
29 *829:19 0.00102074
30 *829:4 0.000327392
31 *4854:CLK *4720:C 3.54474e-05
32 *4854:CLK *902:25 1.41291e-05
33 *4854:CLK *988:11 0.000103002
34 *4854:CLK *1001:78 0.000177665
35 *4882:CLK *831:16 4.41269e-05
36 *4892:CLK *974:21 5.52855e-05
37 *4899:CLK *4745:C 0.000113968
38 *4899:CLK *1012:33 0.000418874
39 *4908:CLK *4745:C 4.02726e-06
40 *4908:CLK *1024:26 7.96833e-05
41 *4908:CLK *1027:26 9.80784e-05
42 *829:37 *4741:A 6.65026e-05
43 *829:37 *4743:C 0.000160617
44 *829:48 *831:16 7.00802e-05
45 *829:48 *982:12 0.00026075
46 *829:48 *1013:29 2.41483e-05
47 *829:53 *982:12 0.000135958
48 *829:53 *1001:78 3.14645e-05
49 *829:60 *4723:B 2.9959e-05
50 *829:60 *865:47 0
51 *829:78 *4728:A 4.82779e-06
52 *829:78 *4745:C 0.000140047
53 *829:78 *865:47 4.64586e-05
54 *829:78 *1005:54 1.5714e-05
55 *829:89 *4745:C 1.78895e-05
56 *829:89 *1005:54 6.8939e-05
57 *829:114 *1013:29 0.000132548
58 *829:116 *4718:A 8.95987e-05
59 *829:116 *988:11 7.09666e-06
60 *4569:B *4898:CLK 1.92336e-05
61 *4581:A2 *4893:CLK 0
62 *4582:A *829:60 7.82637e-06
63 *4728:B *829:78 0.00012774
64 *4728:B *829:114 4.02726e-06
65 *4728:B *829:116 9.7112e-06
66 *4741:B *829:37 0.000261526
67 *4852:D *829:78 1.66736e-05
68 *4852:D *829:89 1.64739e-05
69 *4882:D *4882:CLK 0.000146934
70 *4886:D *4886:CLK 0.000151038
71 *4889:D *829:53 0.000408915
72 *4897:D *4898:CLK 0.000164843
73 *4897:D *829:19 0.000203001
74 *4897:D *829:37 0.000185292
75 *4898:D *4898:CLK 0.000101418
76 *4899:D *4899:CLK 0.000136095
77 *4908:D *4908:CLK 3.55027e-05
78 *5151:A *829:19 0.000211492
79 *5151:A *829:37 4.6284e-05
80 *284:17 *4854:CLK 1.28732e-05
81 *285:14 *4886:CLK 3.24105e-05
82 *285:14 *4887:CLK 1.05272e-06
83 *289:21 *4892:CLK 4.06085e-06
84 *289:21 *4893:CLK 2.65757e-05
85 *314:32 *4908:CLK 2.76631e-05
86 *341:56 *4908:CLK 1.41429e-05
87 *341:56 *829:78 0.00017352
88 *341:67 *829:78 0.000116818
89 *341:70 *829:60 1.37163e-05
90 *344:59 *4898:CLK 1.27831e-06
91 *344:66 *4898:CLK 3.70536e-05
92 *378:20 *829:60 0
93 *400:20 *4898:CLK 0.000113968
94 *401:17 *4886:CLK 3.77925e-05
95 *401:17 *4887:CLK 8.08111e-06
96 *401:17 *829:163 4.03381e-05
97 *457:46 *4887:CLK 0.000163056
98 *520:26 *829:37 0.000238638
99 *520:26 *829:78 2.02035e-05
100 *522:13 *829:53 4.15661e-05
101 *523:38 *4892:CLK 0.000165854
102 *529:26 *4899:CLK 2.38445e-05
103 *535:41 *4887:CLK 9.12416e-06
104 *537:35 *4893:CLK 0.000400563
105 *537:35 *829:75 0.000457749
106 *537:35 *829:111 4.97617e-05
107 *539:19 *4892:CLK 7.60356e-05
108 *539:19 *829:60 0.000131619
109 *540:24 *829:48 0.000229767
110 *542:31 *4892:CLK 0.000137725
111 *542:31 *4893:CLK 6.08467e-05
112 *542:31 *829:60 2.30787e-05
113 *542:31 *829:75 0.000260533
114 *542:31 *829:111 0.000158357
115 *557:17 *4898:CLK 0.000113968
116 *557:53 *829:78 3.34458e-05
117 *565:29 *4854:CLK 0.000217951
118 *571:32 *4908:CLK 5.1493e-06
119 *579:49 *4908:CLK 1.74249e-05
120 *583:20 *829:37 5.88009e-05
121 *583:52 *4892:CLK 0
122 *583:52 *829:60 1.92346e-05
123 *585:23 *829:48 0.000111708
124 *612:15 *4882:CLK 4.64828e-05
125 *612:15 *829:48 6.15287e-05
126 *612:40 *829:48 0.000113968
127 *612:40 *829:60 4.88764e-06
128 *615:22 *829:60 8.4653e-05
129 *615:36 *829:48 0.000110505
130 *616:30 *4893:CLK 6.66873e-05
131 *621:39 *829:37 0.000293328
132 *644:49 *829:60 3.53339e-05
133 *645:20 *829:53 0.000366478
134 *645:20 *829:60 0.000296155
135 *646:16 *4854:CLK 1.55255e-05
136 *646:16 *829:116 1.02264e-05
137 *647:26 *829:48 7.86825e-06
138 *647:26 *829:60 0.000151037
139 *654:17 *4892:CLK 0.000116601
140 *661:10 *4898:CLK 0.00011884
141 *696:45 *4892:CLK 7.48867e-05
142 *696:62 *4898:CLK 1.48605e-05
143 *718:24 *829:37 1.63131e-05
144 *818:33 *4886:CLK 2.65831e-05
145 *818:33 *4888:CLK 3.86121e-05
146 *818:33 *829:163 7.65564e-05
147 *823:48 *829:37 1.76067e-05
*RES
1 *5151:X *829:4 9.24915
2 *829:4 *4898:CLK 25.268
3 *829:4 *829:19 3.52053
4 *829:19 *829:37 31.5391
5 *829:37 *4882:CLK 11.6364
6 *829:37 *829:48 17.0299
7 *829:48 *829:53 12.1834
8 *829:53 *829:60 20.9635
9 *829:60 *829:62 4.5
10 *829:62 *4893:CLK 14.6023
11 *829:62 *829:75 5.73894
12 *829:75 *829:78 18.3743
13 *829:78 *4899:CLK 18.9335
14 *829:78 *829:89 3.07775
15 *829:89 *4852:CLK 13.7491
16 *829:89 *4908:CLK 23.0801
17 *829:75 *829:111 1.8326
18 *829:111 *829:114 7.993
19 *829:114 *829:116 5.98452
20 *829:116 *4854:CLK 20.4599
21 *829:116 *4888:CLK 16.5704
22 *829:114 *4857:CLK 13.7491
23 *829:111 *4856:CLK 9.24915
24 *829:60 *4892:CLK 24.0816
25 *829:53 *4889:CLK 9.24915
26 *829:48 *829:163 6.88721
27 *829:163 *4886:CLK 13.3002
28 *829:163 *4887:CLK 21.7421
29 *829:19 *4897:CLK 9.24915
*END
*D_NET *830 0.0317619
*CONN
*I *4863:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4906:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4907:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4911:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4912:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4910:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4913:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4914:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4915:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4916:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4909:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4905:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4904:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4903:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4894:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4891:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4902:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4896:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4895:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4901:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4900:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5152:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4863:CLK 0.000283369
2 *4906:CLK 0
3 *4907:CLK 0
4 *4911:CLK 0.000128414
5 *4912:CLK 0
6 *4910:CLK 0.000526185
7 *4913:CLK 0
8 *4914:CLK 4.44688e-05
9 *4915:CLK 2.09358e-05
10 *4916:CLK 0.000202417
11 *4909:CLK 0.000308068
12 *4905:CLK 0
13 *4904:CLK 3.05031e-05
14 *4903:CLK 0.000159259
15 *4894:CLK 0.000466786
16 *4891:CLK 0
17 *4902:CLK 0.000169748
18 *4896:CLK 3.76765e-05
19 *4895:CLK 0.00025481
20 *4901:CLK 0
21 *4900:CLK 0.000398308
22 *5152:X 1.2055e-05
23 *830:213 0.000603187
24 *830:210 0.000339515
25 *830:203 0.000562761
26 *830:192 0.000443578
27 *830:167 0.000354034
28 *830:165 0.000291659
29 *830:162 0.000398803
30 *830:158 0.000387754
31 *830:85 0.000721423
32 *830:76 0.000932244
33 *830:61 0.00115232
34 *830:60 0.000863457
35 *830:53 0.000597566
36 *830:34 0.000609454
37 *830:25 0.000547221
38 *830:20 0.000121239
39 *830:18 0.000504979
40 *830:14 0.000598967
41 *830:5 0.000577105
42 *4863:CLK *1026:49 0.000217951
43 *4895:CLK *4737:A 9.63981e-05
44 *4896:CLK *4737:A 3.58044e-05
45 *4909:CLK *4760:A 1.48503e-05
46 *4909:CLK *968:8 1.54479e-05
47 *4909:CLK *1004:67 2.67447e-05
48 *4910:CLK *955:7 2.41483e-05
49 *4910:CLK *955:22 0.00021699
50 *4914:CLK *971:19 0.000324166
51 *4915:CLK *1004:39 7.48797e-05
52 *830:18 *965:10 0.000101605
53 *830:18 *1004:67 1.78895e-05
54 *830:53 *964:12 0.000137472
55 *830:53 *964:25 1.54479e-05
56 *830:60 *833:36 1.5714e-05
57 *830:60 *964:25 8.42947e-05
58 *830:60 *1026:49 5.04829e-06
59 *830:61 *966:21 2.55661e-06
60 *830:76 *4554:A 7.60356e-05
61 *830:76 *4554:B 1.19721e-05
62 *830:85 *1004:67 0.000247139
63 *830:213 *955:22 2.23058e-05
64 *4275:A *4900:CLK 4.19401e-06
65 *4547:A *830:76 7.09666e-06
66 *4653:B *4894:CLK 1.59501e-05
67 *4653:B *830:61 7.52574e-06
68 *4653:B *830:85 0.000251489
69 *4712:A *4894:CLK 0.000248383
70 *4738:A *4895:CLK 0.000104718
71 *4758:B *830:14 0.000160794
72 *4760:B *4909:CLK 0.000137356
73 *4760:B *830:14 0.000112717
74 *4760:B *830:18 0.000144975
75 *4891:D *830:76 1.02264e-05
76 *4902:D *4902:CLK 0.000160617
77 *4906:D *830:158 0.000107329
78 *4906:D *830:162 0.000149096
79 *4908:D *4863:CLK 9.66809e-05
80 *4911:D *4911:CLK 1.67271e-05
81 *4916:D *4916:CLK 0.000489932
82 *4919:D *830:162 0.000654941
83 *4919:D *830:192 1.15389e-05
84 *4919:D *830:203 0.000494711
85 *248:19 *4894:CLK 0.000107496
86 *290:23 *4894:CLK 0.000211478
87 *290:23 *4895:CLK 5.5038e-05
88 *290:23 *4896:CLK 4.7372e-05
89 *292:15 *830:85 0.000106696
90 *300:15 *4904:CLK 6.77992e-05
91 *302:23 *4900:CLK 3.20069e-06
92 *303:19 *830:162 0.000393863
93 *303:19 *830:192 6.08467e-05
94 *303:19 *830:203 0.000136182
95 *307:32 *4910:CLK 5.46889e-05
96 *308:33 *4910:CLK 0.00106507
97 *308:33 *830:213 0.00015759
98 *314:14 *4895:CLK 0.000113968
99 *315:19 *830:14 3.63593e-05
100 *315:19 *830:162 1.97745e-05
101 *316:47 *4914:CLK 0.00013978
102 *320:52 *4910:CLK 0.00021569
103 *329:69 *4900:CLK 7.58067e-06
104 *331:68 *4900:CLK 2.55661e-06
105 *331:68 *830:25 0.000549649
106 *331:68 *830:34 0.000111708
107 *331:76 *830:165 0.00010814
108 *334:31 *4916:CLK 0.000166028
109 *334:31 *830:165 0.000160452
110 *334:31 *830:167 0.000140395
111 *336:38 *4900:CLK 5.11322e-06
112 *336:38 *830:34 0
113 *338:28 *4910:CLK 0.000134942
114 *340:69 *4910:CLK 2.50881e-05
115 *341:25 *4909:CLK 5.85325e-05
116 *341:25 *830:14 0.000237377
117 *341:56 *4863:CLK 0.000164815
118 *344:59 *4895:CLK 3.33173e-06
119 *344:59 *830:85 0.000342629
120 *346:53 *4904:CLK 5.97723e-05
121 *346:53 *830:18 0.000111708
122 *346:53 *830:158 0.000139947
123 *348:18 *4902:CLK 0.000171941
124 *348:18 *830:85 0.000106696
125 *513:60 *4910:CLK 7.06769e-05
126 *518:37 *830:76 0.000167076
127 *566:19 *830:76 0.000158357
128 *567:15 *4903:CLK 1.86178e-05
129 *567:15 *830:60 0.000307037
130 *567:15 *830:61 4.49912e-05
131 *571:21 *4895:CLK 9.42491e-05
132 *573:112 *830:85 0.000328053
133 *587:66 *4894:CLK 4.99013e-05
134 *600:17 *4902:CLK 0.000132965
135 *600:49 *4863:CLK 0.000199541
136 *600:49 *4902:CLK 1.41976e-05
137 *600:49 *830:5 6.50727e-05
138 *600:49 *830:60 0.000228872
139 *607:47 *4863:CLK 0.000270293
140 *607:47 *830:5 6.08467e-05
141 *607:65 *830:60 7.09666e-06
142 *621:49 *4894:CLK 1.92561e-05
143 *621:49 *830:61 6.09364e-05
144 *621:49 *830:76 8.68257e-05
145 *621:78 *4894:CLK 0
146 *621:78 *4903:CLK 2.31718e-05
147 *621:78 *830:34 2.74115e-05
148 *621:78 *830:53 9.71288e-05
149 *621:78 *830:60 2.73402e-05
150 *621:78 *830:61 8.31714e-05
151 *638:19 *830:76 0.000324729
152 *656:23 *4895:CLK 9.12416e-06
153 *656:52 *4894:CLK 0.000162209
154 *668:22 *4903:CLK 5.85325e-05
155 *668:39 *830:14 4.49767e-05
156 *672:19 *4902:CLK 0.000107496
157 *673:18 *4900:CLK 2.78668e-05
158 *675:20 *830:18 0.000107496
159 *675:20 *830:158 0.00021767
160 *675:20 *830:162 0.000105566
161 *679:17 *4863:CLK 2.94007e-05
162 *683:15 *4900:CLK 2.65831e-05
163 *685:16 *4900:CLK 0.000101503
164 *687:14 *830:203 0.000103231
165 *689:17 *4863:CLK 1.19856e-05
166 *689:17 *4916:CLK 0.000219753
167 *689:31 *830:76 0.000572588
168 *690:19 *4911:CLK 0
169 *690:19 *830:167 0
170 *690:19 *830:203 0
171 *696:62 *4895:CLK 3.70433e-05
172 *697:16 *4909:CLK 2.09155e-05
173 *697:16 *4911:CLK 5.1493e-06
174 *697:16 *830:210 2.70631e-05
175 *697:16 *830:213 0.000113968
176 *698:35 *4900:CLK 6.50727e-05
177 *699:38 *4900:CLK 0
178 *699:38 *830:34 0
179 *699:38 *830:60 9.32704e-05
180 *699:51 *4903:CLK 3.5534e-06
181 *700:35 *830:25 0.000110629
182 *701:30 *4900:CLK 6.22114e-05
183 *701:30 *830:25 0.000693505
184 *701:30 *830:34 0.000111708
185 *704:25 *4915:CLK 0.000171288
186 *743:11 *4911:CLK 0.000146065
187 *743:11 *830:203 0.000347756
188 *743:11 *830:210 0.000170562
189 *748:13 *830:203 0
190 *748:13 *830:213 4.65531e-05
191 *762:16 *4909:CLK 1.27831e-06
192 *782:19 *4863:CLK 1.54479e-05
193 *824:25 *4902:CLK 2.16652e-05
*RES
1 *5152:X *830:5 9.97254
2 *830:5 *830:14 16.7188
3 *830:14 *830:18 9.271
4 *830:18 *830:20 4.5
5 *830:20 *830:25 8.68075
6 *830:25 *830:34 9.36871
7 *830:34 *4900:CLK 22.0204
8 *830:34 *4901:CLK 13.7491
9 *830:25 *830:53 9.23876
10 *830:53 *830:60 18.4809
11 *830:60 *830:61 6.81502
12 *830:61 *830:76 29.6683
13 *830:76 *830:85 21.4862
14 *830:85 *4895:CLK 25.5708
15 *830:85 *4896:CLK 10.5271
16 *830:76 *4902:CLK 19.49
17 *830:61 *4891:CLK 13.7491
18 *830:61 *4894:CLK 25.223
19 *830:60 *4903:CLK 16.8269
20 *830:53 *4904:CLK 15.0513
21 *830:20 *4905:CLK 9.24915
22 *830:18 *4909:CLK 20.1489
23 *830:14 *830:158 5.16022
24 *830:158 *830:162 11.285
25 *830:162 *830:165 7.993
26 *830:165 *830:167 3.07775
27 *830:167 *4916:CLK 22.4024
28 *830:167 *4915:CLK 15.5817
29 *830:165 *4914:CLK 17.2456
30 *830:162 *830:192 0.723396
31 *830:192 *830:203 19.3259
32 *830:203 *4913:CLK 13.7491
33 *830:203 *830:210 3.493
34 *830:210 *830:213 7.99641
35 *830:213 *4910:CLK 36.7966
36 *830:213 *4912:CLK 9.24915
37 *830:210 *4911:CLK 16.8269
38 *830:192 *4907:CLK 9.24915
39 *830:158 *4906:CLK 9.24915
40 *830:5 *4863:CLK 27.2494
*END
*D_NET *831 0.0287203
*CONN
*I *4855:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4870:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4867:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4871:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4864:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4865:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4880:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4860:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4861:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4859:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5153:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4855:CLK 0.000133426
2 *4870:CLK 0.000404319
3 *4867:CLK 0.000235036
4 *4871:CLK 0.000132924
5 *4864:CLK 2.3034e-05
6 *4865:CLK 0.000132798
7 *4880:CLK 0.00094345
8 *4860:CLK 0
9 *4861:CLK 1.81917e-05
10 *4859:CLK 0
11 *5153:X 0.000266262
12 *831:94 0.000782155
13 *831:86 0.000421984
14 *831:76 0.000449683
15 *831:54 0.00115668
16 *831:50 0.000641734
17 *831:43 0.000585466
18 *831:35 0.000480536
19 *831:27 0.000689616
20 *831:21 0.000652109
21 *831:16 0.00239065
22 *831:10 0.00237488
23 *4855:CLK *1000:45 3.74433e-05
24 *4855:CLK *1015:27 3.11894e-05
25 *4870:CLK *4405:A2 0.000164815
26 *4871:CLK *4405:A2 0
27 *4871:CLK *1000:9 0.00011906
28 *831:16 *1010:16 0.000171941
29 *831:16 *1013:29 0.00131963
30 *831:21 *1000:45 0.000462242
31 *831:27 *4570:A1 2.43387e-05
32 *831:27 *1000:45 6.08467e-05
33 *831:27 *1001:56 1.15389e-05
34 *831:27 *1015:21 0.000779865
35 *831:35 *1006:63 0.000869626
36 *831:35 *1015:21 3.91933e-05
37 *831:43 *1006:63 6.50727e-05
38 *831:76 *1006:63 0.000941397
39 *831:76 *1015:21 0.000103465
40 *831:86 *1006:63 0.000699556
41 *4405:A1 *4870:CLK 4.0752e-05
42 *4407:B2 *4871:CLK 0.000195399
43 *4407:B2 *831:94 6.8939e-05
44 *4443:A *831:21 6.08467e-05
45 *4570:A2 *831:27 0.000111789
46 *4570:B1 *831:27 2.78708e-05
47 *4570:C1 *831:27 6.36477e-05
48 *4576:A1 *831:21 6.08467e-05
49 *4586:A1 *831:21 0.000253916
50 *4586:A1 *831:27 0.000166119
51 *4586:A1 *831:35 0.000115934
52 *4589:A *831:10 3.31745e-05
53 *4604:A2 *4880:CLK 0
54 *4604:A2 *831:54 0
55 *4604:B1 *4880:CLK 6.98404e-06
56 *4604:B1 *831:50 0
57 *4604:B1 *831:54 3.57934e-05
58 *4605:A1 *4880:CLK 0.000120962
59 *4609:B1 *831:35 0.000244479
60 *4609:C1 *831:35 0.000171273
61 *4644:C *4867:CLK 9.66958e-06
62 *4668:B *831:16 0.000351426
63 *4670:A2 *4865:CLK 9.5562e-05
64 *4670:B1 *4865:CLK 6.08467e-05
65 *4670:B1 *831:54 0.000373061
66 *4671:A *4870:CLK 0.00014375
67 *4671:B *4870:CLK 0.00020502
68 *4672:B *4870:CLK 3.38355e-06
69 *4855:D *4855:CLK 5.11322e-06
70 *4859:D *831:35 1.87611e-05
71 *4860:D *4880:CLK 0.000294033
72 *4860:D *831:54 1.07248e-05
73 *4861:D *4861:CLK 1.09551e-05
74 *4861:D *831:35 8.15039e-05
75 *4861:D *831:43 3.03484e-05
76 *4861:D *831:76 9.18618e-05
77 *4864:D *831:86 2.04854e-05
78 *4882:CLK *831:16 4.41269e-05
79 *5153:A *831:10 0.000111708
80 *276:26 *4880:CLK 0
81 *335:46 *4870:CLK 0.000163928
82 *345:19 *4867:CLK 9.69058e-05
83 *345:19 *831:94 2.91651e-05
84 *348:20 *831:10 0.000218722
85 *376:31 *831:50 3.80099e-05
86 *380:22 *4855:CLK 0.000162061
87 *428:14 *831:50 0.000287766
88 *454:41 *4880:CLK 0
89 *532:19 *831:10 0.000101888
90 *557:54 *4880:CLK 1.32841e-05
91 *565:49 *831:35 0.000275256
92 *573:15 *4880:CLK 0
93 *573:112 *831:10 2.17327e-05
94 *574:29 *4870:CLK 0.000951772
95 *574:34 *4870:CLK 6.50727e-05
96 *574:53 *4867:CLK 2.96495e-05
97 *574:81 *4867:CLK 5.82787e-05
98 *580:33 *831:50 4.04447e-05
99 *585:23 *831:16 0.000132798
100 *601:32 *4880:CLK 9.91731e-05
101 *603:47 *4880:CLK 0.000383717
102 *611:22 *831:21 0.000729312
103 *612:15 *831:16 1.92172e-05
104 *612:53 *4865:CLK 1.58551e-05
105 *612:73 *4865:CLK 5.51483e-06
106 *612:73 *4867:CLK 4.15201e-05
107 *616:49 *831:86 0.000393863
108 *617:11 *4865:CLK 1.92172e-05
109 *617:11 *4867:CLK 2.81361e-06
110 *617:11 *4870:CLK 4.69495e-06
111 *617:11 *4871:CLK 3.60933e-06
112 *617:11 *831:94 2.44737e-05
113 *619:15 *831:76 5.20546e-06
114 *619:15 *831:86 4.42237e-05
115 *661:10 *831:10 0.000205006
116 *704:11 *4880:CLK 0.000478984
117 *756:10 *4870:CLK 0.000169041
118 *777:14 *4865:CLK 0.000379666
119 *777:14 *831:54 0.000377259
120 *824:8 *831:10 3.88655e-06
121 *829:48 *831:16 7.00802e-05
*RES
1 *5153:X *831:10 25.7904
2 *831:10 *831:16 44.1348
3 *831:16 *831:21 13.1176
4 *831:21 *831:27 11.3091
5 *831:27 *4859:CLK 9.24915
6 *831:27 *831:35 17.9402
7 *831:35 *4861:CLK 9.82786
8 *831:35 *831:43 0.723396
9 *831:43 *831:50 15.7173
10 *831:50 *831:54 12.044
11 *831:54 *4860:CLK 13.7491
12 *831:54 *4880:CLK 37.9033
13 *831:50 *4865:CLK 14.4094
14 *831:43 *831:76 10.7063
15 *831:76 *4864:CLK 9.82786
16 *831:76 *831:86 12.4332
17 *831:86 *4871:CLK 17.6574
18 *831:86 *831:94 3.28538
19 *831:94 *4867:CLK 19.9413
20 *831:94 *4870:CLK 31.137
21 *831:21 *4855:CLK 21.7421
*END
*D_NET *832 0.0231511
*CONN
*I *4921:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4923:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4925:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4869:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4868:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4866:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4924:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4922:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4919:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4920:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4917:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4926:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4862:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4918:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4858:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *5154:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4921:CLK 0
2 *4923:CLK 0
3 *4925:CLK 0
4 *4869:CLK 0.000742945
5 *4868:CLK 0
6 *4866:CLK 2.06324e-05
7 *4924:CLK 0
8 *4922:CLK 0
9 *4919:CLK 2.64294e-05
10 *4920:CLK 3.12462e-05
11 *4917:CLK 0.000254189
12 *4926:CLK 0.000315518
13 *4862:CLK 3.51473e-05
14 *4918:CLK 0
15 *4858:CLK 0.0004997
16 *5154:X 0.000269903
17 *832:145 0.000926383
18 *832:132 0.00063348
19 *832:122 0.000943632
20 *832:110 0.000775511
21 *832:105 0.000489353
22 *832:96 0.000468869
23 *832:92 0.000252251
24 *832:47 0.000608079
25 *832:24 0.000559802
26 *832:20 0.000359934
27 *832:18 0.000616183
28 *832:16 0.000472629
29 *832:14 0.000540557
30 *832:10 0.00067367
31 *4858:CLK *1024:26 0.000154145
32 *4869:CLK *5098:A 0.000137293
33 *4869:CLK *916:33 0.000135262
34 *4917:CLK *4790:C 7.75915e-06
35 *4926:CLK *916:33 8.14875e-05
36 *4926:CLK *1004:39 0.000107496
37 *832:96 *949:7 2.20702e-05
38 *832:105 *4814:D 0
39 *832:110 *4814:C 0
40 *832:110 *4814:D 0
41 *832:122 *952:7 7.05188e-05
42 *832:122 *952:11 0.000373061
43 *832:122 *953:11 1.81618e-05
44 *832:132 *880:18 1.8549e-05
45 *832:132 *953:11 2.398e-06
46 *832:132 *1004:23 0.000107496
47 *832:145 *1004:23 0.000440512
48 *4610:B *4917:CLK 0.000207883
49 *4625:A1 *832:132 8.03393e-06
50 *4649:B *4869:CLK 0.00059656
51 *4795:A3 *832:10 0.000104441
52 *4795:B2 *4926:CLK 7.37762e-05
53 *4798:B *832:14 2.55661e-06
54 *4862:D *4862:CLK 0.000107496
55 *4862:D *4926:CLK 2.0456e-06
56 *4862:D *832:47 5.48015e-06
57 *4866:D *832:145 0.000309354
58 *4868:D *4869:CLK 0.000655382
59 *4918:D *4858:CLK 0.000158371
60 *4919:D *4919:CLK 7.48797e-05
61 *4921:D *832:96 0.000100285
62 *4922:D *832:96 0.000301846
63 *4922:D *832:105 0.000132737
64 *4924:D *832:110 6.50586e-05
65 *4924:D *832:122 0.000134977
66 *276:26 *832:47 0.000279299
67 *316:47 *4920:CLK 0.000111722
68 *318:23 *832:14 0.00011971
69 *318:23 *832:92 2.65831e-05
70 *318:23 *832:96 0.000124615
71 *321:33 *832:132 1.54602e-05
72 *323:13 *832:110 7.09666e-06
73 *326:72 *4869:CLK 0.00014159
74 *326:77 *4869:CLK 0.000195845
75 *331:86 *4920:CLK 6.08467e-05
76 *331:89 *832:16 2.43314e-05
77 *331:89 *832:18 0.000497634
78 *331:89 *832:20 5.01835e-05
79 *331:89 *832:24 9.72199e-05
80 *331:113 *832:16 3.54541e-05
81 *334:84 *832:145 0.000132046
82 *335:10 *832:132 0
83 *340:77 *4858:CLK 0.000266454
84 *340:77 *4917:CLK 4.67545e-05
85 *340:77 *832:14 5.19348e-05
86 *345:13 *832:145 0.000135644
87 *346:15 *832:96 1.67988e-05
88 *346:15 *832:105 7.56507e-05
89 *350:59 *4858:CLK 3.81654e-05
90 *351:97 *832:10 0.000149209
91 *351:97 *832:14 0
92 *351:97 *832:16 0
93 *525:59 *4917:CLK 0.000111802
94 *573:15 *832:105 0.000182198
95 *573:15 *832:110 0.000325858
96 *576:31 *4869:CLK 0.000289631
97 *578:11 *832:132 9.19632e-06
98 *578:11 *832:145 1.03675e-05
99 *594:11 *4926:CLK 0.0003418
100 *594:11 *832:10 0
101 *594:11 *832:47 7.00663e-05
102 *598:34 *4917:CLK 4.45999e-05
103 *601:21 *4869:CLK 5.04829e-06
104 *601:32 *4862:CLK 3.82228e-05
105 *601:62 *4869:CLK 1.83828e-05
106 *602:10 *4869:CLK 5.57165e-05
107 *603:47 *4917:CLK 0.000238117
108 *607:15 *832:132 0.000112519
109 *609:10 *832:14 2.44265e-05
110 *699:16 *832:10 6.01588e-05
111 *700:21 *832:14 3.57683e-05
112 *700:21 *832:92 9.82896e-06
113 *700:21 *832:96 0.000666075
114 *701:22 *832:14 0.000186587
115 *704:11 *4926:CLK 0.000307023
116 *708:14 *832:132 0.000250313
117 *709:11 *832:122 1.90658e-05
118 *759:17 *4858:CLK 3.20837e-05
119 *759:28 *4919:CLK 7.48797e-05
120 *759:28 *832:14 4.34752e-05
121 *759:28 *832:16 0.000167606
122 *759:28 *832:18 0.000493963
123 *759:28 *832:20 4.60518e-05
124 *759:28 *832:24 0.000101684
125 *782:28 *832:10 6.08467e-05
126 *806:19 *4869:CLK 0.000130418
127 *806:19 *832:47 0.00065366
*RES
1 *5154:X *832:10 24.5418
2 *832:10 *832:14 14.8114
3 *832:14 *832:16 3.493
4 *832:16 *832:18 10.5523
5 *832:18 *832:20 1.20912
6 *832:20 *832:24 6.53962
7 *832:24 *4858:CLK 29.486
8 *832:24 *4918:CLK 9.24915
9 *832:20 *832:47 9.25322
10 *832:47 *4862:CLK 15.0271
11 *832:47 *4926:CLK 24.0606
12 *832:18 *4917:CLK 29.9074
13 *832:16 *4920:CLK 15.0271
14 *832:14 *4919:CLK 15.5817
15 *832:10 *832:92 0.723396
16 *832:92 *832:96 11.8396
17 *832:96 *4922:CLK 9.24915
18 *832:96 *832:105 11.4894
19 *832:105 *832:110 11.6232
20 *832:110 *4924:CLK 9.24915
21 *832:110 *832:122 12.997
22 *832:122 *832:132 18.8079
23 *832:132 *4866:CLK 9.82786
24 *832:132 *832:145 16.8227
25 *832:145 *4868:CLK 9.24915
26 *832:145 *4869:CLK 45.1045
27 *832:122 *4925:CLK 9.24915
28 *832:105 *4923:CLK 13.7491
29 *832:92 *4921:CLK 9.24915
*END
*D_NET *833 0.0341886
*CONN
*I *4227:A I *D sky130_fd_sc_hd__buf_2
*I *4258:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4213:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4289:A I *D sky130_fd_sc_hd__buf_2
*I *5155:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4227:A 0.00032696
2 *4258:A 0.00127779
3 *4213:A 0
4 *4289:A 0
5 *5155:X 0.000316278
6 *833:36 0.00383884
7 *833:17 0.00288801
8 *833:15 0.00226237
9 *833:13 0.002283
10 *833:7 0.00033691
11 *4258:A *880:18 2.86353e-06
12 *4258:A *922:14 0.000203341
13 *4258:A *953:11 0
14 *833:15 *5059:A 6.50727e-05
15 *833:36 *837:14 0
16 *833:36 *880:37 0.00326954
17 *833:36 *916:29 0.000376224
18 io_oeb[2] *4258:A 0
19 *4259:A *4258:A 0
20 *4333:A *4227:A 8.05712e-05
21 *4333:A *833:36 3.52453e-05
22 *4643:B1 *4258:A 0.000313495
23 *4812:A *833:15 0.00017165
24 *4903:D *833:15 6.50586e-05
25 *5096:TE_B *833:7 6.76384e-05
26 *311:15 *833:36 0.0030637
27 *316:26 *833:36 0.000309197
28 *316:47 *833:36 0.000450932
29 *320:52 *833:36 7.92408e-05
30 *321:16 *833:15 0.000122378
31 *323:32 *4258:A 2.39535e-05
32 *330:66 *4258:A 0.000319854
33 *331:113 *4258:A 0.00205235
34 *333:8 *4258:A 0.000313495
35 *333:19 *4258:A 0
36 *333:21 *4258:A 0
37 *335:10 *4258:A 0
38 *338:50 *4227:A 2.87198e-05
39 *338:50 *833:36 3.4002e-05
40 *338:51 *833:7 0.000519481
41 *338:51 *833:15 0.000932234
42 *339:11 *833:15 0.000175485
43 *567:15 *833:36 0.000663542
44 *590:70 *4258:A 6.34651e-06
45 *590:70 *833:36 3.57886e-05
46 *600:49 *833:36 0.000122934
47 *600:60 *4258:A 0.00206048
48 *609:94 *833:36 0.000185734
49 *643:54 *833:36 8.68143e-06
50 *668:9 *833:36 0.000945208
51 *668:22 *833:36 0.000532693
52 *674:16 *4227:A 0.000358825
53 *686:13 *833:36 9.94647e-05
54 *687:36 *4227:A 0.000205436
55 *690:13 *833:36 0.00190898
56 *699:51 *833:15 0.00026099
57 *699:51 *833:36 4.42142e-05
58 *708:14 *4258:A 9.10158e-05
59 *731:10 *4258:A 1.3028e-05
60 *742:36 *833:36 2.3599e-05
61 *830:60 *833:36 1.5714e-05
*RES
1 *5155:X *833:7 19.2802
2 *833:7 *4289:A 9.24915
3 *833:7 *833:13 0.578717
4 *833:13 *833:15 61.7298
5 *833:15 *833:17 4.5
6 *833:17 *833:36 45.8265
7 *833:36 *4213:A 13.7491
8 *833:36 *4258:A 49.4758
9 *833:17 *4227:A 23.0557
*END
*D_NET *834 0.000467929
*CONN
*I *5033:A I *D sky130_fd_sc_hd__ebufn_8
*I *4928:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5033:A 0.000233964
2 *4928:LO 0.000233964
3 *336:81 *5033:A 0
*RES
1 *4928:LO *5033:A 32.9632
*END
*D_NET *835 0.00888457
*CONN
*I *5126:A I *D sky130_fd_sc_hd__ebufn_8
*I *5018:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5126:A 0
2 *5018:LO 0.0020652
3 *835:14 0.0020652
4 *835:14 *897:15 0.000935433
5 *835:14 *900:15 0.000488743
6 *835:14 *908:15 0
7 *835:14 *922:14 2.02035e-05
8 *835:14 *940:23 5.37111e-05
9 *835:14 *945:10 0
10 io_out[36] *835:14 0
11 *324:118 *835:14 8.02893e-06
12 *328:75 *835:14 0.00153962
13 *344:24 *835:14 0
14 *513:73 *835:14 0.00170842
*RES
1 *5018:LO *835:14 49.0712
2 *835:14 *5126:A 13.7491
*END
*D_NET *836 0.00557931
*CONN
*I *5127:A I *D sky130_fd_sc_hd__ebufn_8
*I *5019:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5127:A 7.34301e-05
2 *5019:LO 0.000614965
3 *836:13 0.000688395
4 *836:13 *5036:A 0.00104607
5 *836:13 *5103:A 0
6 *836:13 *5130:A 0.000164829
7 *836:13 *839:10 6.2943e-05
8 io_oeb[1] *836:13 0.000163997
9 io_oeb[28] *5127:A 0.000428134
10 *4300:A *836:13 0.000115827
11 *5130:TE_B *836:13 2.65904e-05
12 *324:46 *836:13 0
13 *326:11 *836:13 1.40978e-05
14 *328:47 *836:13 9.27159e-05
15 *343:31 *836:13 0.000383717
16 *784:10 *836:13 0.000729676
17 *805:9 *5127:A 0.000693671
18 *805:9 *836:13 5.99691e-05
19 *811:7 *5127:A 6.63455e-05
20 *811:7 *836:13 0.000153932
*RES
1 *5019:LO *836:13 46.9161
2 *836:13 *5127:A 16.6278
*END
*D_NET *837 0.0234937
*CONN
*I *5128:A I *D sky130_fd_sc_hd__ebufn_8
*I *5020:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5128:A 0
2 *5020:LO 0.00458379
3 *837:14 0.00458379
4 *837:14 *916:29 0.00293826
5 *837:14 *1002:41 0.000162967
6 *4653:B *837:14 0.0039523
7 *4789:A *837:14 0.000126213
8 *4916:D *837:14 0.00396402
9 *316:26 *837:14 0
10 *320:33 *837:14 2.95956e-05
11 *590:39 *837:14 0.000441021
12 *598:48 *837:14 4.73115e-05
13 *601:62 *837:14 0.000342977
14 *607:15 *837:14 0.000629758
15 *609:23 *837:14 0.000390981
16 *609:94 *837:14 0.000602025
17 *643:19 *837:14 0
18 *686:13 *837:14 8.98335e-05
19 *690:13 *837:14 5.21062e-05
20 *718:45 *837:14 0
21 *776:8 *837:14 0.00033788
22 *806:19 *837:14 0.000218879
23 *833:36 *837:14 0
*RES
1 *5020:LO *837:14 48.2822
2 *837:14 *5128:A 9.24915
*END
*D_NET *838 0.0135499
*CONN
*I *5129:A I *D sky130_fd_sc_hd__ebufn_8
*I *5021:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5129:A 0.000211602
2 *5021:LO 0.000761812
3 *838:16 0.00165812
4 *838:7 0.00220833
5 *838:16 *5069:A 9.66954e-05
6 *838:16 *881:25 0.00203698
7 *838:16 *888:12 3.34036e-05
8 *838:16 *897:15 0.000324219
9 *838:16 *940:23 0.00174941
10 io_oeb[24] *838:16 5.84021e-05
11 *324:103 *5129:A 0.000124751
12 *328:19 *838:16 0.000747098
13 *328:75 *838:16 0.000156585
14 *329:69 *5129:A 0.000682371
15 *336:76 *838:7 0.000460974
16 *513:73 *838:16 0.00118315
17 *674:16 *838:16 1.84334e-05
18 *769:19 *5129:A 0.00103248
19 *807:17 *5129:A 5.04829e-06
*RES
1 *5021:LO *838:7 26.1192
2 *838:7 *838:16 42.2815
3 *838:16 *5129:A 21.0646
*END
*D_NET *839 0.00397459
*CONN
*I *5130:A I *D sky130_fd_sc_hd__ebufn_8
*I *5022:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5130:A 8.07841e-05
2 *5022:LO 0.00118961
3 *839:10 0.0012704
4 *839:10 *5135:A 0
5 io_oeb[1] *839:10 5.8261e-05
6 *5036:TE_B *839:10 3.77804e-05
7 *324:14 *839:10 0
8 *328:47 *5130:A 8.80289e-05
9 *343:43 *839:10 0.000394828
10 *346:108 *839:10 0.000209658
11 *805:9 *839:10 0
12 *811:7 *5130:A 0.000417464
13 *836:13 *5130:A 0.000164829
14 *836:13 *839:10 6.2943e-05
*RES
1 *5022:LO *839:10 46.8373
2 *839:10 *5130:A 14.4335
*END
*D_NET *840 0.0222389
*CONN
*I *5131:A I *D sky130_fd_sc_hd__ebufn_8
*I *5023:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5131:A 9.20532e-06
2 *5023:LO 0.00448089
3 *840:15 0.00449009
4 *840:15 *4385:A2 0.000106656
5 *840:15 *865:22 0
6 *840:15 *1007:45 8.07941e-05
7 *840:15 *1009:44 0.00127545
8 *840:15 *1010:16 2.1203e-06
9 *4403:A1 *840:15 2.42907e-05
10 *4445:B1 *840:15 0
11 *4450:A *840:15 3.94247e-05
12 *4481:A2 *840:15 0
13 *4558:B1 *840:15 0.0027522
14 *4709:A *840:15 8.28376e-05
15 *38:17 *840:15 0
16 *229:20 *840:15 0.00301565
17 *330:106 *840:15 0.000328338
18 *334:32 *840:15 2.0138e-05
19 *344:66 *840:15 0.000313094
20 *351:54 *840:15 0.000186738
21 *378:21 *840:15 3.87817e-05
22 *382:23 *840:15 0.000709556
23 *401:23 *840:15 0.000108944
24 *412:13 *840:15 0.000525513
25 *412:65 *840:15 0.000204644
26 *419:59 *840:15 0
27 *435:15 *840:15 0.0001495
28 *523:83 *840:15 0.00125816
29 *534:33 *840:15 6.42379e-05
30 *561:10 *840:15 0
31 *574:29 *5131:A 1.91246e-05
32 *615:22 *840:15 0
33 *696:20 *840:15 0.00193338
34 *776:8 *5131:A 1.91246e-05
*RES
1 *5023:LO *840:15 46.7529
2 *840:15 *5131:A 17.4965
*END
*D_NET *841 0.00278701
*CONN
*I *5132:A I *D sky130_fd_sc_hd__ebufn_8
*I *5024:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5132:A 0
2 *5024:LO 0.000870463
3 *841:11 0.000870463
4 io_oeb[30] *841:11 6.92705e-05
5 *4334:A *841:11 7.69735e-05
6 *5132:TE_B *841:11 5.65165e-05
7 *340:8 *841:11 8.52968e-05
8 *340:10 *841:11 0.000443937
9 *341:6 *841:11 7.93468e-05
10 *341:17 *841:11 0.000234741
*RES
1 *5024:LO *841:11 43.8265
2 *841:11 *5132:A 9.24915
*END
*D_NET *842 0.000654263
*CONN
*I *5133:A I *D sky130_fd_sc_hd__ebufn_8
*I *5025:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5133:A 0.000327131
2 *5025:LO 0.000327131
3 *5133:A *5060:A 0
4 *5133:A *5093:A 0
5 *5133:A *938:11 0
6 *346:108 *5133:A 0
*RES
1 *5025:LO *5133:A 35.1761
*END
*D_NET *843 0.000474242
*CONN
*I *5134:A I *D sky130_fd_sc_hd__ebufn_8
*I *5026:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5134:A 0.000118845
2 *5026:LO 0.000118845
3 *336:89 *5134:A 0.000182869
4 *711:6 *5134:A 5.36834e-05
*RES
1 *5026:LO *5134:A 31.854
*END
*D_NET *844 0.00522607
*CONN
*I *5135:A I *D sky130_fd_sc_hd__ebufn_8
*I *5027:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5135:A 0.000514755
2 *5027:LO 0.00152964
3 *844:7 0.0020444
4 *5135:A *5077:A 0.000125695
5 *324:14 *5135:A 0.000707973
6 *324:24 *5135:A 0.000303605
7 *343:43 *5135:A 0
8 *839:10 *5135:A 0
*RES
1 *5027:LO *844:7 35.5475
2 *844:7 *5135:A 32.6065
*END
*D_NET *845 0.000652386
*CONN
*I *5034:A I *D sky130_fd_sc_hd__ebufn_8
*I *4929:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5034:A 0.000180258
2 *4929:LO 0.000180258
3 la1_data_out[2] *5034:A 0
4 *348:22 *5034:A 0.000120584
5 *768:5 *5034:A 0.000171288
*RES
1 *4929:LO *5034:A 31.5781
*END
*D_NET *846 0.00251727
*CONN
*I *5136:A I *D sky130_fd_sc_hd__ebufn_8
*I *5028:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5136:A 0.000488952
2 *5028:LO 0.000488952
3 *5136:A *866:9 2.61012e-05
4 *5136:A *913:8 0
5 io_oeb[34] *5136:A 3.20069e-06
6 *4304:A *5136:A 0.00036367
7 *5136:TE_B *5136:A 0.000250787
8 *5161:A *5136:A 0
9 *326:106 *5136:A 0.00078923
10 *340:38 *5136:A 5.07314e-05
11 *347:52 *5136:A 5.56461e-05
*RES
1 *5028:LO *5136:A 47.4012
*END
*D_NET *847 0.00106021
*CONN
*I *5137:A I *D sky130_fd_sc_hd__ebufn_8
*I *5029:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5137:A 0.000428973
2 *5029:LO 0.000428973
3 *331:22 *5137:A 0.000101133
4 *332:94 *5137:A 0.000101133
*RES
1 *5029:LO *5137:A 36.733
*END
*D_NET *848 0.00115738
*CONN
*I *5138:A I *D sky130_fd_sc_hd__ebufn_8
*I *5030:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5138:A 0.000373358
2 *5030:LO 0.000373358
3 *331:22 *5138:A 0.000205332
4 *332:94 *5138:A 0.000205332
*RES
1 *5030:LO *5138:A 37.8153
*END
*D_NET *849 0.00148462
*CONN
*I *5139:A I *D sky130_fd_sc_hd__ebufn_8
*I *5031:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5139:A 0.000704244
2 *5031:LO 0.000704244
3 *4261:A *5139:A 3.024e-05
4 *5071:TE_B *5139:A 1.00763e-05
5 *347:70 *5139:A 3.58185e-05
*RES
1 *5031:LO *5139:A 38.2334
*END
*D_NET *850 0.00110555
*CONN
*I *5035:A I *D sky130_fd_sc_hd__ebufn_8
*I *4930:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5035:A 0.000479984
2 *4930:LO 0.000479984
3 *4274:A *5035:A 0.000145584
*RES
1 *4930:LO *5035:A 38.1181
*END
*D_NET *851 0.00210312
*CONN
*I *5036:A I *D sky130_fd_sc_hd__ebufn_8
*I *4931:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5036:A 0.000184585
2 *4931:LO 0.000184585
3 *4215:A *5036:A 6.37152e-05
4 *4300:A *5036:A 0.0002817
5 *325:10 *5036:A 0.000173288
6 *326:11 *5036:A 0.000104271
7 *784:10 *5036:A 6.49003e-05
8 *836:13 *5036:A 0.00104607
*RES
1 *4931:LO *5036:A 31.423
*END
*D_NET *852 0.0041094
*CONN
*I *5037:A I *D sky130_fd_sc_hd__ebufn_8
*I *4932:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5037:A 0
2 *4932:LO 0.00138046
3 *852:11 0.00138046
4 *852:11 *5032:A 0
5 *852:11 *874:10 3.69003e-05
6 *4340:A *852:11 2.81584e-05
7 *5066:TE_B *852:11 0
8 *325:33 *852:11 0.000128902
9 *331:31 *852:11 0.00115452
*RES
1 *4932:LO *852:11 43.5565
2 *852:11 *5037:A 9.24915
*END
*D_NET *853 0.00266088
*CONN
*I *5038:A I *D sky130_fd_sc_hd__ebufn_8
*I *4933:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5038:A 0.000424745
2 *4933:LO 0.000424745
3 *5038:A *5108:A 5.97576e-05
4 *5038:A *942:14 0.000223719
5 *4475:A *5038:A 9.75356e-05
6 *4479:A *5038:A 6.08467e-05
7 *4479:B *5038:A 6.50727e-05
8 *237:12 *5038:A 0.000317707
9 *338:117 *5038:A 0.000534345
10 *342:34 *5038:A 1.55995e-05
11 *436:26 *5038:A 0.000436809
*RES
1 *4933:LO *5038:A 45.8714
*END
*D_NET *854 0.00160512
*CONN
*I *5039:A I *D sky130_fd_sc_hd__ebufn_8
*I *4934:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5039:A 0.000682413
2 *4934:LO 0.000682413
3 la1_data_out[7] *5039:A 0
4 *4274:A *5039:A 6.32454e-05
5 *5039:TE_B *5039:A 0.000137565
6 *5076:TE_B *5039:A 3.94829e-05
7 *325:34 *5039:A 0
*RES
1 *4934:LO *5039:A 44.3116
*END
*D_NET *855 0.0281541
*CONN
*I *5040:A I *D sky130_fd_sc_hd__ebufn_8
*I *4935:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5040:A 0
2 *4935:LO 0.00383353
3 *855:14 0.00383353
4 *855:14 *4629:C1 0.00047644
5 *855:14 *5049:A 0
6 *855:14 *5099:A 0.00756047
7 *855:14 *917:24 0.00119641
8 *855:14 *1005:29 0.000498488
9 *4567:B *855:14 2.59473e-05
10 *4568:B *855:14 7.52884e-05
11 *4703:A *855:14 2.02035e-05
12 *4898:D *855:14 0
13 *248:19 *855:14 1.16429e-05
14 *330:106 *855:14 2.66879e-05
15 *379:30 *855:14 0.00140886
16 *397:15 *855:14 0.000420098
17 *490:55 *855:14 6.46117e-05
18 *529:26 *855:14 0.000221104
19 *556:28 *855:14 0.00739415
20 *557:17 *855:14 1.57664e-05
21 *557:53 *855:14 0.000644869
22 *574:81 *855:14 5.83513e-05
23 *580:16 *855:14 5.93953e-05
24 *601:62 *855:14 0.000154139
25 *643:19 *855:14 0
26 *644:9 *855:14 0
27 *776:8 *855:14 0.000154139
*RES
1 *4935:LO *855:14 45.3427
2 *855:14 *5040:A 9.24915
*END
*D_NET *856 0.0187401
*CONN
*I *5041:A I *D sky130_fd_sc_hd__ebufn_8
*I *4936:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5041:A 0.000319567
2 *4936:LO 0.000179312
3 *856:9 0.00195249
4 *856:8 0.00181223
5 *5041:A *5044:A 0.00042332
6 *5041:A *5081:A 1.37385e-05
7 *5041:A *888:12 0.000155012
8 *856:9 *860:11 0
9 *856:9 *881:25 0.000138597
10 *856:9 *883:9 0.000339883
11 io_out[17] *5041:A 0
12 *5041:TE_B *5041:A 0
13 *319:37 *856:9 3.70615e-05
14 *321:23 *856:9 0.00124934
15 *330:60 *856:9 0.00177242
16 *338:34 *856:9 0.00222472
17 *340:54 *856:9 0.0013326
18 *340:69 *856:9 0.00206539
19 *345:46 *856:9 2.99978e-05
20 *347:22 *856:8 0
21 *573:79 *856:9 0.0041832
22 *592:30 *856:8 0.000207578
23 *719:9 *856:9 0.00030367
*RES
1 *4936:LO *856:8 22.5727
2 *856:8 *856:9 111.09
3 *856:9 *5041:A 28.2819
*END
*D_NET *857 0.00123157
*CONN
*I *5042:A I *D sky130_fd_sc_hd__ebufn_8
*I *4937:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5042:A 0.000345172
2 *4937:LO 0.000345172
3 *5042:TE_B *5042:A 0.000168843
4 *337:26 *5042:A 0.000372379
5 *760:8 *5042:A 0
*RES
1 *4937:LO *5042:A 39.0583
*END
*D_NET *858 0.00963792
*CONN
*I *4749:B I *D sky130_fd_sc_hd__and2_1
*I *5156:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4749:B 1.08326e-05
2 *5156:X 0.00114841
3 *858:22 0.00110436
4 *858:13 0.00224194
5 *858:13 *870:13 8.58173e-05
6 *858:22 *5069:A 0.000404561
7 *858:22 *945:17 0.00018176
8 io_oeb[23] *858:22 1.62258e-05
9 *4749:A *858:22 5.73392e-05
10 *4761:A *858:13 0.00020502
11 *4799:A *858:13 0.000623304
12 *5069:TE_B *858:22 6.50727e-05
13 *5156:A *858:13 0
14 *296:13 *858:22 0.000167306
15 *302:23 *858:13 1.55995e-05
16 *307:32 *858:22 3.60933e-06
17 *320:33 *858:22 1.39119e-05
18 *329:23 *858:13 6.92705e-05
19 *347:52 *858:13 6.94894e-05
20 *592:30 *858:22 0.000141234
21 *667:13 *858:22 0.002251
22 *674:16 *858:22 0.000440809
23 *698:35 *858:22 5.0715e-05
24 *698:46 *4749:B 6.64392e-05
25 *698:46 *858:22 5.51483e-06
26 *767:21 *4749:B 3.49478e-05
27 *767:21 *858:22 0.000163428
*RES
1 *5156:X *858:13 42.6632
2 *858:13 *858:22 46.6984
3 *858:22 *4749:B 14.4725
*END
*D_NET *859 0.000948836
*CONN
*I *5043:A I *D sky130_fd_sc_hd__ebufn_8
*I *4938:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5043:A 0.00033428
2 *4938:LO 0.00033428
3 *5043:A *891:8 0
4 la1_data_out[2] *5043:A 0
5 *326:13 *5043:A 0.000280276
*RES
1 *4938:LO *5043:A 37.4001
*END
*D_NET *860 0.0226194
*CONN
*I *5044:A I *D sky130_fd_sc_hd__ebufn_8
*I *4939:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5044:A 0.000538081
2 *4939:LO 0.000460067
3 *860:11 0.0021041
4 *860:10 0.00202609
5 *5044:A *888:12 8.70652e-05
6 *860:10 *869:13 5.28741e-05
7 *860:10 *883:8 0
8 *4808:A *860:10 0
9 *5041:A *5044:A 0.00042332
10 *305:8 *860:10 1.79024e-05
11 *321:23 *860:11 0.000171426
12 *326:92 *5044:A 0
13 *329:108 *5044:A 0.000117741
14 *340:53 *860:10 0.000235097
15 *341:18 *860:11 5.03285e-05
16 *345:46 *860:11 0.000137763
17 *345:68 *860:10 4.87301e-05
18 *348:9 *860:11 0.000202245
19 *702:9 *860:11 0.000409671
20 *703:9 *860:11 0.0076346
21 *703:15 *860:10 0
22 *779:9 *860:11 0.00790231
23 *856:9 *860:11 0
*RES
1 *4939:LO *860:10 29.6642
2 *860:10 *860:11 116.081
3 *860:11 *5044:A 34.65
*END
*D_NET *861 0.00352752
*CONN
*I *5045:A I *D sky130_fd_sc_hd__ebufn_8
*I *4940:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5045:A 0.00131574
2 *4940:LO 0.00131574
3 *5045:A *5123:A 9.82896e-06
4 *5045:A *913:8 0
5 la1_data_out[13] *5045:A 4.7451e-05
6 *5123:TE_B *5045:A 0.000470571
7 *341:17 *5045:A 5.04879e-05
8 *345:76 *5045:A 0.000317707
*RES
1 *4940:LO *5045:A 49.7595
*END
*D_NET *862 0.00644185
*CONN
*I *5046:A I *D sky130_fd_sc_hd__ebufn_8
*I *4941:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5046:A 0
2 *4941:LO 0.00105304
3 *862:25 0.00105304
4 *862:25 *4773:B 6.86098e-05
5 *862:25 *888:12 0.00195268
6 *862:25 *940:23 8.62625e-06
7 *5046:TE_B *862:25 1.14836e-05
8 *5126:TE_B *862:25 1.49935e-05
9 *326:99 *862:25 0.00198585
10 *329:69 *862:25 5.69728e-05
11 *337:95 *862:25 0.000117446
12 *347:22 *862:25 1.68338e-05
13 *592:30 *862:25 5.38728e-05
14 *621:94 *862:25 4.84017e-05
15 *674:16 *862:25 0
*RES
1 *4941:LO *862:25 41.5846
2 *862:25 *5046:A 9.24915
*END
*D_NET *863 0.00170015
*CONN
*I *5047:A I *D sky130_fd_sc_hd__ebufn_8
*I *4942:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5047:A 0.000443399
2 *4942:LO 0.000443399
3 *5047:A *5055:A 0
4 la1_data_out[23] *5047:A 0.000169093
5 *5047:TE_B *5047:A 7.88559e-05
6 *5055:TE_B *5047:A 0.000116971
7 *325:21 *5047:A 0.000430366
8 *344:28 *5047:A 0
9 *348:37 *5047:A 1.80647e-05
*RES
1 *4942:LO *5047:A 41.9892
*END
*D_NET *864 0.00138335
*CONN
*I *5048:A I *D sky130_fd_sc_hd__ebufn_8
*I *4943:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5048:A 0.000358041
2 *4943:LO 0.000358041
3 *5048:A *932:7 0.000353672
4 *4475:A *5048:A 7.14746e-05
5 *338:117 *5048:A 0.000242119
6 *760:8 *5048:A 0
*RES
1 *4943:LO *5048:A 35.8728
*END
*D_NET *865 0.0341496
*CONN
*I *5049:A I *D sky130_fd_sc_hd__ebufn_8
*I *4944:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5049:A 0.00222963
2 *4944:LO 0.000987943
3 *865:47 0.00446629
4 *865:22 0.00414042
5 *865:13 0.00289171
6 *5049:A *1002:25 0.000163072
7 *865:13 *902:11 2.36701e-05
8 *865:22 *1009:27 0.00150912
9 *865:47 *4720:D 7.94607e-05
10 *865:47 *4723:A 8.26454e-05
11 *865:47 *4728:A 0.000118724
12 *865:47 *4745:A 1.74104e-05
13 *865:47 *917:24 0.000422874
14 *865:47 *974:21 2.00098e-05
15 *865:47 *975:15 2.92718e-05
16 *865:47 *987:16 4.57241e-06
17 *4459:B1 *5049:A 0.00134663
18 *4480:B1 *5049:A 0.000535134
19 *4564:A *865:47 3.42709e-05
20 *4664:B *865:13 0
21 *4703:A *865:13 0.000389761
22 *4709:A *865:22 0
23 *4725:A2 *865:22 1.07529e-05
24 *4725:A2 *865:47 0.000314359
25 *4725:B1 *865:22 8.94611e-05
26 *4725:B1 *865:47 2.57465e-06
27 *4852:D *865:47 5.04829e-06
28 *84:17 *865:22 0
29 *226:25 *865:22 4.15661e-05
30 *227:19 *865:22 1.17185e-05
31 *341:67 *865:47 0.000398145
32 *341:70 *865:22 1.91168e-05
33 *341:70 *865:47 2.60935e-05
34 *379:42 *865:47 0.00232368
35 *397:15 *5049:A 0.000121681
36 *397:15 *865:47 4.88528e-05
37 *400:54 *5049:A 0.000537346
38 *402:23 *865:22 1.15279e-05
39 *443:25 *5049:A 4.97804e-05
40 *513:14 *865:22 3.92985e-05
41 *514:16 *865:22 0.000251548
42 *520:26 *865:47 1.66771e-05
43 *523:10 *865:47 2.1203e-06
44 *527:30 *865:47 9.30782e-06
45 *531:21 *865:47 0.000177723
46 *534:33 *865:22 0.00272993
47 *539:19 *865:47 6.39153e-06
48 *561:10 *865:22 0.00108038
49 *565:29 *865:22 0
50 *565:29 *865:47 4.83562e-06
51 *576:61 *865:22 0.000276014
52 *576:65 *865:13 0
53 *580:45 *865:22 0.000143828
54 *582:35 *5049:A 3.91468e-05
55 *582:35 *865:47 1.5714e-05
56 *587:41 *5049:A 0.000190421
57 *587:41 *865:47 0.00178835
58 *592:64 *5049:A 0.0032049
59 *592:64 *865:47 1.29337e-05
60 *601:62 *5049:A 2.02035e-05
61 *610:14 *865:22 0.00049567
62 *640:13 *865:22 1.78895e-05
63 *644:49 *865:47 3.81654e-05
64 *647:26 *865:47 1.71324e-05
65 *776:8 *5049:A 2.02035e-05
66 *829:60 *865:47 0
67 *829:78 *865:47 4.64586e-05
68 *840:15 *865:22 0
69 *855:14 *5049:A 0
*RES
1 *4944:LO *865:13 35.8474
2 *865:13 *865:22 40.0878
3 *865:22 *865:47 48.0667
4 *865:47 *5049:A 25.6195
*END
*D_NET *866 0.00462249
*CONN
*I *5050:A I *D sky130_fd_sc_hd__ebufn_8
*I *4945:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5050:A 3.5247e-05
2 *4945:LO 0.00170803
3 *866:9 0.00174327
4 *866:9 *5123:A 0.000195169
5 *866:9 *913:8 0.000110421
6 io_oeb[34] *866:9 0
7 la1_data_out[18] *866:9 7.04973e-05
8 *5136:A *866:9 2.61012e-05
9 *5136:TE_B *866:9 0.000508852
10 *326:106 *866:9 0.000224899
*RES
1 *4945:LO *866:9 49.3568
2 *866:9 *5050:A 10.2378
*END
*D_NET *867 0.009885
*CONN
*I *5051:A I *D sky130_fd_sc_hd__ebufn_8
*I *4946:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5051:A 0.000414664
2 *4946:LO 0.000423043
3 *867:13 0.00167707
4 *867:7 0.00168545
5 *5051:A *4457:A1 0.000440512
6 *4432:A2 *867:13 0.0004664
7 *4433:B *867:13 7.14746e-05
8 *4478:B1 *5051:A 4.97617e-05
9 *4820:CLK *867:13 6.94589e-05
10 *4821:D *867:13 0.000595757
11 *215:9 *867:13 0
12 *233:13 *5051:A 8.3506e-05
13 *237:35 *5051:A 6.3082e-06
14 *394:43 *867:13 0.00102077
15 *423:8 *867:13 4.90476e-05
16 *441:43 *5051:A 5.73392e-05
17 *441:49 *5051:A 6.63489e-05
18 *442:17 *5051:A 0.000608998
19 *442:17 *867:13 0.000123352
20 *450:23 *867:13 0
21 *610:5 *867:7 0.000667879
22 *827:20 *867:13 0.00052014
23 *827:24 *867:13 0.000226281
24 *827:114 *867:13 0.000561445
*RES
1 *4946:LO *867:7 21.1278
2 *867:7 *867:13 49.2208
3 *867:13 *5051:A 26.8661
*END
*D_NET *868 0.00125295
*CONN
*I *5052:A I *D sky130_fd_sc_hd__ebufn_8
*I *4947:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5052:A 0.000471732
2 *4947:LO 0.000471732
3 *4239:A *5052:A 0.000271044
4 *4274:A *5052:A 3.84458e-05
*RES
1 *4947:LO *5052:A 38.6728
*END
*D_NET *869 0.0145719
*CONN
*I *4726:B I *D sky130_fd_sc_hd__and2_1
*I *5157:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4726:B 4.59636e-05
2 *5157:X 0.00148571
3 *869:13 0.000917094
4 *869:12 0.00102898
5 *869:7 0.00164356
6 *869:7 *913:11 0.00188727
7 io_oeb[15] *869:12 0
8 io_oeb[19] *869:12 0.000254405
9 *4319:A *869:7 0.000190542
10 *4773:A *869:13 0.000333464
11 *4774:A *869:13 0.000143116
12 *5121:TE_B *869:13 0.000483474
13 *319:28 *869:13 0.000404547
14 *340:38 *869:12 0
15 *340:53 *869:12 0
16 *344:22 *869:13 0.000532369
17 *344:41 *869:13 0.00251955
18 *345:58 *869:13 6.22868e-05
19 *345:68 *869:13 0.000783478
20 *345:76 *869:7 7.48633e-05
21 *345:83 *869:7 5.07314e-05
22 *621:94 *4726:B 7.95448e-05
23 *621:94 *869:13 9.187e-06
24 *653:11 *4726:B 1.32897e-05
25 *653:11 *869:13 0.00157562
26 *860:10 *869:13 5.28741e-05
*RES
1 *5157:X *869:7 49.9281
2 *869:7 *869:12 13.7388
3 *869:12 *869:13 51.1923
4 *869:13 *4726:B 11.13
*END
*D_NET *870 0.0273853
*CONN
*I *5053:A I *D sky130_fd_sc_hd__ebufn_8
*I *4948:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5053:A 0.000294376
2 *4948:LO 0.00422894
3 *870:13 0.00452332
4 *5053:A *5081:A 9.83112e-05
5 *5053:A *888:12 1.91246e-05
6 *870:13 *881:25 0
7 *870:13 *906:14 0.000892488
8 *870:13 *919:14 1.34264e-05
9 *870:13 *922:14 0.0117174
10 *870:13 *945:10 0
11 *4778:A *870:13 0.000355431
12 *5044:TE_B *5053:A 0
13 *5053:TE_B *5053:A 0.000228593
14 *5081:TE_B *5053:A 0
15 *5156:A *870:13 0
16 *307:22 *870:13 0.000435838
17 *326:77 *5053:A 3.31733e-05
18 *329:108 *5053:A 0
19 *336:11 *870:13 8.26812e-05
20 *336:25 *870:13 0.00027632
21 *336:73 *870:13 0.00126325
22 *338:9 *870:13 6.85238e-06
23 *347:52 *870:13 4.81015e-05
24 *606:8 *5053:A 2.48286e-05
25 *685:16 *870:13 0.00265798
26 *759:37 *5053:A 9.9028e-05
27 *858:13 *870:13 8.58173e-05
*RES
1 *4948:LO *870:13 46.43
2 *870:13 *5053:A 23.5743
*END
*D_NET *871 0.0119201
*CONN
*I *5054:A I *D sky130_fd_sc_hd__ebufn_8
*I *4949:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5054:A 0.000518636
2 *4949:LO 0.000200973
3 *871:11 0.00113555
4 *871:8 0.000817891
5 *871:11 *883:9 0.00386533
6 *4808:A *871:8 8.62921e-05
7 *5046:TE_B *5054:A 8.5985e-05
8 *307:32 *5054:A 0.00036437
9 *321:16 *871:8 0.000362073
10 *321:21 *871:11 0
11 *321:23 *871:11 2.71024e-05
12 *328:75 *5054:A 0.000468459
13 *329:75 *5054:A 7.19237e-05
14 *336:25 *5054:A 1.92172e-05
15 *340:54 *871:11 0.00178479
16 *340:69 *5054:A 0
17 *340:69 *871:11 0.00183874
18 *807:17 *5054:A 9.97753e-05
19 *824:32 *5054:A 0.000172971
*RES
1 *4949:LO *871:8 25.0642
2 *871:8 *871:11 49.5917
3 *871:11 *5054:A 29.8108
*END
*D_NET *872 0.00145401
*CONN
*I *5055:A I *D sky130_fd_sc_hd__ebufn_8
*I *4950:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5055:A 0.000370006
2 *4950:LO 0.000370006
3 la1_data_out[23] *5055:A 6.50727e-05
4 *5047:A *5055:A 0
5 *326:23 *5055:A 0.000118804
6 *343:31 *5055:A 0.000530123
*RES
1 *4950:LO *5055:A 39.7764
*END
*D_NET *873 0.00193468
*CONN
*I *5056:A I *D sky130_fd_sc_hd__ebufn_8
*I *4951:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5056:A 0.000398055
2 *4951:LO 0.000398055
3 *5056:A *4662:A 0.000207266
4 *5056:A *5108:A 0.000581485
5 *5056:A *924:8 0
6 *5056:A *942:14 0.000169093
7 *4472:A *5056:A 7.89747e-05
8 *330:121 *5056:A 2.33334e-05
9 *453:10 *5056:A 7.84205e-05
*RES
1 *4951:LO *5056:A 41.9945
*END
*D_NET *874 0.00494988
*CONN
*I *5057:A I *D sky130_fd_sc_hd__ebufn_8
*I *4952:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5057:A 0.000735035
2 *4952:LO 0.000377056
3 *874:10 0.00111209
4 *5057:A *878:13 2.42273e-05
5 io_oeb[8] *5057:A 0.00115455
6 io_out[2] *874:10 0.000435258
7 la1_data_out[29] *5057:A 1.41291e-05
8 *5061:TE_B *5057:A 0.000168843
9 *154:12 *5057:A 8.47646e-05
10 *326:23 *874:10 0.000403511
11 *348:43 *874:10 0.000403511
12 *852:11 *874:10 3.69003e-05
*RES
1 *4952:LO *874:10 36.0463
2 *874:10 *5057:A 33.29
*END
*D_NET *875 0.00132931
*CONN
*I *5058:A I *D sky130_fd_sc_hd__ebufn_8
*I *4953:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5058:A 0.000457707
2 *4953:LO 0.000457707
3 la1_data_out[26] *5058:A 8.11463e-06
4 *5058:TE_B *5058:A 0.00027859
5 *343:56 *5058:A 0.000127194
*RES
1 *4953:LO *5058:A 39.8972
*END
*D_NET *876 0.00207668
*CONN
*I *5059:A I *D sky130_fd_sc_hd__ebufn_8
*I *4954:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5059:A 0.000617986
2 *4954:LO 0.000617986
3 *4251:A *5059:A 0.000324151
4 *4302:A *5059:A 6.08467e-05
5 *5059:TE_B *5059:A 5.0715e-05
6 *338:51 *5059:A 1.84293e-05
7 *339:14 *5059:A 0.000191541
8 *341:6 *5059:A 0
9 *341:17 *5059:A 0
10 *345:83 *5059:A 1.72818e-05
11 *345:85 *5059:A 0.000112672
12 *833:15 *5059:A 6.50727e-05
*RES
1 *4954:LO *5059:A 45.3277
*END
*D_NET *877 0.00220469
*CONN
*I *5060:A I *D sky130_fd_sc_hd__ebufn_8
*I *4955:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5060:A 0.000538804
2 *4955:LO 0.000538804
3 *5060:A *5093:A 0.000185992
4 *5060:A *938:11 0.000336155
5 io_oeb[33] *5060:A 0
6 *4221:A *5060:A 8.96178e-05
7 *5133:A *5060:A 0
8 *87:8 *5060:A 0.000332493
9 *337:31 *5060:A 0.000122378
10 *738:9 *5060:A 5.04829e-06
11 *784:10 *5060:A 5.53934e-05
*RES
1 *4955:LO *5060:A 48.0489
*END
*D_NET *878 0.00356955
*CONN
*I *5061:A I *D sky130_fd_sc_hd__ebufn_8
*I *4956:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5061:A 0
2 *4956:LO 0.000774241
3 *878:13 0.000774241
4 *878:13 *5066:A 0.000174175
5 io_oeb[22] *878:13 2.43671e-05
6 io_out[2] *878:13 0
7 la1_data_out[29] *878:13 3.20069e-06
8 *4253:A *878:13 0.000217937
9 *4340:A *878:13 0.000264598
10 *5057:A *878:13 2.42273e-05
11 *5061:TE_B *878:13 0.000175485
12 *5066:TE_B *878:13 5.07314e-05
13 *154:12 *878:13 0.00027329
14 *348:59 *878:13 0.000127179
15 *768:5 *878:13 0.000685873
*RES
1 *4956:LO *878:13 44.5555
2 *878:13 *5061:A 9.24915
*END
*D_NET *879 0.000486347
*CONN
*I *5062:A I *D sky130_fd_sc_hd__ebufn_8
*I *4957:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5062:A 0.000126128
2 *4957:LO 0.000126128
3 *5062:TE_B *5062:A 5.12215e-05
4 *338:117 *5062:A 0.000182869
5 *760:8 *5062:A 0
*RES
1 *4957:LO *5062:A 31.854
*END
*D_NET *880 0.0213196
*CONN
*I *4702:B I *D sky130_fd_sc_hd__and2_1
*I *5158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4702:B 0
2 *5158:X 0.00111116
3 *880:37 0.00295209
4 *880:18 0.00406325
5 *880:18 *953:11 0.000515356
6 *880:37 *4758:A 3.07726e-05
7 *880:37 *4768:D 0.000309803
8 *880:37 *966:21 0.000188711
9 *880:37 *1004:67 5.46889e-05
10 *880:37 *1026:49 0.00111583
11 *4258:A *880:18 2.86353e-06
12 *4651:A *880:18 0.00071255
13 *320:52 *880:37 1.85963e-05
14 *321:33 *880:18 0.000308335
15 *334:31 *880:37 3.16897e-05
16 *335:10 *880:18 3.65986e-05
17 *341:56 *880:37 1.00981e-05
18 *513:60 *880:37 0
19 *518:37 *880:37 9.6423e-05
20 *600:60 *880:37 0.00484233
21 *601:21 *880:18 0.000599863
22 *601:62 *880:18 0.000360159
23 *609:94 *880:37 0.000243714
24 *638:19 *880:37 8.92347e-05
25 *672:19 *880:37 0.000317721
26 *689:31 *880:37 2.77625e-06
27 *704:25 *880:37 1.6917e-05
28 *832:132 *880:18 1.8549e-05
29 *833:36 *880:37 0.00326954
*RES
1 *5158:X *880:18 46.752
2 *880:18 *880:37 49.2106
3 *880:37 *4702:B 9.24915
*END
*D_NET *881 0.0265323
*CONN
*I *5063:A I *D sky130_fd_sc_hd__ebufn_8
*I *4958:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5063:A 7.32611e-05
2 *4958:LO 0.00537632
3 *881:25 0.00544958
4 *5063:A *5081:A 7.22861e-05
5 *881:25 *5084:A 0.000563532
6 *881:25 *5091:A 0.000148467
7 *881:25 *888:12 0.000709814
8 *881:25 *897:15 0.00310336
9 *881:25 *906:14 5.77123e-05
10 *881:25 *908:15 1.97381e-05
11 *881:25 *940:23 0.000444687
12 io_out[27] *881:25 4.50402e-05
13 *4779:B *881:25 0.000389748
14 *4808:A *881:25 1.51692e-05
15 *4923:D *881:25 4.96366e-05
16 *5041:TE_B *5063:A 6.8703e-05
17 *324:82 *881:25 0.000151253
18 *326:92 *881:25 0.0052188
19 *330:60 *881:25 0.000166538
20 *338:9 *881:25 5.59855e-05
21 *338:28 *881:25 8.68557e-05
22 *347:17 *881:25 0
23 *513:73 *881:25 0.00186573
24 *674:19 *881:25 0.000114268
25 *703:15 *881:25 0
26 *719:9 *881:25 0.000110257
27 *838:16 *881:25 0.00203698
28 *856:9 *881:25 0.000138597
29 *870:13 *881:25 0
*RES
1 *4958:LO *881:25 49.8861
2 *881:25 *5063:A 19.9881
*END
*D_NET *882 0.0248659
*CONN
*I *5064:A I *D sky130_fd_sc_hd__ebufn_8
*I *4959:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5064:A 0
2 *4959:LO 0.00407584
3 *882:14 0.00407584
4 *882:14 *919:14 0
5 *882:14 *1004:67 0.00160537
6 *4914:D *882:14 0.000231721
7 *4921:D *882:14 0
8 *5156:A *882:14 2.1558e-06
9 *301:16 *882:14 3.92985e-05
10 *302:23 *882:14 0.000822704
11 *303:19 *882:14 2.66133e-05
12 *315:19 *882:14 0.00405428
13 *321:16 *882:14 1.91391e-05
14 *329:20 *882:14 3.20069e-06
15 *331:14 *882:14 0.00162035
16 *331:68 *882:14 0.000442469
17 *331:113 *882:14 0.000315976
18 *334:16 *882:14 0.000620058
19 *346:40 *882:14 0.00297294
20 *347:49 *882:14 2.63961e-05
21 *600:60 *882:14 4.18568e-05
22 *669:22 *882:14 0.00021277
23 *687:36 *882:14 9.36962e-05
24 *742:36 *882:14 0.00356323
*RES
1 *4959:LO *882:14 43.0041
2 *882:14 *5064:A 9.24915
*END
*D_NET *883 0.0119886
*CONN
*I *5065:A I *D sky130_fd_sc_hd__ebufn_8
*I *4960:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5065:A 0.000362735
2 *4960:LO 0.000113044
3 *883:9 0.00127181
4 *883:8 0.00102212
5 *5065:A *4779:A 1.32727e-05
6 *4779:B *5065:A 4.92264e-05
7 *4808:A *883:8 5.50692e-05
8 *305:24 *883:9 0.000227875
9 *306:16 *883:9 0.0027421
10 *319:37 *883:9 0.00179557
11 *324:89 *5065:A 4.15661e-05
12 *340:69 *883:9 8.90486e-05
13 *856:9 *883:9 0.000339883
14 *860:10 *883:8 0
15 *871:11 *883:9 0.00386533
*RES
1 *4960:LO *883:8 20.9116
2 *883:8 *883:9 60.066
3 *883:9 *5065:A 24.6489
*END
*D_NET *884 0.00155897
*CONN
*I *5066:A I *D sky130_fd_sc_hd__ebufn_8
*I *4961:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5066:A 0.000562426
2 *4961:LO 0.000562426
3 *5066:A *5094:A 5.7582e-05
4 io_oeb[22] *5066:A 3.51249e-05
5 *4292:A *5066:A 3.65842e-05
6 *4343:A *5066:A 5.9708e-05
7 *5066:TE_B *5066:A 5.39463e-05
8 *5090:TE_B *5066:A 0
9 *348:59 *5066:A 1.69932e-05
10 *878:13 *5066:A 0.000174175
*RES
1 *4961:LO *5066:A 40.4406
*END
*D_NET *885 0.000623501
*CONN
*I *5067:A I *D sky130_fd_sc_hd__ebufn_8
*I *4962:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5067:A 0.000311751
2 *4962:LO 0.000311751
3 *336:81 *5067:A 0
*RES
1 *4962:LO *5067:A 34.6271
*END
*D_NET *886 0.00991808
*CONN
*I *5068:A I *D sky130_fd_sc_hd__ebufn_8
*I *4963:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5068:A 0.00108933
2 *4963:LO 0.000814039
3 *886:12 0.00190337
4 *5068:A *1035:8 0.000221185
5 *5068:A *1035:42 0.000227969
6 *5068:A *1050:38 0.000119104
7 *5068:A *1056:10 0.000470122
8 *4416:A *886:12 0.000190057
9 *4419:A2 *886:12 3.96884e-05
10 *4421:B *5068:A 2.22654e-05
11 *4421:B *886:12 6.61114e-05
12 *4430:B *886:12 9.48222e-05
13 *4463:A1 *5068:A 0.000405695
14 *4463:B1 *5068:A 0.00015822
15 *4620:A *5068:A 3.19586e-05
16 *4824:D *886:12 7.70172e-06
17 *214:27 *5068:A 2.1603e-05
18 *237:12 *886:12 0.00047399
19 *330:121 *886:12 6.77244e-05
20 *338:116 *5068:A 3.52699e-05
21 *346:104 *886:12 0
22 *364:10 *886:12 0.000439988
23 *413:64 *5068:A 1.43499e-05
24 *413:80 *886:12 0.000334095
25 *414:60 *886:12 0.00118573
26 *436:26 *5068:A 3.20325e-05
27 *439:49 *5068:A 1.43983e-05
28 *444:33 *5068:A 6.23101e-05
29 *574:20 *5068:A 0.000120794
30 *580:100 *5068:A 3.02488e-05
31 *828:8 *5068:A 0.000648277
32 *828:95 *5068:A 0.000200251
33 *828:105 *5068:A 0.000375388
*RES
1 *4963:LO *886:12 49.6908
2 *886:12 *5068:A 41.6222
*END
*D_NET *887 0.00306559
*CONN
*I *5069:A I *D sky130_fd_sc_hd__ebufn_8
*I *4964:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5069:A 0.00104851
2 *4964:LO 0.00104851
3 *3:16 *5069:A 0.00035652
4 *296:13 *5069:A 3.99086e-06
5 *326:99 *5069:A 1.01044e-05
6 *328:75 *5069:A 9.66954e-05
7 *838:16 *5069:A 9.66954e-05
8 *858:22 *5069:A 0.000404561
*RES
1 *4964:LO *5069:A 45.4432
*END
*D_NET *888 0.0290327
*CONN
*I *5070:A I *D sky130_fd_sc_hd__ebufn_8
*I *4965:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5070:A 2.74935e-05
2 *4965:LO 0.00367529
3 *888:12 0.00370279
4 *888:12 *5081:A 0.000535039
5 *888:12 *5084:A 0.000255352
6 *888:12 *897:15 0.00938808
7 *888:12 *900:15 1.91391e-05
8 *888:12 *908:15 0.000283653
9 *888:12 *940:23 0.00765586
10 io_out[37] *5070:A 0.000164829
11 *4643:A1 *888:12 0.000115394
12 *5041:A *888:12 0.000155012
13 *5044:A *888:12 8.70652e-05
14 *5053:A *888:12 1.91246e-05
15 *5091:TE_B *888:12 0.000108607
16 *326:99 *888:12 7.18447e-05
17 *329:108 *888:12 0
18 *748:15 *5070:A 7.22498e-05
19 *838:16 *888:12 3.34036e-05
20 *862:25 *888:12 0.00195268
21 *881:25 *888:12 0.000709814
*RES
1 *4965:LO *888:12 47.9456
2 *888:12 *5070:A 15.5817
*END
*D_NET *889 0.00065829
*CONN
*I *5071:A I *D sky130_fd_sc_hd__ebufn_8
*I *4966:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5071:A 0.000313966
2 *4966:LO 0.000313966
3 *347:70 *5071:A 3.03588e-05
*RES
1 *4966:LO *5071:A 32.6874
*END
*D_NET *890 0.00106558
*CONN
*I *5072:A I *D sky130_fd_sc_hd__ebufn_8
*I *4967:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5072:A 0.000292117
2 *4967:LO 0.000292117
3 *5072:TE_B *5072:A 4.12533e-05
4 *328:20 *5072:A 0.000135825
5 *334:56 *5072:A 0.000139435
6 *768:5 *5072:A 0.000164829
*RES
1 *4967:LO *5072:A 34.9002
*END
*D_NET *891 0.0127819
*CONN
*I *4676:B I *D sky130_fd_sc_hd__and2_1
*I *5159:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4676:B 1.26553e-05
2 *5159:X 0.000283835
3 *891:8 0.0054213
4 *891:7 0.00569248
5 *891:8 *4683:A 0
6 *891:8 *4696:D 0.00013115
7 *891:8 *995:23 0
8 *891:8 *996:8 0
9 la1_data_out[11] *891:8 0.000130777
10 *4519:A *891:8 7.60448e-05
11 *4677:A *4676:B 2.65667e-05
12 *4681:B *891:8 0.000175689
13 *4683:B *891:8 0.000160384
14 *4686:A *891:8 1.78514e-05
15 *4874:D *891:8 0
16 *5043:A *891:8 0
17 *275:11 *891:8 0
18 *328:41 *891:7 0.00048111
19 *341:72 *891:8 0
20 *442:38 *891:8 4.38078e-05
21 *457:34 *891:8 6.32133e-05
22 *475:22 *891:8 0
23 *825:47 *891:8 0
24 *826:13 *4676:B 6.50586e-05
*RES
1 *5159:X *891:7 18.9094
2 *891:7 *891:8 135.543
3 *891:8 *4676:B 14.4725
*END
*D_NET *892 0.000889402
*CONN
*I *5073:A I *D sky130_fd_sc_hd__ebufn_8
*I *4968:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5073:A 0.000300833
2 *4968:LO 0.000300833
3 *336:99 *5073:A 0.000287737
*RES
1 *4968:LO *5073:A 34.9002
*END
*D_NET *893 0.00900868
*CONN
*I *5074:A I *D sky130_fd_sc_hd__ebufn_8
*I *4969:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5074:A 0.000599892
2 *4969:LO 0.000408906
3 *893:8 0.00175084
4 *893:7 0.00155986
5 *893:8 *932:8 0.0026382
6 *893:8 *1004:109 0.000300971
7 io_oeb[6] *893:8 4.75721e-06
8 la1_data_out[16] *893:8 2.31746e-05
9 la1_data_out[24] *893:8 4.75721e-06
10 la1_data_out[6] *893:8 7.56859e-06
11 *337:12 *893:8 0.00116952
12 *343:56 *893:8 0
13 *375:28 *5074:A 0.000391603
14 *436:55 *5074:A 1.98996e-05
15 *752:11 *5074:A 0.000128736
*RES
1 *4969:LO *893:7 21.6824
2 *893:7 *893:8 52.0775
3 *893:8 *5074:A 27.2525
*END
*D_NET *894 0.00406554
*CONN
*I *5075:A I *D sky130_fd_sc_hd__ebufn_8
*I *4970:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5075:A 0
2 *4970:LO 0.00111982
3 *894:13 0.00111982
4 *894:13 *5124:A 0
5 io_oeb[14] *894:13 0.0008062
6 io_out[11] *894:13 1.36691e-05
7 io_out[26] *894:13 8.653e-05
8 io_out[30] *894:13 0.000112251
9 *4224:A *894:13 0
10 *5075:TE_B *894:13 0.000695282
11 *5116:TE_B *894:13 0.000111965
12 *331:31 *894:13 0
*RES
1 *4970:LO *894:13 47.7244
2 *894:13 *5075:A 9.24915
*END
*D_NET *895 0.00213353
*CONN
*I *5076:A I *D sky130_fd_sc_hd__ebufn_8
*I *4971:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5076:A 0.00101287
2 *4971:LO 0.00101287
3 io_oeb[22] *5076:A 6.50727e-05
4 *5124:TE_B *5076:A 0
5 *340:10 *5076:A 4.27148e-05
*RES
1 *4971:LO *5076:A 48.9343
*END
*D_NET *896 0.000717074
*CONN
*I *5077:A I *D sky130_fd_sc_hd__ebufn_8
*I *4972:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5077:A 0.000260232
2 *4972:LO 0.000260232
3 *5135:A *5077:A 0.000125695
4 *324:24 *5077:A 2.41274e-06
5 *324:54 *5077:A 6.85021e-05
6 *343:43 *5077:A 0
*RES
1 *4972:LO *5077:A 32.6874
*END
*D_NET *897 0.0303958
*CONN
*I *5081:A I *D sky130_fd_sc_hd__ebufn_8
*I *4973:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5081:A 0.000421578
2 *4973:LO 0.00350634
3 *897:15 0.00392792
4 *897:15 *900:15 0
5 *897:15 *940:23 0.000262845
6 io_out[17] *5081:A 0
7 *5041:A *5081:A 1.37385e-05
8 *5041:TE_B *5081:A 0
9 *5044:TE_B *5081:A 0
10 *5053:A *5081:A 9.83112e-05
11 *5063:A *5081:A 7.22861e-05
12 *324:118 *897:15 5.42014e-06
13 *326:92 *897:15 0.001151
14 *329:75 *897:15 0.00276036
15 *329:93 *897:15 0.00182727
16 *513:73 *897:15 0.00194445
17 *698:55 *897:15 0.000118134
18 *835:14 *897:15 0.000935433
19 *838:16 *897:15 0.000324219
20 *881:25 *897:15 0.00310336
21 *888:12 *5081:A 0.000535039
22 *888:12 *897:15 0.00938808
*RES
1 *4973:LO *897:15 46.7856
2 *897:15 *5081:A 31.1999
*END
*D_NET *898 0.00180541
*CONN
*I *5082:A I *D sky130_fd_sc_hd__ebufn_8
*I *4974:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5082:A 0.000681411
2 *4974:LO 0.000681411
3 *5082:TE_B *5082:A 2.61012e-05
4 *87:7 *5082:A 6.50727e-05
5 *343:56 *5082:A 0.000351415
*RES
1 *4974:LO *5082:A 44.2132
*END
*D_NET *899 0.000424759
*CONN
*I *5083:A I *D sky130_fd_sc_hd__ebufn_8
*I *4975:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5083:A 0.000176277
2 *4975:LO 0.000176277
3 *336:89 *5083:A 6.77426e-05
4 *336:91 *5083:A 4.46284e-06
*RES
1 *4975:LO *5083:A 31.854
*END
*D_NET *900 0.0238331
*CONN
*I *5084:A I *D sky130_fd_sc_hd__ebufn_8
*I *4976:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5084:A 0.00174427
2 *4976:LO 0.00198098
3 *900:15 0.00372526
4 *5084:A *906:14 2.93861e-06
5 *5084:A *908:15 0.00519453
6 *5084:A *940:23 0.000865701
7 *900:15 *906:14 0.000148589
8 *900:15 *908:15 0
9 la1_data_out[14] *5084:A 2.92689e-05
10 *4643:A1 *5084:A 0.00172293
11 *5156:A *900:15 0
12 *3:16 *5084:A 3.01255e-05
13 *321:16 *900:15 0
14 *326:92 *5084:A 6.45188e-05
15 *328:75 *900:15 0.000242762
16 *330:45 *5084:A 0.000295225
17 *330:45 *900:15 0.00208284
18 *336:38 *5084:A 4.69495e-06
19 *338:28 *5084:A 0.000191344
20 *341:25 *5084:A 0.000482307
21 *347:17 *900:15 0.00349333
22 *703:9 *900:15 0.00020476
23 *835:14 *900:15 0.000488743
24 *881:25 *5084:A 0.000563532
25 *888:12 *5084:A 0.000255352
26 *888:12 *900:15 1.91391e-05
27 *897:15 *900:15 0
*RES
1 *4976:LO *900:15 44.6248
2 *900:15 *5084:A 39.5318
*END
*D_NET *901 0.000393705
*CONN
*I *5085:A I *D sky130_fd_sc_hd__ebufn_8
*I *4977:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5085:A 0.000173168
2 *4977:LO 0.000173168
3 *336:81 *5085:A 4.73688e-05
*RES
1 *4977:LO *5085:A 31.854
*END
*D_NET *902 0.0145732
*CONN
*I *4796:B I *D sky130_fd_sc_hd__and2_1
*I *5160:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4796:B 0.000967784
2 *5160:X 0.000671671
3 *902:25 0.00318078
4 *902:11 0.00288467
5 *902:11 *4579:A 0.000107496
6 *902:25 *4558:A1 6.14756e-06
7 *902:25 *4710:A 0.000111722
8 *902:25 *989:7 6.50727e-05
9 *902:25 *1001:78 0.00052994
10 *4389:B2 *4796:B 4.17276e-05
11 *4389:C1 *4796:B 2.16355e-05
12 *4390:C1 *4796:B 0.000213725
13 *4443:B *902:25 0.000122238
14 *4596:C1 *4796:B 6.08467e-05
15 *4715:A *902:11 0.000113968
16 *4719:A *902:11 0.000307037
17 *4854:CLK *902:25 1.41291e-05
18 *4886:D *902:11 0.000410789
19 *283:15 *902:11 0.0014848
20 *285:14 *902:11 0.000136197
21 *382:23 *902:11 6.50727e-05
22 *402:14 *902:11 6.08467e-05
23 *457:80 *4796:B 0.000317707
24 *520:26 *902:25 6.33959e-05
25 *522:13 *902:25 0.00050853
26 *523:77 *902:25 0.000143303
27 *523:91 *4796:B 3.9195e-05
28 *565:29 *902:25 4.89898e-06
29 *565:49 *4796:B 0.00111939
30 *565:49 *902:25 0.00014881
31 *576:65 *902:11 0
32 *621:39 *902:25 0.000237457
33 *645:20 *902:25 0.000388527
34 *865:13 *902:11 2.36701e-05
*RES
1 *5160:X *902:11 49.0131
2 *902:11 *902:25 46.6771
3 *902:25 *4796:B 34.8634
*END
*D_NET *903 0.000749071
*CONN
*I *5086:A I *D sky130_fd_sc_hd__ebufn_8
*I *4978:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5086:A 0.000276725
2 *4978:LO 0.000276725
3 *326:13 *5086:A 0.000195621
*RES
1 *4978:LO *5086:A 35.1817
*END
*D_NET *904 0.00381024
*CONN
*I *5087:A I *D sky130_fd_sc_hd__ebufn_8
*I *4979:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5087:A 0
2 *4979:LO 0.000846645
3 *904:9 0.000846645
4 *904:9 *924:8 0
5 *904:9 *924:26 0.000204558
6 *904:9 *1053:8 0.000271548
7 *4430:A *904:9 0.000440512
8 *4479:B *904:9 0.00010565
9 *346:104 *904:9 0.00039502
10 *448:11 *904:9 0.000699664
*RES
1 *4979:LO *904:9 48.3617
2 *904:9 *5087:A 9.24915
*END
*D_NET *905 0.000655021
*CONN
*I *5088:A I *D sky130_fd_sc_hd__ebufn_8
*I *4980:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5088:A 0.000292053
2 *4980:LO 0.000292053
3 *4282:A *5088:A 7.09148e-05
*RES
1 *4980:LO *5088:A 32.6874
*END
*D_NET *906 0.0190465
*CONN
*I *5089:A I *D sky130_fd_sc_hd__ebufn_8
*I *4981:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5089:A 0
2 *4981:LO 0.00283836
3 *906:14 0.00283836
4 *906:14 *908:15 0.000245007
5 *4778:A *906:14 0.00515604
6 *4911:D *906:14 3.46213e-05
7 *5084:A *906:14 2.93861e-06
8 *5156:A *906:14 0
9 *3:16 *906:14 0.00347707
10 *320:33 *906:14 2.08864e-05
11 *336:25 *906:14 0.00205463
12 *338:28 *906:14 0.00126064
13 *594:11 *906:14 1.91391e-05
14 *870:13 *906:14 0.000892488
15 *881:25 *906:14 5.77123e-05
16 *900:15 *906:14 0.000148589
*RES
1 *4981:LO *906:14 42.6328
2 *906:14 *5089:A 9.24915
*END
*D_NET *907 0.000707215
*CONN
*I *5090:A I *D sky130_fd_sc_hd__ebufn_8
*I *4982:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5090:A 0.000328523
2 *4982:LO 0.000328523
3 *5090:A *5094:A 1.21461e-06
4 *4307:A *5090:A 2.7961e-05
5 *340:24 *5090:A 2.09932e-05
*RES
1 *4982:LO *5090:A 33.242
*END
*D_NET *908 0.0240398
*CONN
*I *5091:A I *D sky130_fd_sc_hd__ebufn_8
*I *4983:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5091:A 0.000169514
2 *4983:LO 0.00316366
3 *908:15 0.00333317
4 *908:15 *922:14 0
5 *908:15 *940:23 9.81286e-05
6 *908:15 *945:10 3.67708e-05
7 *4911:D *908:15 0.00136992
8 *4923:D *5091:A 2.05867e-05
9 *5084:A *908:15 0.00519453
10 *3:16 *908:15 0.000100876
11 *306:16 *908:15 1.91246e-05
12 *320:33 *908:15 0.00253831
13 *326:99 *908:15 0.00312284
14 *330:45 *908:15 0.000226692
15 *336:25 *908:15 2.62977e-05
16 *336:38 *908:15 0.000815105
17 *338:28 *908:15 0.0030456
18 *573:15 *5091:A 2.23883e-05
19 *573:76 *5091:A 2.96516e-05
20 *698:46 *908:15 9.72326e-06
21 *835:14 *908:15 0
22 *881:25 *5091:A 0.000148467
23 *881:25 *908:15 1.97381e-05
24 *888:12 *908:15 0.000283653
25 *900:15 *908:15 0
26 *906:14 *908:15 0.000245007
*RES
1 *4983:LO *908:15 46.6546
2 *908:15 *5091:A 21.6491
*END
*D_NET *909 0.00119186
*CONN
*I *5092:A I *D sky130_fd_sc_hd__ebufn_8
*I *4984:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5092:A 0.00026323
2 *4984:LO 0.00026323
3 *5092:A *939:13 6.50727e-05
4 *326:19 *5092:A 0.000445944
5 *343:31 *5092:A 0.000154387
*RES
1 *4984:LO *5092:A 38.2869
*END
*D_NET *910 0.00221114
*CONN
*I *5093:A I *D sky130_fd_sc_hd__ebufn_8
*I *4985:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5093:A 0.000604089
2 *4985:LO 0.000604089
3 *5093:A *5106:A 0
4 *4221:A *5093:A 0.000174175
5 *5060:A *5093:A 0.000185992
6 *5133:A *5093:A 0
7 *5133:TE_B *5093:A 0
8 *331:94 *5093:A 0.000642796
*RES
1 *4985:LO *5093:A 41.9679
*END
*D_NET *911 0.00219734
*CONN
*I *5094:A I *D sky130_fd_sc_hd__ebufn_8
*I *4986:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5094:A 0.000893605
2 *4986:LO 0.000893605
3 *4307:A *5094:A 8.44832e-05
4 *5066:A *5094:A 5.7582e-05
5 *5090:A *5094:A 1.21461e-06
6 *340:24 *5094:A 0.000266846
*RES
1 *4986:LO *5094:A 48.9287
*END
*D_NET *912 0.00120008
*CONN
*I *5095:A I *D sky130_fd_sc_hd__ebufn_8
*I *4987:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5095:A 0.000459972
2 *4987:LO 0.000459972
3 *4274:A *5095:A 2.08076e-05
4 *4288:A *5095:A 0.000169041
5 *5095:TE_B *5095:A 7.16512e-05
6 *336:99 *5095:A 1.86389e-05
*RES
1 *4987:LO *5095:A 38.9245
*END
*D_NET *913 0.0119926
*CONN
*I *4773:B I *D sky130_fd_sc_hd__and2_1
*I *5161:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4773:B 0.00140827
2 *5161:X 0.000674008
3 *913:11 0.00241442
4 *913:8 0.00168016
5 *913:11 *5100:A 0.00033061
6 *913:11 *5117:A 0.00011818
7 *913:11 *5123:A 0.000692331
8 *913:11 *940:23 0.000695917
9 io_oeb[34] *913:8 0
10 *4304:A *913:8 0
11 *4316:A *4773:B 2.44829e-05
12 *4319:A *913:11 0.00019207
13 *4334:A *913:8 0.000187454
14 *4773:A *4773:B 7.66853e-05
15 *5045:A *913:8 0
16 *5125:TE_B *4773:B 3.0394e-05
17 *5136:A *913:8 0
18 *5136:TE_B *913:8 0
19 *5161:A *913:8 6.07931e-05
20 *324:118 *4773:B 2.6512e-05
21 *340:53 *913:11 0.00102318
22 *341:17 *913:8 0
23 *342:6 *913:8 0.000177787
24 *345:58 *4773:B 7.03358e-05
25 *347:53 *913:8 4.27003e-05
26 *862:25 *4773:B 6.86098e-05
27 *866:9 *913:8 0.000110421
28 *869:7 *913:11 0.00188727
*RES
1 *5161:X *913:8 37.5217
2 *913:8 *913:11 48.2987
3 *913:11 *4773:B 31.5297
*END
*D_NET *914 0.00186182
*CONN
*I *5096:A I *D sky130_fd_sc_hd__ebufn_8
*I *4988:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5096:A 0.000510775
2 *4988:LO 0.000510775
3 *329:27 *5096:A 2.16355e-05
4 *329:28 *5096:A 0.00063111
5 *331:22 *5096:A 0.000187522
*RES
1 *4988:LO *5096:A 44.7353
*END
*D_NET *915 0.00130366
*CONN
*I *5097:A I *D sky130_fd_sc_hd__ebufn_8
*I *4989:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5097:A 0.000455744
2 *4989:LO 0.000455744
3 io_out[33] *5097:A 5.53934e-05
4 *5097:TE_B *5097:A 0.000209595
5 *343:56 *5097:A 0.000127179
*RES
1 *4989:LO *5097:A 39.8972
*END
*D_NET *916 0.0262248
*CONN
*I *5098:A I *D sky130_fd_sc_hd__ebufn_8
*I *4990:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5098:A 0.00013631
2 *4990:LO 0.00219672
3 *916:33 0.00314129
4 *916:32 0.00307682
5 *916:29 0.00226856
6 *5098:A *1004:5 0.000260388
7 *916:29 *4768:D 0.000222763
8 *916:29 *4769:B 1.05272e-06
9 *916:29 *1004:67 0.000102394
10 *916:32 *4793:B 3.5534e-06
11 *916:32 *4793:C 1.66626e-05
12 *916:33 *1004:39 0.000954361
13 *4653:A *916:29 3.5534e-06
14 *4653:B *916:29 0.00252377
15 *4758:B *916:29 2.57465e-06
16 *4868:D *5098:A 3.31745e-05
17 *4869:CLK *5098:A 0.000137293
18 *4869:CLK *916:33 0.000135262
19 *4926:CLK *916:33 8.14875e-05
20 *4926:D *916:33 2.50997e-05
21 *5152:A *916:29 2.9102e-05
22 *311:15 *916:29 0.000999494
23 *323:13 *916:33 0.00142156
24 *598:48 *916:29 0.000178127
25 *600:49 *916:29 4.89048e-05
26 *601:21 *916:33 0.000403112
27 *607:15 *916:33 9.16785e-05
28 *607:33 *916:32 7.39223e-06
29 *609:78 *916:32 6.83668e-05
30 *643:45 *916:29 6.78626e-06
31 *672:19 *916:29 0.000106261
32 *686:13 *916:29 0.0027804
33 *689:31 *916:29 0.000104003
34 *690:13 *916:29 0.00125382
35 *704:11 *916:33 8.82538e-05
36 *833:36 *916:29 0.000376224
37 *837:14 *916:29 0.00293826
*RES
1 *4990:LO *916:29 48.7844
2 *916:29 *916:32 6.12437
3 *916:32 *916:33 49.2512
4 *916:33 *5098:A 14.0115
*END
*D_NET *917 0.0308288
*CONN
*I *5099:A I *D sky130_fd_sc_hd__ebufn_8
*I *4991:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5099:A 0.00180618
2 *4991:LO 0.00248882
3 *917:24 0.004295
4 *5099:A *4553:B 0.00037947
5 *917:24 *4400:A 0
6 *917:24 *4650:A 5.76134e-05
7 *917:24 *1005:54 0.000100314
8 *4567:B *917:24 0.000328359
9 *4568:B *5099:A 0.00115604
10 *4641:B *917:24 0.000231051
11 *4650:B *917:24 0.000164339
12 *4653:A *917:24 3.60268e-05
13 *276:26 *917:24 0
14 *314:14 *917:24 0.000113222
15 *332:87 *917:24 0.000241009
16 *379:30 *917:24 0.000280294
17 *379:42 *917:24 6.9363e-05
18 *397:15 *917:24 0.00261446
19 *456:14 *5099:A 0.00432884
20 *556:28 *917:24 0.000168658
21 *557:17 *917:24 0.000272095
22 *579:49 *5099:A 0.000563354
23 *579:49 *917:24 0
24 *588:29 *917:24 0.0015647
25 *592:40 *917:24 4.97218e-05
26 *601:62 *5099:A 2.02035e-05
27 *608:40 *5099:A 4.49094e-05
28 *608:40 *917:24 0
29 *609:31 *917:24 0.000204635
30 *718:24 *917:24 5.01835e-05
31 *776:8 *5099:A 2.02035e-05
32 *855:14 *5099:A 0.00756047
33 *855:14 *917:24 0.00119641
34 *865:47 *917:24 0.000422874
*RES
1 *4991:LO *917:24 49.3904
2 *917:24 *5099:A 31.0884
*END
*D_NET *918 0.00102012
*CONN
*I *5100:A I *D sky130_fd_sc_hd__ebufn_8
*I *4992:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5100:A 0.000144695
2 *4992:LO 0.000144695
3 io_oeb[19] *5100:A 6.9507e-05
4 io_out[36] *5100:A 0
5 *340:53 *5100:A 0.00033061
6 *913:11 *5100:A 0.00033061
*RES
1 *4992:LO *5100:A 34.0725
*END
*D_NET *919 0.0242979
*CONN
*I *5101:A I *D sky130_fd_sc_hd__ebufn_8
*I *4993:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5101:A 0
2 *4993:LO 0.00370052
3 *919:14 0.00370052
4 *919:14 *922:14 0.000879883
5 *919:14 *945:10 7.86825e-06
6 *919:14 *1004:67 5.60804e-05
7 *4921:D *919:14 0.00788548
8 *318:16 *919:14 0.000195389
9 *329:20 *919:14 0.00267044
10 *330:66 *919:14 0.000160088
11 *334:16 *919:14 0.000217368
12 *336:73 *919:14 0.0003947
13 *337:95 *919:14 0.00020951
14 *572:28 *919:14 0.000160088
15 *697:16 *919:14 3.33882e-05
16 *699:16 *919:14 0.00236294
17 *762:16 *919:14 0.00165024
18 *870:13 *919:14 1.34264e-05
19 *882:14 *919:14 0
*RES
1 *4993:LO *919:14 44.5013
2 *919:14 *5101:A 9.24915
*END
*D_NET *920 0.00115212
*CONN
*I *5102:A I *D sky130_fd_sc_hd__ebufn_8
*I *4994:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5102:A 0.000246166
2 *4994:LO 0.000246166
3 *5102:A *5105:A 0
4 io_out[24] *5102:A 0
5 *5102:TE_B *5102:A 0.000113302
6 *325:19 *5102:A 0.000532383
7 *341:81 *5102:A 1.40978e-05
*RES
1 *4994:LO *5102:A 36.4543
*END
*D_NET *921 0.00198285
*CONN
*I *5103:A I *D sky130_fd_sc_hd__ebufn_8
*I *4995:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5103:A 0.000555007
2 *4995:LO 0.000555007
3 *324:24 *5103:A 0.000144798
4 *324:46 *5103:A 0.000153492
5 *343:43 *5103:A 0.000574552
6 *836:13 *5103:A 0
*RES
1 *4995:LO *5103:A 39.337
*END
*D_NET *922 0.0260463
*CONN
*I *5104:A I *D sky130_fd_sc_hd__ebufn_8
*I *4996:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5104:A 0
2 *4996:LO 0.00330688
3 *922:14 0.00330688
4 *4258:A *922:14 0.000203341
5 *318:16 *922:14 0.00319654
6 *329:20 *922:14 0.00022389
7 *330:66 *922:14 0.000203341
8 *344:24 *922:14 0
9 *697:16 *922:14 0.00239583
10 *699:16 *922:14 0.000573463
11 *762:16 *922:14 1.85963e-05
12 *835:14 *922:14 2.02035e-05
13 *870:13 *922:14 0.0117174
14 *908:15 *922:14 0
15 *919:14 *922:14 0.000879883
*RES
1 *4996:LO *922:14 45.6377
2 *922:14 *5104:A 9.24915
*END
*D_NET *923 0.00128392
*CONN
*I *5105:A I *D sky130_fd_sc_hd__ebufn_8
*I *4997:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5105:A 0.000435618
2 *4997:LO 0.000435618
3 *5102:A *5105:A 0
4 *5105:TE_B *5105:A 0.00013092
5 *341:80 *5105:A 0.00011048
6 *768:5 *5105:A 0.000171288
*RES
1 *4997:LO *5105:A 38.2222
*END
*D_NET *924 0.0148697
*CONN
*I *4392:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4347:A I *D sky130_fd_sc_hd__inv_2
*I *5162:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4392:A 0.000121359
2 *4347:A 1.98947e-05
3 *5162:X 0.00103676
4 *924:26 0.00165965
5 *924:11 0.00180694
6 *924:8 0.00205791
7 *924:7 0.00304885
8 *924:8 *942:14 9.70268e-05
9 *924:26 *942:14 0.000179286
10 *4285:A *924:7 0.000159586
11 *4285:A *924:8 0
12 *4362:A *924:26 0.000108186
13 *4424:B1 *924:26 5.60804e-05
14 *4430:A *924:26 7.92757e-06
15 *4462:B *924:8 0.000405614
16 *4462:B *924:26 0.000200629
17 *4463:B1 *924:26 7.48998e-06
18 *4479:B *924:8 3.3652e-05
19 *5056:A *924:8 0
20 *214:27 *924:26 0.000984795
21 *215:9 *924:26 0.000396078
22 *330:121 *924:8 0.000122098
23 *338:117 *924:8 0
24 *344:88 *4392:A 0.00029284
25 *344:88 *924:11 0.000111722
26 *346:104 *924:8 0
27 *346:104 *924:26 0.000398321
28 *346:108 *924:8 0
29 *349:10 *4347:A 2.16355e-05
30 *356:23 *924:11 5.04829e-06
31 *393:22 *924:26 0.000394264
32 *413:80 *924:26 0.000122873
33 *442:17 *4347:A 6.08467e-05
34 *448:11 *924:26 0.000120444
35 *451:10 *924:8 0.000513974
36 *454:70 *924:8 0.000113374
37 *904:9 *924:8 0
38 *904:9 *924:26 0.000204558
*RES
1 *5162:X *924:7 28.8922
2 *924:7 *924:8 55.8148
3 *924:8 *924:11 5.778
4 *924:11 *924:26 47.958
5 *924:26 *4347:A 14.4725
6 *924:11 *4392:A 12.7456
*END
*D_NET *925 0.00197185
*CONN
*I *5106:A I *D sky130_fd_sc_hd__ebufn_8
*I *4998:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5106:A 0.000705155
2 *4998:LO 0.000705155
3 io_oeb[33] *5106:A 0.000271044
4 io_out[13] *5106:A 0
5 *4312:A *5106:A 5.41377e-05
6 *5093:A *5106:A 0
7 *5133:TE_B *5106:A 0
8 *331:94 *5106:A 0.000236357
9 *346:108 *5106:A 0
10 *805:9 *5106:A 0
*RES
1 *4998:LO *5106:A 46.9859
*END
*D_NET *926 0.00067974
*CONN
*I *5107:A I *D sky130_fd_sc_hd__ebufn_8
*I *4999:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5107:A 0.000250497
2 *4999:LO 0.000250497
3 *5107:TE_B *5107:A 0.00015892
4 *336:89 *5107:A 1.98255e-05
*RES
1 *4999:LO *5107:A 34.6271
*END
*D_NET *927 0.00197466
*CONN
*I *5108:A I *D sky130_fd_sc_hd__ebufn_8
*I *5000:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5108:A 0.000437581
2 *5000:LO 0.000437581
3 *5038:A *5108:A 5.97576e-05
4 *5056:A *5108:A 0.000581485
5 *338:117 *5108:A 6.28743e-05
6 *342:34 *5108:A 0.000158371
7 *453:10 *5108:A 0.000237008
*RES
1 *5000:LO *5108:A 42.97
*END
*D_NET *928 0.000584587
*CONN
*I *5109:A I *D sky130_fd_sc_hd__ebufn_8
*I *5001:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5109:A 0.000246226
2 *5001:LO 0.000246226
3 io_oeb[34] *5109:A 0
4 *326:106 *5109:A 6.3657e-05
5 *345:76 *5109:A 2.84786e-05
*RES
1 *5001:LO *5109:A 32.3015
*END
*D_NET *929 0.00176519
*CONN
*I *5110:A I *D sky130_fd_sc_hd__ebufn_8
*I *5002:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5110:A 0.000620127
2 *5002:LO 0.000620127
3 io_oeb[8] *5110:A 0.000311261
4 *768:5 *5110:A 0.000171288
5 *788:11 *5110:A 4.23858e-05
*RES
1 *5002:LO *5110:A 41.7186
*END
*D_NET *930 0.000460004
*CONN
*I *5111:A I *D sky130_fd_sc_hd__ebufn_8
*I *5003:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5111:A 0.000189612
2 *5003:LO 0.000189612
3 *343:20 *5111:A 8.07794e-05
*RES
1 *5003:LO *5111:A 32.4086
*END
*D_NET *931 0.00148204
*CONN
*I *5112:A I *D sky130_fd_sc_hd__ebufn_8
*I *5004:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5112:A 0.00074102
2 *5004:LO 0.00074102
3 io_oeb[10] *5112:A 0
4 *345:85 *5112:A 0
*RES
1 *5004:LO *5112:A 42.2791
*END
*D_NET *932 0.0109956
*CONN
*I *5113:A I *D sky130_fd_sc_hd__ebufn_8
*I *5005:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5113:A 0.000608787
2 *5005:LO 0.000948003
3 *932:8 0.00212003
4 *932:7 0.00245924
5 *5113:A *1035:17 5.04829e-06
6 *4244:A *932:7 0.000158371
7 *4303:A *932:7 6.50727e-05
8 *5048:A *932:7 0.000353672
9 *330:121 *932:7 0.000742553
10 *337:12 *932:8 0.000189113
11 *452:33 *932:7 0.00040678
12 *791:11 *5113:A 0.000300738
13 *893:8 *932:8 0.0026382
*RES
1 *5005:LO *932:7 38.8751
2 *932:7 *932:8 52.908
3 *932:8 *5113:A 27.2284
*END
*D_NET *933 0.000520125
*CONN
*I *5114:A I *D sky130_fd_sc_hd__ebufn_8
*I *5006:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5114:A 0.000194674
2 *5006:LO 0.000194674
3 *338:117 *5114:A 0.000130777
4 *760:8 *5114:A 0
*RES
1 *5006:LO *5114:A 31.5781
*END
*D_NET *934 0.000410432
*CONN
*I *5115:A I *D sky130_fd_sc_hd__ebufn_8
*I *5007:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5115:A 0.000205216
2 *5007:LO 0.000205216
*RES
1 *5007:LO *5115:A 23.1039
*END
*D_NET *935 0.00180425
*CONN
*I *5032:A I *D sky130_fd_sc_hd__ebufn_8
*I *4927:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5032:A 0.000589877
2 *4927:LO 0.000589877
3 *5032:A *936:10 7.93324e-05
4 *5032:TE_B *5032:A 6.08467e-05
5 *5090:TE_B *5032:A 0
6 *788:11 *5032:A 0.000484316
7 *852:11 *5032:A 0
*RES
1 *4927:LO *5032:A 44.5875
*END
*D_NET *936 0.00347318
*CONN
*I *5116:A I *D sky130_fd_sc_hd__ebufn_8
*I *5008:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5116:A 0.00124227
2 *5008:LO 0.000256354
3 *936:10 0.00149862
4 *5032:A *936:10 7.93324e-05
5 *5116:TE_B *5116:A 0.000370801
6 *340:24 *936:10 2.57986e-05
*RES
1 *5008:LO *936:10 24.9571
2 *936:10 *5116:A 36.6176
*END
*D_NET *937 0.00122708
*CONN
*I *5117:A I *D sky130_fd_sc_hd__ebufn_8
*I *5009:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5117:A 0.000325694
2 *5009:LO 0.000325694
3 io_oeb[15] *5117:A 0
4 io_oeb[7] *5117:A 0.000365799
5 *344:8 *5117:A 9.17188e-05
6 *913:11 *5117:A 0.00011818
*RES
1 *5009:LO *5117:A 38.4981
*END
*D_NET *938 0.00322385
*CONN
*I *5118:A I *D sky130_fd_sc_hd__ebufn_8
*I *5010:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5118:A 0
2 *5010:LO 0.000850033
3 *938:11 0.000850033
4 *5060:A *938:11 0.000336155
5 *5118:TE_B *938:11 4.58003e-05
6 *5133:A *938:11 0
7 *87:8 *938:11 0.000969056
8 *340:24 *938:11 7.92757e-06
9 *343:55 *938:11 0.000164843
*RES
1 *5010:LO *938:11 45.3507
2 *938:11 *5118:A 9.24915
*END
*D_NET *939 0.00283184
*CONN
*I *5119:A I *D sky130_fd_sc_hd__ebufn_8
*I *5011:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5119:A 0
2 *5011:LO 0.000792866
3 *939:13 0.000792866
4 *5092:A *939:13 6.50727e-05
5 *154:12 *939:13 0.000328363
6 *326:19 *939:13 6.24655e-05
7 *326:23 *939:13 0.000436825
8 *343:31 *939:13 0.000169819
9 *725:10 *939:13 0.000183558
*RES
1 *5011:LO *939:13 42.8973
2 *939:13 *5119:A 9.24915
*END
*D_NET *940 0.0210503
*CONN
*I *5120:A I *D sky130_fd_sc_hd__ebufn_8
*I *5012:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5120:A 1.4129e-05
2 *5012:LO 0.00277276
3 *940:23 0.00278689
4 io_out[36] *940:23 3.93117e-06
5 *4643:A1 *940:23 5.13735e-05
6 *5084:A *940:23 0.000865701
7 *5126:TE_B *940:23 3.83492e-06
8 *326:99 *940:23 0.000934971
9 *330:45 *940:23 0.00262836
10 *351:97 *5120:A 1.91246e-05
11 *835:14 *940:23 5.37111e-05
12 *838:16 *940:23 0.00174941
13 *862:25 *940:23 8.62625e-06
14 *881:25 *940:23 0.000444687
15 *888:12 *940:23 0.00765586
16 *897:15 *940:23 0.000262845
17 *908:15 *940:23 9.81286e-05
18 *913:11 *940:23 0.000695917
*RES
1 *5012:LO *940:23 49.6306
2 *940:23 *5120:A 14.1278
*END
*D_NET *941 0.00128606
*CONN
*I *5121:A I *D sky130_fd_sc_hd__ebufn_8
*I *5013:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5121:A 0.000246653
2 *5013:LO 0.000246653
3 io_oeb[19] *5121:A 0.000152239
4 *326:99 *5121:A 0.000489932
5 *340:38 *5121:A 0.000150585
*RES
1 *5013:LO *5121:A 38.2278
*END
*D_NET *942 0.00430378
*CONN
*I *5122:A I *D sky130_fd_sc_hd__ebufn_8
*I *5014:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5122:A 0.000143542
2 *5014:LO 0.000652144
3 *942:14 0.000795685
4 *4462:B *942:14 0.00017648
5 *4479:A *942:14 6.50586e-05
6 *4479:B *942:14 6.92705e-05
7 *5038:A *942:14 0.000223719
8 *5056:A *942:14 0.000169093
9 *237:12 *942:14 6.44576e-05
10 *342:34 *942:14 0.00121508
11 *393:15 *5122:A 5.481e-05
12 *413:80 *942:14 0.000243648
13 *453:10 *942:14 0.000154474
14 *924:8 *942:14 9.70268e-05
15 *924:26 *942:14 0.000179286
*RES
1 *5014:LO *942:14 48.8418
2 *942:14 *5122:A 12.191
*END
*D_NET *943 0.00330295
*CONN
*I *5123:A I *D sky130_fd_sc_hd__ebufn_8
*I *5015:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5123:A 0.000332158
2 *5015:LO 0.000332158
3 io_oeb[21] *5123:A 0.000683029
4 io_oeb[34] *5123:A 0
5 *4319:A *5123:A 0.000692331
6 *5045:A *5123:A 9.82896e-06
7 *5123:TE_B *5123:A 5.56461e-05
8 *345:76 *5123:A 0.0003103
9 *866:9 *5123:A 0.000195169
10 *913:11 *5123:A 0.000692331
*RES
1 *5015:LO *5123:A 47.967
*END
*D_NET *944 0.00116133
*CONN
*I *5124:A I *D sky130_fd_sc_hd__ebufn_8
*I *5016:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5124:A 0.000401055
2 *5016:LO 0.000401055
3 io_oeb[14] *5124:A 0
4 *5124:TE_B *5124:A 0.000202396
5 *340:10 *5124:A 0
6 *345:85 *5124:A 0.000156823
7 *894:13 *5124:A 0
*RES
1 *5016:LO *5124:A 38.5714
*END
*D_NET *945 0.00550514
*CONN
*I *5125:A I *D sky130_fd_sc_hd__ebufn_8
*I *5017:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *5125:A 0
2 *5017:LO 0.000320473
3 *945:17 0.00111366
4 *945:10 0.00143413
5 *4228:A *945:17 6.08467e-05
6 *4257:A *945:17 0.000119629
7 *4776:A *945:17 0.000146026
8 *4808:A *945:17 0.000171273
9 *296:13 *945:17 0.000557492
10 *306:10 *945:17 0.000561665
11 *308:27 *945:17 0.000396879
12 *328:9 *945:17 0.000160617
13 *328:75 *945:17 1.93495e-05
14 *336:73 *945:17 9.84424e-06
15 *347:52 *945:10 3.14978e-05
16 *653:11 *945:17 6.50586e-05
17 *667:13 *945:17 0.000110306
18 *835:14 *945:10 0
19 *858:22 *945:17 0.00018176
20 *870:13 *945:10 0
21 *908:15 *945:10 3.67708e-05
22 *919:14 *945:10 7.86825e-06
*RES
1 *5017:LO *945:10 26.2028
2 *945:10 *945:17 42.5033
3 *945:17 *5125:A 9.24915
*END
*D_NET *946 0.00545758
*CONN
*I *4798:A I *D sky130_fd_sc_hd__and2_1
*I *4815:B I *D sky130_fd_sc_hd__or4_1
*I *4814:B I *D sky130_fd_sc_hd__and4_1
*I *4918:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4798:A 0.00039689
2 *4815:B 7.14857e-05
3 *4814:B 0.000160548
4 *4918:Q 0.000568758
5 *946:17 0.000601464
6 *946:8 0.000862395
7 *4798:A *4801:A 0
8 *4798:A *948:10 0
9 *4814:B *4809:A 7.97944e-05
10 *4814:B *4814:A 1.777e-05
11 *946:8 *963:6 0.000233002
12 *946:8 *963:11 8.62625e-06
13 *946:8 *1002:41 0.000185022
14 *946:8 *1004:39 0.000199753
15 *946:8 *1024:26 6.50586e-05
16 *4798:B *4798:A 0.00011818
17 *4817:A *946:8 5.68968e-05
18 *320:52 *946:8 3.88358e-05
19 *346:40 *4798:A 0.000175259
20 *346:40 *946:17 8.62625e-06
21 *526:8 *946:8 2.33068e-05
22 *594:11 *4798:A 7.64562e-05
23 *594:11 *946:8 9.06384e-05
24 *594:11 *946:17 5.40447e-05
25 *693:11 *4814:B 0.000313495
26 *697:16 *4798:A 4.82966e-05
27 *701:22 *4815:B 0.00011818
28 *707:16 *4798:A 1.77537e-06
29 *707:16 *946:8 0.000240082
30 *707:16 *946:17 8.45578e-05
31 *748:15 *4798:A 0.000426168
32 *759:28 *4814:B 0.000132219
*RES
1 *4918:Q *946:8 29.5926
2 *946:8 *4814:B 20.0186
3 *946:8 *946:17 3.07775
4 *946:17 *4815:B 15.0271
5 *946:17 *4798:A 26.0003
*END
*D_NET *947 0.00202442
*CONN
*I *4801:A I *D sky130_fd_sc_hd__and2_1
*I *4815:A I *D sky130_fd_sc_hd__or4_1
*I *4814:A I *D sky130_fd_sc_hd__and4_1
*I *4919:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4801:A 0.000313997
2 *4815:A 0
3 *4814:A 0.000115803
4 *4919:Q 4.49602e-05
5 *947:16 0.000391112
6 *947:5 0.000237879
7 *4801:A *948:10 2.24484e-05
8 *4814:A *4809:A 6.50727e-05
9 *947:16 *948:10 0.000130532
10 *4798:A *4801:A 0
11 *4814:B *4814:A 1.777e-05
12 *590:70 *4801:A 0.000116746
13 *698:65 *4801:A 0.000118166
14 *748:15 *4801:A 0.000224381
15 *759:28 *4801:A 1.07248e-05
16 *759:28 *4814:A 6.50586e-05
17 *759:28 *947:5 1.92336e-05
18 *759:28 *947:16 0.000130532
*RES
1 *4919:Q *947:5 9.97254
2 *947:5 *4814:A 12.2151
3 *947:5 *947:16 7.1625
4 *947:16 *4815:A 13.7491
5 *947:16 *4801:A 22.5361
*END
*D_NET *948 0.00413681
*CONN
*I *4803:A I *D sky130_fd_sc_hd__and2_1
*I *4814:D I *D sky130_fd_sc_hd__and4_1
*I *4815:D I *D sky130_fd_sc_hd__or4_1
*I *4920:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4803:A 0.000178844
2 *4814:D 0.000175885
3 *4815:D 2.16975e-05
4 *4920:Q 0.000293986
5 *948:19 0.000436003
6 *948:10 0.000396956
7 *4803:A *4805:A 0.000110477
8 *4803:A *949:8 0.00019099
9 *4814:D *4814:C 0.000200258
10 *4814:D *949:8 4.79289e-05
11 *4815:D *4815:C 5.04829e-06
12 *948:19 *4815:C 2.81262e-05
13 *4794:A *948:10 2.20663e-05
14 *4798:A *948:10 0
15 *4801:A *948:10 2.24484e-05
16 *4923:D *4803:A 0.000303138
17 *4923:D *4814:D 8.62625e-06
18 *4924:D *948:10 1.84293e-05
19 *347:9 *4815:D 0.000118166
20 *347:9 *948:19 0.000459901
21 *590:70 *948:10 9.78496e-06
22 *698:65 *4803:A 0.000217937
23 *701:22 *4815:D 2.61012e-05
24 *707:16 *948:10 0.000120864
25 *748:15 *4803:A 0.000217937
26 *759:28 *948:10 1.45475e-05
27 *759:37 *948:19 0.00036013
28 *832:105 *4814:D 0
29 *832:110 *4814:D 0
30 *947:16 *948:10 0.000130532
*RES
1 *4920:Q *948:10 25.3723
2 *948:10 *4815:D 10.5271
3 *948:10 *948:19 9.66022
4 *948:19 *4814:D 18.4879
5 *948:19 *4803:A 22.1209
*END
*D_NET *949 0.003846
*CONN
*I *4805:A I *D sky130_fd_sc_hd__and2_1
*I *4815:C I *D sky130_fd_sc_hd__or4_1
*I *4814:C I *D sky130_fd_sc_hd__and4_1
*I *4921:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4805:A 0.000364198
2 *4815:C 8.42679e-05
3 *4814:C 0.000171944
4 *4921:Q 0.000153297
5 *949:8 0.000426194
6 *949:7 0.000687476
7 *4805:A *4809:A 0
8 *4814:C *4809:A 0
9 *949:8 *4809:A 0
10 *4803:A *4805:A 0.000110477
11 *4803:A *949:8 0.00019099
12 *4814:D *4814:C 0.000200258
13 *4814:D *949:8 4.79289e-05
14 *4815:D *4815:C 5.04829e-06
15 *4922:D *949:7 0.000107496
16 *4922:D *949:8 2.55136e-05
17 *4923:D *4805:A 7.04952e-05
18 *5104:TE_B *4805:A 0.000530151
19 *590:70 *4814:C 0
20 *700:21 *4805:A 1.29348e-05
21 *700:21 *949:8 3.81416e-06
22 *701:11 *4815:C 8.90486e-05
23 *701:22 *4815:C 0.000200794
24 *759:37 *4815:C 0.000313481
25 *832:96 *949:7 2.20702e-05
26 *832:110 *4814:C 0
27 *948:19 *4815:C 2.81262e-05
*RES
1 *4921:Q *949:7 16.1364
2 *949:7 *949:8 4.73876
3 *949:8 *4814:C 18.0727
4 *949:8 *4815:C 18.3548
5 *949:7 *4805:A 25.0332
*END
*D_NET *950 0.004962
*CONN
*I *4807:A I *D sky130_fd_sc_hd__and2_1
*I *4816:B I *D sky130_fd_sc_hd__or4_1
*I *4813:B I *D sky130_fd_sc_hd__and4_1
*I *4922:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4807:A 0.000578857
2 *4816:B 4.18856e-05
3 *4813:B 0.000156372
4 *4922:Q 0.000165327
5 *950:8 0.000356414
6 *950:7 0.000902341
7 *4813:B *4813:C 9.03012e-05
8 *4813:B *4816:A 4.42142e-05
9 *4813:B *951:8 8.62625e-06
10 *4813:B *951:24 4.5068e-05
11 *950:8 *951:8 6.28484e-05
12 io_out[20] *4807:A 1.07248e-05
13 *4259:A *4807:A 0
14 *4619:A *4807:A 0.000212619
15 *4623:A *4807:A 3.31745e-05
16 *4807:B *4807:A 0.000863194
17 *4818:A2 *4813:B 0.000164829
18 *5064:TE_B *4813:B 0.000313481
19 *5064:TE_B *4816:B 6.50727e-05
20 *333:8 *4807:A 0
21 *333:21 *4807:A 0
22 *335:10 *4807:A 1.77537e-06
23 *335:10 *4813:B 7.4235e-06
24 *335:10 *950:8 5.84583e-05
25 *336:11 *4807:A 0.000217571
26 *338:9 *4807:A 1.65175e-05
27 *338:77 *4807:A 0.000200371
28 *338:77 *950:8 7.34861e-05
29 *346:15 *950:7 0.000271044
*RES
1 *4922:Q *950:7 16.691
2 *950:7 *950:8 4.73876
3 *950:8 *4813:B 19.935
4 *950:8 *4816:B 14.4725
5 *950:7 *4807:A 34.3137
*END
*D_NET *951 0.00577491
*CONN
*I *4813:A I *D sky130_fd_sc_hd__and4_1
*I *4809:A I *D sky130_fd_sc_hd__and2_1
*I *4816:A I *D sky130_fd_sc_hd__or4_1
*I *4923:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4813:A 0
2 *4809:A 0.00101483
3 *4816:A 0.000295983
4 *4923:Q 0.000115275
5 *951:24 0.00136843
6 *951:8 0.000764855
7 *4816:A *4813:C 0.000135997
8 *4816:A *4816:D 1.09738e-05
9 *4816:A *953:11 1.19856e-05
10 *4805:A *4809:A 0
11 *4809:B *4809:A 9.12416e-06
12 *4813:B *4816:A 4.42142e-05
13 *4813:B *951:8 8.62625e-06
14 *4813:B *951:24 4.5068e-05
15 *4814:A *4809:A 6.50727e-05
16 *4814:B *4809:A 7.97944e-05
17 *4814:C *4809:A 0
18 *4818:A3 *4809:A 0.000104944
19 *4818:A3 *951:24 2.18041e-06
20 *4923:D *4809:A 0
21 *5064:TE_B *951:24 0.000266832
22 *334:16 *951:8 4.31703e-05
23 *335:10 *4816:A 0
24 *338:77 *4816:A 0.000114679
25 *338:77 *951:8 6.64609e-05
26 *590:70 *4809:A 0.000987208
27 *700:21 *4809:A 0.000156355
28 *949:8 *4809:A 0
29 *950:8 *951:8 6.28484e-05
*RES
1 *4923:Q *951:8 16.4439
2 *951:8 *4816:A 19.9268
3 *951:8 *951:24 10.6489
4 *951:24 *4809:A 46.4248
5 *951:24 *4813:A 9.24915
*END
*D_NET *952 0.00609636
*CONN
*I *4816:D I *D sky130_fd_sc_hd__or4_1
*I *4813:D I *D sky130_fd_sc_hd__and4_1
*I *4811:A I *D sky130_fd_sc_hd__and2_1
*I *4924:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4816:D 0.000119627
2 *4813:D 9.04883e-05
3 *4811:A 0
4 *4924:Q 5.23777e-05
5 *952:25 0.00103345
6 *952:11 0.00123218
7 *952:7 0.000280244
8 *952:25 *4614:B 3.30161e-05
9 *952:25 *4615:A_N 7.4563e-05
10 *952:25 *4615:B 4.56831e-05
11 *952:25 *954:12 4.78118e-05
12 *952:25 *954:16 0.000278186
13 *952:25 *1002:41 0.000313733
14 *4816:A *4816:D 1.09738e-05
15 *4818:A2 *4813:D 0.00010234
16 *4818:A2 *952:25 0.000196576
17 *4818:B1 *952:25 0.000131599
18 *4818:B2 *952:25 0.000187026
19 *335:10 *4816:D 4.70652e-05
20 *336:125 *952:25 0.000656978
21 *338:77 *4813:D 0
22 *338:77 *4816:D 1.90817e-05
23 *351:23 *952:25 0.000122378
24 *351:44 *952:25 0.000567067
25 *709:11 *952:11 1.03403e-05
26 *832:122 *952:7 7.05188e-05
27 *832:122 *952:11 0.000373061
*RES
1 *4924:Q *952:7 10.9612
2 *952:7 *952:11 8.55102
3 *952:11 *952:25 45.9898
4 *952:25 *4811:A 9.24915
5 *952:11 *4813:D 15.9964
6 *952:7 *4816:D 20.9116
*END
*D_NET *953 0.0031294
*CONN
*I *4816:C I *D sky130_fd_sc_hd__or4_1
*I *4813:C I *D sky130_fd_sc_hd__and4_1
*I *4925:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4816:C 0
2 *4813:C 0.000217961
3 *4925:Q 0.000743051
4 *953:11 0.000961011
5 *4258:A *953:11 0
6 *4813:B *4813:C 9.03012e-05
7 *4816:A *4813:C 0.000135997
8 *4816:A *953:11 1.19856e-05
9 *4818:A2 *4813:C 0.000171288
10 *5064:TE_B *4813:C 6.24655e-05
11 *5064:TE_B *953:11 0.000167076
12 *335:10 *953:11 2.99353e-05
13 *708:14 *953:11 2.41274e-06
14 *832:122 *953:11 1.81618e-05
15 *832:132 *953:11 2.398e-06
16 *880:18 *953:11 0.000515356
*RES
1 *4925:Q *953:11 31.7867
2 *953:11 *4813:C 16.8689
3 *953:11 *4816:C 9.24915
*END
*D_NET *954 0.00452398
*CONN
*I *4615:B I *D sky130_fd_sc_hd__and2b_1
*I *4624:D I *D sky130_fd_sc_hd__and4b_1
*I *4614:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4694:A I *D sky130_fd_sc_hd__and2_1
*I *4926:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4615:B 4.47568e-05
2 *4624:D 5.3593e-05
3 *4614:A_N 0
4 *4694:A 0.000181864
5 *4926:Q 0.00030959
6 *954:22 0.000437283
7 *954:16 0.00045586
8 *954:12 0.000501196
9 *4615:B *4615:A_N 0.000107496
10 *4624:D *1024:13 0.000158371
11 *954:12 *4615:A_N 1.86178e-05
12 *954:16 *4615:A_N 0.000276803
13 *954:22 *4614:B 3.54474e-05
14 *954:22 *4615:A_N 5.60364e-06
15 *954:22 *1024:13 0.000154145
16 *4694:B *4694:A 0.000115934
17 *4818:B2 *4615:B 1.41291e-05
18 *4818:B2 *954:12 2.073e-05
19 *4926:D *954:12 0.000217937
20 *276:26 *954:22 1.5714e-05
21 *336:125 *954:12 3.52562e-05
22 *570:5 *4624:D 0.0002075
23 *570:5 *954:22 0.000164843
24 *573:15 *954:12 1.79872e-05
25 *598:34 *4615:B 0.000264586
26 *608:45 *4694:A 0.000293696
27 *632:18 *4694:A 4.33655e-05
28 *952:25 *4615:B 4.56831e-05
29 *952:25 *954:12 4.78118e-05
30 *952:25 *954:16 0.000278186
*RES
1 *4926:Q *954:12 20.1179
2 *954:12 *954:16 10.0693
3 *954:16 *954:22 14.7409
4 *954:22 *4694:A 16.6278
5 *954:22 *4614:A_N 9.24915
6 *954:16 *4624:D 11.7871
7 *954:12 *4615:B 16.691
*END
*D_NET *955 0.00587654
*CONN
*I *4791:B I *D sky130_fd_sc_hd__and4_1
*I *4792:B I *D sky130_fd_sc_hd__or4_1
*I *4775:A I *D sky130_fd_sc_hd__and2_1
*I *4909:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4791:B 7.31364e-05
2 *4792:B 0.000430236
3 *4775:A 0
4 *4909:Q 6.83415e-05
5 *955:22 0.00142976
6 *955:7 0.000994727
7 *4791:B *4791:A 0.000160738
8 *4792:B *4788:A 2.53098e-05
9 *4792:B *4791:A 6.1578e-06
10 *4792:B *958:10 0
11 *4792:B *959:8 1.51122e-05
12 *955:22 *956:10 6.1449e-05
13 *955:22 *958:10 0
14 *4910:CLK *955:7 2.41483e-05
15 *4910:CLK *955:22 0.00021699
16 *308:33 *955:22 0.000612013
17 *320:52 *955:7 0.00021569
18 *347:17 *4792:B 0.000286263
19 *347:17 *955:22 3.85006e-05
20 *683:15 *955:7 0.000112985
21 *683:15 *955:22 8.15039e-05
22 *693:11 *4792:B 5.22654e-06
23 *697:16 *4791:B 0.000470598
24 *697:16 *955:22 0.000453457
25 *743:11 *4792:B 1.33074e-05
26 *748:13 *4791:B 6.56121e-06
27 *748:15 *4791:B 3.07729e-05
28 *762:16 *955:22 1.94425e-05
29 *782:28 *4792:B 1.81081e-06
30 *830:213 *955:22 2.23058e-05
*RES
1 *4909:Q *955:7 12.7697
2 *955:7 *4775:A 9.24915
3 *955:7 *955:22 32.3393
4 *955:22 *4792:B 23.8373
5 *955:22 *4791:B 18.9094
*END
*D_NET *956 0.00520041
*CONN
*I *4791:A I *D sky130_fd_sc_hd__and4_1
*I *4792:A I *D sky130_fd_sc_hd__or4_1
*I *4777:A I *D sky130_fd_sc_hd__and2_1
*I *4910:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4791:A 0.000283306
2 *4792:A 2.3034e-05
3 *4777:A 0
4 *4910:Q 0.00053056
5 *956:21 0.000696551
6 *956:10 0.000920772
7 *4791:A *4792:C 2.15348e-05
8 *956:21 *4792:C 2.65667e-05
9 *956:21 *4792:D 6.08467e-05
10 *4777:B *956:21 0.000122378
11 *4778:A *956:10 0
12 *4791:B *4791:A 0.000160738
13 *4792:B *4791:A 6.1578e-06
14 *309:15 *956:21 1.41689e-05
15 *318:23 *956:21 0.000373047
16 *324:89 *956:10 0.00011818
17 *334:31 *956:21 6.50586e-05
18 *347:17 *4791:A 0.000246856
19 *688:17 *956:21 0.000158713
20 *690:19 *956:21 0.000143545
21 *697:16 *4791:A 1.58551e-05
22 *699:16 *956:10 5.84021e-05
23 *701:22 *956:21 0.000213725
24 *748:13 *4791:A 0.000464814
25 *748:13 *956:21 9.10682e-05
26 *762:16 *956:10 5.41186e-05
27 *782:28 *4791:A 0.000268964
28 *955:22 *956:10 6.1449e-05
*RES
1 *4910:Q *956:10 28.4184
2 *956:10 *4777:A 9.24915
3 *956:10 *956:21 22.3982
4 *956:21 *4792:A 9.82786
5 *956:21 *4791:A 29.702
*END
*D_NET *957 0.00555639
*CONN
*I *4791:D I *D sky130_fd_sc_hd__and4_1
*I *4792:D I *D sky130_fd_sc_hd__or4_1
*I *4779:A I *D sky130_fd_sc_hd__and2_1
*I *4911:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4791:D 0.000181036
2 *4792:D 0.000490939
3 *4779:A 0.000456383
4 *4911:Q 0
5 *957:9 0.00144286
6 *957:4 0.000676571
7 *4779:B *4779:A 9.12416e-06
8 *5065:A *4779:A 1.32727e-05
9 *5089:TE_B *4791:D 0.000160617
10 *5154:A *4791:D 6.65367e-05
11 *324:89 *4779:A 0.000146779
12 *337:82 *4779:A 0.000205762
13 *337:82 *957:9 0.000683027
14 *351:97 *4791:D 0
15 *609:10 *4791:D 5.30119e-05
16 *609:10 *957:9 7.60356e-05
17 *688:17 *4792:D 6.50727e-05
18 *690:19 *4779:A 3.56288e-05
19 *743:11 *4792:D 0.000575723
20 *782:28 *4792:D 0.000157163
21 *956:21 *4792:D 6.08467e-05
*RES
1 *4911:Q *957:4 9.24915
2 *957:4 *957:9 18.2106
3 *957:9 *4779:A 26.6237
4 *957:9 *4792:D 31.1858
5 *957:4 *4791:D 23.1595
*END
*D_NET *958 0.00267006
*CONN
*I *4791:C I *D sky130_fd_sc_hd__and4_1
*I *4782:A I *D sky130_fd_sc_hd__and2_1
*I *4792:C I *D sky130_fd_sc_hd__or4_1
*I *4912:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4791:C 0.000198417
2 *4782:A 0
3 *4792:C 0.000107323
4 *4912:Q 7.14967e-05
5 *958:10 0.000515794
6 *958:5 0.000678385
7 *4792:C *4788:A 4.23858e-05
8 *958:10 *959:8 0.000110473
9 *4791:A *4792:C 2.15348e-05
10 *4792:B *958:10 0
11 *347:9 *4792:C 3.40423e-05
12 *609:10 *958:10 0
13 *686:41 *958:10 9.12416e-06
14 *686:47 *4792:C 1.47978e-05
15 *688:17 *4792:C 0.00042026
16 *697:16 *4791:C 0.00030129
17 *697:16 *958:5 0.000118166
18 *955:22 *958:10 0
19 *956:21 *4792:C 2.65667e-05
*RES
1 *4912:Q *958:5 10.5271
2 *958:5 *958:10 16.6455
3 *958:10 *4792:C 14.4335
4 *958:10 *4782:A 9.24915
5 *958:5 *4791:C 12.7456
*END
*D_NET *959 0.00523566
*CONN
*I *4793:B I *D sky130_fd_sc_hd__or4_1
*I *4784:A I *D sky130_fd_sc_hd__and2_1
*I *4790:B I *D sky130_fd_sc_hd__and4_1
*I *4913:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4793:B 0.000352024
2 *4784:A 0
3 *4790:B 0.000935991
4 *4913:Q 0.000365633
5 *959:13 0.000992772
6 *959:8 0.000774439
7 *4790:B *4786:A 1.67271e-05
8 *4790:B *960:8 5.41292e-05
9 *4790:B *960:10 9.78353e-05
10 *4790:B *971:19 0
11 *4793:B *4788:A 7.81319e-05
12 *959:8 *4788:A 0.000160664
13 *4610:B *4790:B 0.000260388
14 *4784:B *4793:B 4.99151e-05
15 *4784:B *959:8 2.60935e-05
16 *4792:B *959:8 1.51122e-05
17 *4919:D *4790:B 0.000260388
18 *4919:D *959:13 5.08751e-05
19 *525:59 *4790:B 7.981e-05
20 *607:33 *4793:B 6.22114e-05
21 *609:10 *4793:B 0
22 *609:23 *4790:B 8.6127e-05
23 *609:78 *4793:B 1.87451e-05
24 *686:28 *4790:B 0
25 *686:41 *959:8 1.51223e-05
26 *687:14 *4790:B 5.44807e-05
27 *687:14 *959:13 1.43983e-05
28 *693:11 *4790:B 1.42855e-05
29 *700:21 *959:8 0.000263116
30 *743:11 *4790:B 2.2224e-05
31 *916:32 *4793:B 3.5534e-06
32 *958:10 *959:8 0.000110473
*RES
1 *4913:Q *959:8 21.845
2 *959:8 *959:13 6.21204
3 *959:13 *4790:B 38.4223
4 *959:13 *4784:A 9.24915
5 *959:8 *4793:B 20.8817
*END
*D_NET *960 0.00352941
*CONN
*I *4793:A I *D sky130_fd_sc_hd__or4_1
*I *4790:A I *D sky130_fd_sc_hd__and4_1
*I *4786:A I *D sky130_fd_sc_hd__and2_1
*I *4914:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4793:A 0.000348191
2 *4790:A 1.47608e-05
3 *4786:A 0.000229415
4 *4914:Q 0.000305699
5 *960:10 0.000465658
6 *960:8 0.000875372
7 *4793:A *4793:C 2.99287e-05
8 *4793:A *4793:D 9.97706e-05
9 *4793:A *961:7 0.000107496
10 *4571:B1 *4786:A 9.70097e-06
11 *4610:B *4790:A 6.08467e-05
12 *4786:B *4786:A 0.00013521
13 *4786:B *960:10 0.000237222
14 *4790:B *4786:A 1.67271e-05
15 *4790:B *960:8 5.41292e-05
16 *4790:B *960:10 9.78353e-05
17 *314:37 *4786:A 7.35866e-05
18 *316:47 *960:8 0.000160617
19 *350:59 *4786:A 4.82318e-05
20 *525:59 *4786:A 0
21 *598:48 *4790:A 6.08467e-05
22 *686:28 *4793:A 2.09984e-05
23 *686:28 *960:8 4.56325e-05
24 *686:28 *960:10 1.02764e-05
25 *704:25 *4793:A 2.12616e-05
*RES
1 *4914:Q *960:8 19.2824
2 *960:8 *960:10 5.77689
3 *960:10 *4786:A 18.9595
4 *960:10 *4790:A 14.4725
5 *960:8 *4793:A 19.9002
*END
*D_NET *961 0.0045773
*CONN
*I *4793:D I *D sky130_fd_sc_hd__or4_1
*I *4788:A I *D sky130_fd_sc_hd__and2_1
*I *4790:D I *D sky130_fd_sc_hd__and4_1
*I *4915:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4793:D 1.90187e-05
2 *4788:A 0.000565345
3 *4790:D 0.000374158
4 *4915:Q 6.16599e-05
5 *961:9 0.000939503
6 *961:7 8.06787e-05
7 *4788:A *4793:C 4.92053e-06
8 *4790:D *4790:C 3.20754e-05
9 *4790:D *4793:C 3.59283e-05
10 *4790:D *1025:17 3.66536e-05
11 *4610:B *4790:D 6.50586e-05
12 *4786:B *4790:D 6.31651e-05
13 *4792:B *4788:A 2.53098e-05
14 *4792:C *4788:A 4.23858e-05
15 *4793:A *4793:D 9.97706e-05
16 *4793:A *961:7 0.000107496
17 *4793:B *4788:A 7.81319e-05
18 *347:9 *4788:A 0.000555205
19 *598:34 *4790:D 9.32704e-05
20 *607:33 *4788:A 0.000115313
21 *607:33 *4793:D 6.50727e-05
22 *607:33 *961:7 0.000194763
23 *686:28 *4788:A 0.00043701
24 *686:28 *4790:D 8.03915e-05
25 *686:41 *4788:A 6.3657e-05
26 *686:47 *4788:A 0.000148372
27 *688:17 *4788:A 7.5301e-06
28 *704:25 *4793:D 1.92172e-05
29 *718:45 *4790:D 5.57646e-06
30 *959:8 *4788:A 0.000160664
*RES
1 *4915:Q *961:7 11.6605
2 *961:7 *961:9 4.5
3 *961:9 *4790:D 22.4356
4 *961:9 *4788:A 31.9801
5 *961:7 *4793:D 10.5271
*END
*D_NET *962 0.00143697
*CONN
*I *4790:C I *D sky130_fd_sc_hd__and4_1
*I *4793:C I *D sky130_fd_sc_hd__or4_1
*I *4916:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4790:C 0.000245845
2 *4793:C 0.000147686
3 *4916:Q 4.6301e-05
4 *962:7 0.000439832
5 *4790:C *1025:17 6.3609e-05
6 *4610:B *4790:C 0.000114518
7 *4788:A *4793:C 4.92053e-06
8 *4790:D *4790:C 3.20754e-05
9 *4790:D *4793:C 3.59283e-05
10 *4793:A *4793:C 2.99287e-05
11 *4917:CLK *4790:C 7.75915e-06
12 *340:77 *4790:C 0
13 *340:77 *4793:C 0
14 *525:59 *962:7 2.57847e-05
15 *566:7 *4790:C 4.31539e-05
16 *603:47 *4790:C 0.000118724
17 *607:33 *4793:C 2.1203e-06
18 *609:78 *4793:C 1.27831e-06
19 *704:25 *4793:C 6.08467e-05
20 *916:32 *4793:C 1.66626e-05
*RES
1 *4916:Q *962:7 14.4725
2 *962:7 *4793:C 17.6236
3 *962:7 *4790:C 20.4987
*END
*D_NET *963 0.0061516
*CONN
*I *4610:A I *D sky130_fd_sc_hd__and2_1
*I *4624:A_N I *D sky130_fd_sc_hd__and4b_1
*I *4615:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4614:B I *D sky130_fd_sc_hd__and2b_1
*I *4917:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4610:A 0.000364559
2 *4624:A_N 0
3 *4615:A_N 0.000249024
4 *4614:B 0.000163467
5 *4917:Q 0.000130145
6 *963:13 0.000412492
7 *963:11 0.000262141
8 *963:6 0.000756845
9 *4610:A *1024:26 0.000430352
10 *963:11 *4624:B 0.000785018
11 *963:11 *1002:41 1.96817e-05
12 *963:11 *1024:13 2.1801e-05
13 *963:11 *1024:26 0.000198584
14 *4615:B *4615:A_N 0.000107496
15 *4818:B2 *4615:A_N 0.000228466
16 *4862:D *4610:A 2.14842e-06
17 *276:26 *4615:A_N 0.000116788
18 *351:97 *4610:A 0.000106645
19 *570:5 *963:11 0.000579032
20 *571:35 *963:11 0.000155414
21 *594:11 *4610:A 0
22 *594:11 *963:6 0.000224376
23 *594:11 *963:11 6.97834e-05
24 *598:34 *4615:A_N 1.65872e-05
25 *608:45 *4614:B 6.50727e-05
26 *946:8 *963:6 0.000233002
27 *946:8 *963:11 8.62625e-06
28 *952:25 *4614:B 3.30161e-05
29 *952:25 *4615:A_N 7.4563e-05
30 *954:12 *4615:A_N 1.86178e-05
31 *954:16 *4615:A_N 0.000276803
32 *954:22 *4614:B 3.54474e-05
33 *954:22 *4615:A_N 5.60364e-06
*RES
1 *4917:Q *963:6 18.4879
2 *963:6 *963:11 18.8414
3 *963:11 *963:13 4.5
4 *963:13 *4614:B 17.135
5 *963:13 *4615:A_N 22.3968
6 *963:11 *4624:A_N 9.24915
7 *963:6 *4610:A 29.602
*END
*D_NET *964 0.00512295
*CONN
*I *4768:B I *D sky130_fd_sc_hd__and4_1
*I *4769:B I *D sky130_fd_sc_hd__or4_1
*I *4752:A I *D sky130_fd_sc_hd__and2_1
*I *4900:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4768:B 7.6077e-05
2 *4769:B 0.000212469
3 *4752:A 0.0001063
4 *4900:Q 0.00078714
5 *964:25 0.000774007
6 *964:12 0.0013789
7 *4768:B *1026:49 0.000111802
8 *4769:B *4769:A 3.13066e-05
9 *4769:B *967:16 2.55661e-06
10 *964:12 *4760:A 0
11 *964:12 *1004:67 2.16057e-05
12 *964:25 *4769:A 1.24189e-05
13 *964:25 *965:20 1.04881e-05
14 *316:26 *964:25 6.31082e-05
15 *318:16 *964:12 7.22263e-05
16 *346:53 *4752:A 0.000119994
17 *518:37 *4769:B 3.43177e-05
18 *607:65 *4769:B 0.000156734
19 *607:65 *964:25 5.78953e-05
20 *669:22 *4752:A 0.000228593
21 *675:20 *4752:A 0.000207266
22 *675:36 *4752:A 0.000246228
23 *683:15 *964:12 0.00016553
24 *687:36 *964:12 7.71732e-06
25 *767:21 *964:12 0
26 *830:53 *964:12 0.000137472
27 *830:53 *964:25 1.54479e-05
28 *830:60 *964:25 8.42947e-05
29 *916:29 *4769:B 1.05272e-06
*RES
1 *4900:Q *964:12 27.2088
2 *964:12 *4752:A 19.4881
3 *964:12 *964:25 9.20883
4 *964:25 *4769:B 17.9655
5 *964:25 *4768:B 15.0271
*END
*D_NET *965 0.00384664
*CONN
*I *4754:A I *D sky130_fd_sc_hd__and2_1
*I *4769:A I *D sky130_fd_sc_hd__or4_1
*I *4768:A I *D sky130_fd_sc_hd__and4_1
*I *4901:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4754:A 1.2417e-05
2 *4769:A 0.000178569
3 *4768:A 5.54535e-05
4 *4901:Q 0.000407433
5 *965:20 0.000524198
6 *965:10 0.000710026
7 *4768:A *1026:49 6.3657e-05
8 *4769:A *4758:A 6.08467e-05
9 *4769:A *4768:D 0.000107496
10 *4769:A *967:16 0.0001244
11 *965:10 *1004:67 5.01835e-05
12 *965:20 *967:8 0.000104338
13 *965:20 *967:16 1.25181e-05
14 *4760:B *965:10 1.43361e-05
15 *4769:B *4769:A 3.13066e-05
16 *4906:D *965:10 0
17 *316:26 *965:10 9.32704e-05
18 *316:26 *965:20 0.00011466
19 *518:37 *4769:A 1.45135e-05
20 *600:49 *965:20 0
21 *607:47 *4768:A 6.3657e-05
22 *676:32 *4754:A 2.15348e-05
23 *676:32 *965:20 0.000314972
24 *687:36 *965:10 0.000207883
25 *699:38 *4754:A 6.08467e-05
26 *699:38 *965:20 0.000373609
27 *830:18 *965:10 0.000101605
28 *964:25 *4769:A 1.24189e-05
29 *964:25 *965:20 1.04881e-05
*RES
1 *4901:Q *965:10 26.2056
2 *965:10 *965:20 14.0959
3 *965:20 *4768:A 15.2053
4 *965:20 *4769:A 18.1049
5 *965:10 *4754:A 9.97254
*END
*D_NET *966 0.00434631
*CONN
*I *4768:D I *D sky130_fd_sc_hd__and4_1
*I *4769:D I *D sky130_fd_sc_hd__or4_1
*I *4756:A I *D sky130_fd_sc_hd__and2_1
*I *4902:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4768:D 0.000316017
2 *4769:D 0
3 *4756:A 0.000573726
4 *4902:Q 0
5 *966:21 0.000596597
6 *966:4 0.000854305
7 *4768:D *4758:A 0.000106445
8 *4768:D *967:16 0.00011393
9 *966:21 *1026:49 4.42742e-06
10 *4653:B *966:21 0
11 *4756:B *4756:A 1.74351e-05
12 *4769:A *4768:D 0.000107496
13 *4891:D *4756:A 6.39153e-06
14 *346:62 *4756:A 3.02981e-05
15 *518:37 *4768:D 9.54357e-06
16 *518:37 *966:21 0.000254466
17 *567:15 *4756:A 0.000207266
18 *600:49 *4756:A 0.000118817
19 *600:49 *966:21 5.88009e-05
20 *607:65 *966:21 7.60899e-05
21 *621:78 *966:21 0.000170428
22 *689:31 *966:21 0
23 *830:61 *966:21 2.55661e-06
24 *880:37 *4768:D 0.000309803
25 *880:37 *966:21 0.000188711
26 *916:29 *4768:D 0.000222763
*RES
1 *4902:Q *966:4 9.24915
2 *966:4 *4756:A 27.875
3 *966:4 *966:21 16.9981
4 *966:21 *4769:D 9.24915
5 *966:21 *4768:D 28.6752
*END
*D_NET *967 0.00232059
*CONN
*I *4768:C I *D sky130_fd_sc_hd__and4_1
*I *4758:A I *D sky130_fd_sc_hd__and2_1
*I *4769:C I *D sky130_fd_sc_hd__or4_1
*I *4903:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4768:C 1.2835e-05
2 *4758:A 0.000102295
3 *4769:C 0
4 *4903:Q 0.000227409
5 *967:16 0.00028638
6 *967:8 0.00042433
7 *4768:C *1026:49 6.3657e-05
8 *4768:D *4758:A 0.000106445
9 *4768:D *967:16 0.00011393
10 *4769:A *4758:A 6.08467e-05
11 *4769:A *967:16 0.0001244
12 *4769:B *967:16 2.55661e-06
13 *518:37 *4758:A 0.000303331
14 *600:49 *967:8 0
15 *607:47 *4768:C 6.08467e-05
16 *670:18 *967:8 0.00016553
17 *672:19 *4758:A 0.000118166
18 *880:37 *4758:A 3.07726e-05
19 *965:20 *967:8 0.000104338
20 *965:20 *967:16 1.25181e-05
*RES
1 *4903:Q *967:8 18.2442
2 *967:8 *967:16 8.89679
3 *967:16 *4769:C 9.24915
4 *967:16 *4758:A 14.6023
5 *967:8 *4768:C 14.4725
*END
*D_NET *968 0.00425752
*CONN
*I *4770:B I *D sky130_fd_sc_hd__or4_1
*I *4767:B I *D sky130_fd_sc_hd__and4_1
*I *4760:A I *D sky130_fd_sc_hd__and2_1
*I *4904:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4770:B 0
2 *4767:B 0.000463747
3 *4760:A 0.000195618
4 *4904:Q 0.00028944
5 *968:19 0.000689829
6 *968:8 0.00071114
7 *4767:B *4767:A 0.000249113
8 *4760:B *968:8 0.000248983
9 *4909:CLK *4760:A 1.48503e-05
10 *4909:CLK *968:8 1.54479e-05
11 *301:16 *968:8 4.23622e-05
12 *318:23 *4760:A 0.000213739
13 *318:23 *968:19 0.000358863
14 *341:25 *968:8 8.77229e-05
15 *679:17 *4767:B 0.000401517
16 *688:41 *4767:B 6.14756e-06
17 *699:38 *4767:B 0.000115934
18 *762:16 *4760:A 7.09666e-06
19 *807:17 *4767:B 0.000145973
20 *964:12 *4760:A 0
*RES
1 *4904:Q *968:8 25.0642
2 *968:8 *4760:A 21.6378
3 *968:8 *968:19 4.05102
4 *968:19 *4767:B 29.6642
5 *968:19 *4770:B 9.24915
*END
*D_NET *969 0.00319404
*CONN
*I *4770:A I *D sky130_fd_sc_hd__or4_1
*I *4763:A I *D sky130_fd_sc_hd__and2_1
*I *4767:A I *D sky130_fd_sc_hd__and4_1
*I *4905:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4770:A 0.000197071
2 *4763:A 4.17289e-05
3 *4767:A 0.000407398
4 *4905:Q 4.44624e-05
5 *969:8 0.000449127
6 *969:6 0.000241533
7 *4767:A *4770:D 4.17656e-05
8 *4770:A *4770:C 0.000251846
9 *969:6 *4770:C 4.15661e-05
10 *4767:B *4767:A 0.000249113
11 *4771:A *4770:A 0.000160617
12 *4812:A *4767:A 2.35827e-05
13 *318:23 *4770:A 1.65872e-05
14 *513:60 *4770:A 4.33147e-05
15 *513:60 *969:6 1.48503e-05
16 *676:32 *4767:A 6.92705e-05
17 *679:17 *4767:A 2.23682e-05
18 *687:36 *4763:A 0.00024619
19 *687:36 *4767:A 0.00013323
20 *688:17 *4763:A 0.000260388
21 *688:17 *4767:A 0.000211464
22 *699:38 *4767:A 2.65667e-05
*RES
1 *4905:Q *969:6 14.7506
2 *969:6 *969:8 4.5
3 *969:8 *4767:A 28.9076
4 *969:8 *4763:A 12.191
5 *969:6 *4770:A 19.0748
*END
*D_NET *970 0.00421107
*CONN
*I *4765:A I *D sky130_fd_sc_hd__and2_1
*I *4767:D I *D sky130_fd_sc_hd__and4_1
*I *4770:D I *D sky130_fd_sc_hd__or4_1
*I *4906:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4765:A 6.77465e-05
2 *4767:D 0
3 *4770:D 0.000549805
4 *4906:Q 0.00046647
5 *970:15 0.000640122
6 *970:8 0.000624534
7 *4765:A *971:19 0.000158357
8 *4770:D *4770:C 0.000373802
9 *970:8 *4770:C 2.05972e-05
10 *970:15 *4767:C 6.35976e-05
11 *970:15 *4770:C 2.43314e-05
12 *970:15 *971:19 6.08467e-05
13 *4767:A *4770:D 4.17656e-05
14 *4771:A *4770:D 0.000167716
15 *4772:A1 *970:15 0
16 *4812:A *4770:D 3.29488e-05
17 *4812:A *970:15 2.55661e-06
18 *4919:D *970:8 0.000493634
19 *340:69 *4770:D 5.01835e-05
20 *513:60 *970:8 5.88009e-05
21 *592:40 *970:8 2.07321e-05
22 *676:32 *4765:A 0.000158357
23 *679:17 *4770:D 2.66676e-05
24 *699:38 *970:15 0.000107496
*RES
1 *4906:Q *970:8 22.1265
2 *970:8 *970:15 7.51229
3 *970:15 *4770:D 29.6911
4 *970:15 *4767:D 9.24915
5 *970:8 *4765:A 16.3145
*END
*D_NET *971 0.00550529
*CONN
*I *4770:C I *D sky130_fd_sc_hd__or4_1
*I *4767:C I *D sky130_fd_sc_hd__and4_1
*I *4907:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4770:C 0.00048286
2 *4767:C 1.77352e-05
3 *4907:Q 0.000585799
4 *971:19 0.00108639
5 *4765:A *971:19 0.000158357
6 *4765:B *971:19 6.50727e-05
7 *4770:A *4770:C 0.000251846
8 *4770:D *4770:C 0.000373802
9 *4771:A *4770:C 3.82278e-05
10 *4790:B *971:19 0
11 *4812:A *4770:C 5.1493e-06
12 *4914:CLK *971:19 0.000324166
13 *4914:D *971:19 3.13593e-05
14 *4919:D *971:19 0.000213725
15 *316:47 *971:19 0.000144501
16 *318:23 *4770:C 0.000213739
17 *331:76 *971:19 0.000259943
18 *340:69 *4770:C 1.54479e-05
19 *513:60 *4770:C 2.72559e-05
20 *609:23 *971:19 2.1203e-06
21 *676:32 *4767:C 0.00011818
22 *676:32 *971:19 0.00029557
23 *679:17 *4770:C 7.09879e-06
24 *699:38 *4767:C 2.83829e-05
25 *699:38 *971:19 0.000476148
26 *743:11 *971:19 7.14746e-05
27 *969:6 *4770:C 4.15661e-05
28 *970:8 *4770:C 2.05972e-05
29 *970:15 *4767:C 6.35976e-05
30 *970:15 *4770:C 2.43314e-05
31 *970:15 *971:19 6.08467e-05
*RES
1 *4907:Q *971:19 40.0763
2 *971:19 *4767:C 10.5271
3 *971:19 *4770:C 30.7734
*END
*D_NET *972 0.00396343
*CONN
*I *4612:A I *D sky130_fd_sc_hd__and2_1
*I *4551:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4561:D I *D sky130_fd_sc_hd__and4b_1
*I *4552:B I *D sky130_fd_sc_hd__and2b_1
*I *4908:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4612:A 0.00028367
2 *4551:A_N 1.26312e-05
3 *4561:D 0.000169975
4 *4552:B 0.000174446
5 *4908:Q 7.89174e-05
6 *972:10 0.000637635
7 *972:8 0.000475126
8 *972:7 0.000531868
9 *4551:A_N *1024:26 6.50727e-05
10 *4552:B *4552:A_N 0.00013521
11 *4561:D *4561:A_N 6.08467e-05
12 *4561:D *981:28 6.08467e-05
13 *4561:D *1012:33 0.000107181
14 *4772:B1 *4612:A 2.1203e-06
15 *258:29 *972:8 7.67318e-05
16 *350:61 *4551:A_N 2.65831e-05
17 *513:37 *4552:B 5.1493e-06
18 *513:37 *972:8 2.58814e-05
19 *513:37 *972:10 0.000103553
20 *513:48 *4612:A 7.835e-05
21 *513:48 *972:8 9.82202e-06
22 *513:60 *4612:A 9.12416e-06
23 *557:53 *4552:B 0.000158371
24 *566:7 *972:7 0.000107496
25 *587:41 *4552:B 0.000159331
26 *587:41 *972:10 0.0001822
27 *588:29 *4552:B 9.56135e-05
28 *592:40 *4612:A 4.01433e-05
29 *592:40 *972:8 4.54509e-05
30 *592:40 *972:10 3.93924e-05
31 *598:48 *972:8 4.69495e-06
*RES
1 *4908:Q *972:7 15.0271
2 *972:7 *972:8 3.90826
3 *972:8 *972:10 6.81502
4 *972:10 *4552:B 19.6294
5 *972:10 *4561:D 17.9509
6 *972:8 *4551:A_N 14.4725
7 *972:7 *4612:A 19.3184
*END
*D_NET *973 0.0053788
*CONN
*I *4744:A I *D sky130_fd_sc_hd__and3_1
*I *4728:A I *D sky130_fd_sc_hd__and2_1
*I *4745:B I *D sky130_fd_sc_hd__or4_1
*I *4891:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4744:A 1.77241e-05
2 *4728:A 0.000521877
3 *4745:B 0
4 *4891:Q 0.000238081
5 *973:28 0.00118394
6 *973:10 0.000917865
7 *4728:A *4745:A 7.23587e-06
8 *4728:A *4745:C 4.38607e-05
9 *973:28 *975:32 5.88052e-06
10 *973:28 *1012:33 1.22858e-05
11 *973:28 *1027:26 4.76947e-05
12 *4744:C *4728:A 5.17821e-05
13 *4744:C *973:28 1.47888e-05
14 *4747:A1 *4728:A 0.000128013
15 *4748:A3 *973:28 1.22402e-05
16 *4748:A4 *973:10 0
17 *4748:A4 *973:28 9.80574e-05
18 *4852:D *4728:A 0.000115313
19 *5152:A *973:10 0.000123931
20 *341:56 *4728:A 2.01993e-05
21 *341:67 *4728:A 0.00022476
22 *350:98 *4744:A 0.000116014
23 *512:23 *973:10 0.000293548
24 *518:37 *973:10 2.55661e-06
25 *523:38 *4728:A 0.000160617
26 *527:30 *4728:A 0.000164829
27 *557:53 *4728:A 7.09666e-06
28 *557:53 *973:28 8.88753e-06
29 *566:19 *973:10 0.000258819
30 *571:21 *4744:A 0.000111722
31 *588:29 *4728:A 3.63738e-05
32 *823:48 *973:10 1.45683e-05
33 *823:48 *973:28 0.00029469
34 *829:78 *4728:A 4.82779e-06
35 *865:47 *4728:A 0.000118724
*RES
1 *4891:Q *973:10 20.9168
2 *973:10 *973:28 15.0224
3 *973:28 *4745:B 13.7491
4 *973:28 *4728:A 35.7625
5 *973:10 *4744:A 15.0271
*END
*D_NET *974 0.00535025
*CONN
*I *4745:A I *D sky130_fd_sc_hd__or4_1
*I *4730:A I *D sky130_fd_sc_hd__and2_1
*I *4748:A1 I *D sky130_fd_sc_hd__a41o_1
*I *4892:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4745:A 0.00025767
2 *4730:A 0
3 *4748:A1 0.000454473
4 *4892:Q 0
5 *974:21 0.000903181
6 *974:5 0.000706377
7 *4745:A *4745:C 6.50727e-05
8 *4745:A *975:15 0.000274556
9 *4748:A1 *4378:A 0.000369399
10 *974:21 *975:15 5.88009e-05
11 *4728:A *4745:A 7.23587e-06
12 *4730:B *4748:A1 0.000145937
13 *4730:B *974:21 7.25029e-05
14 *4744:C *4745:A 4.14841e-05
15 *4747:A1 *4745:A 0.000128583
16 *4748:B1 *4748:A1 6.55458e-05
17 *4852:D *4745:A 5.1493e-06
18 *4892:CLK *974:21 5.52855e-05
19 *4899:D *4748:A1 1.52352e-05
20 *248:19 *4748:A1 8.91475e-05
21 *379:30 *4748:A1 7.3703e-05
22 *400:20 *4748:A1 2.15276e-05
23 *523:38 *974:21 0.000572524
24 *527:30 *974:21 0.000159756
25 *539:19 *4748:A1 1.65872e-05
26 *539:19 *974:21 1.52867e-05
27 *546:15 *974:21 0.000106661
28 *557:17 *4748:A1 2.33103e-06
29 *560:48 *974:21 9.5086e-05
30 *582:35 *4745:A 1.9101e-05
31 *582:35 *4748:A1 0.000169041
32 *582:35 *974:21 4.88955e-05
33 *665:23 *4748:A1 0
34 *665:23 *974:21 2.1203e-06
35 *696:45 *4748:A1 2.77419e-05
36 *823:48 *4748:A1 0.000266832
37 *865:47 *4745:A 1.74104e-05
38 *865:47 *974:21 2.00098e-05
*RES
1 *4892:Q *974:5 13.7491
2 *974:5 *974:21 27.0968
3 *974:21 *4748:A1 31.8516
4 *974:21 *4730:A 9.24915
5 *974:5 *4745:A 20.7746
*END
*D_NET *975 0.00606989
*CONN
*I *4748:A2 I *D sky130_fd_sc_hd__a41o_1
*I *4733:A I *D sky130_fd_sc_hd__and2_1
*I *4745:D I *D sky130_fd_sc_hd__or4_1
*I *4893:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4748:A2 0
2 *4733:A 0.000642895
3 *4745:D 0
4 *4893:Q 0.0007382
5 *975:32 0.00099571
6 *975:15 0.00109102
7 *4733:A *4735:A 0.000324042
8 *4733:A *1005:54 5.11321e-05
9 *975:15 *4745:C 2.41483e-05
10 *975:32 *1012:33 4.49912e-05
11 *4712:A *4733:A 2.54816e-05
12 *4733:B *4733:A 0.000100694
13 *4744:C *975:32 0.000216467
14 *4745:A *975:15 0.000274556
15 *4748:B1 *975:32 5.0782e-05
16 *4899:D *4733:A 0.000266707
17 *248:19 *4733:A 2.0761e-05
18 *248:29 *975:15 7.75133e-06
19 *248:29 *975:32 7.56369e-05
20 *350:98 *4733:A 0
21 *400:40 *975:15 0.00022363
22 *400:40 *975:32 0.000115148
23 *529:26 *975:32 1.5714e-05
24 *539:19 *975:15 0.000322098
25 *557:17 *975:32 1.39873e-05
26 *616:30 *975:15 0.000210067
27 *657:18 *4733:A 8.51781e-05
28 *823:48 *4733:A 3.91418e-05
29 *865:47 *975:15 2.92718e-05
30 *973:28 *975:32 5.88052e-06
31 *974:21 *975:15 5.88009e-05
*RES
1 *4893:Q *975:15 34.4721
2 *975:15 *4745:D 9.24915
3 *975:15 *975:32 18.1631
4 *975:32 *4733:A 32.0473
5 *975:32 *4748:A2 9.24915
*END
*D_NET *976 0.00556879
*CONN
*I *4745:C I *D sky130_fd_sc_hd__or4_1
*I *4744:B I *D sky130_fd_sc_hd__and3_1
*I *4735:A I *D sky130_fd_sc_hd__and2_1
*I *4894:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4745:C 0.000727769
2 *4744:B 0
3 *4735:A 0.000650939
4 *4894:Q 4.9606e-05
5 *976:10 0.000714402
6 *976:5 0.000840838
7 *4735:A *1027:26 0.000160617
8 *4745:C *1005:54 8.4653e-05
9 *4745:C *1012:33 1.65872e-05
10 *4745:C *1027:26 0.000148787
11 *4728:A *4745:C 4.38607e-05
12 *4733:A *4735:A 0.000324042
13 *4733:B *4735:A 0.000333568
14 *4744:C *4745:C 2.82306e-05
15 *4744:C *976:10 2.6467e-05
16 *4745:A *4745:C 6.50727e-05
17 *4747:A1 *4745:C 0.000113671
18 *4748:A4 *976:10 6.58975e-05
19 *4852:D *4745:C 6.94974e-05
20 *4899:CLK *4745:C 0.000113968
21 *4908:CLK *4745:C 4.02726e-06
22 *314:32 *4735:A 9.81385e-05
23 *341:56 *4745:C 0.000111722
24 *529:26 *4745:C 3.24105e-05
25 *557:53 *4745:C 0.00018394
26 *571:21 *4735:A 5.89722e-05
27 *588:29 *4745:C 9.51601e-06
28 *632:45 *4745:C 1.23323e-05
29 *632:45 *976:5 1.26401e-05
30 *657:18 *4735:A 7.72698e-05
31 *658:11 *4735:A 0.000207266
32 *829:78 *4745:C 0.000140047
33 *829:89 *4745:C 1.78895e-05
34 *975:15 *4745:C 2.41483e-05
*RES
1 *4894:Q *976:5 9.97254
2 *976:5 *976:10 10.4167
3 *976:10 *4735:A 32.3441
4 *976:10 *4744:B 9.24915
5 *976:5 *4745:C 45.9354
*END
*D_NET *977 0.00542212
*CONN
*I *4746:B I *D sky130_fd_sc_hd__or4_1
*I *4737:A I *D sky130_fd_sc_hd__and2_1
*I *4743:B I *D sky130_fd_sc_hd__and4_1
*I *4895:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4746:B 0
2 *4737:A 0.000829145
3 *4743:B 0.000261381
4 *4895:Q 0.000382866
5 *977:20 0.000862496
6 *977:8 0.000677598
7 *4737:A *4378:A 0.0002646
8 *4743:B *4741:A 0.000120237
9 *4743:B *4743:A 2.07365e-05
10 *4743:B *4743:C 0.000722965
11 *4743:B *4743:D 6.48763e-05
12 *4743:B *4746:C 3.99363e-05
13 *977:8 *4741:A 8.08437e-05
14 *977:8 *4746:C 1.27402e-05
15 *977:20 *4378:A 6.50586e-05
16 *4741:B *977:8 1.50389e-06
17 *4895:CLK *4737:A 9.63981e-05
18 *4896:CLK *4737:A 3.58044e-05
19 *248:19 *977:8 0.000101605
20 *290:23 *4737:A 4.39233e-05
21 *292:15 *4737:A 0.000102447
22 *314:14 *977:8 7.09666e-06
23 *346:62 *4737:A 7.66983e-06
24 *346:62 *977:8 4.00389e-05
25 *348:20 *4737:A 0.000153193
26 *400:40 *4743:B 0.000269642
27 *571:21 *977:8 1.03403e-05
28 *643:19 *4737:A 7.09666e-06
29 *656:17 *4737:A 5.67298e-05
30 *656:37 *4737:A 2.91863e-05
31 *657:18 *977:8 3.19043e-05
32 *823:48 *4743:B 2.20583e-05
*RES
1 *4895:Q *977:8 21.2876
2 *977:8 *4743:B 24.3449
3 *977:8 *977:20 5.2234
4 *977:20 *4737:A 33.0512
5 *977:20 *4746:B 9.24915
*END
*D_NET *978 0.00358435
*CONN
*I *4746:A I *D sky130_fd_sc_hd__or4_1
*I *4739:A I *D sky130_fd_sc_hd__and2_1
*I *4743:A I *D sky130_fd_sc_hd__and4_1
*I *4896:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4746:A 0
2 *4739:A 0.000140146
3 *4743:A 0.000205377
4 *4896:Q 9.98468e-05
5 *978:18 0.000202826
6 *978:6 0.000367904
7 *4739:A *4378:A 0.000538214
8 *4739:A *4746:D 0.000139698
9 *4743:A *4743:C 0.00031994
10 *4743:A *4743:D 9.28146e-05
11 *4743:A *979:5 4.88955e-05
12 *4743:A *979:23 0.000110505
13 *978:6 *979:23 2.43314e-05
14 *978:18 *4378:A 4.73434e-05
15 *978:18 *4746:D 0.000111708
16 *978:18 *979:23 0.000199541
17 *4734:A *4739:A 9.82896e-06
18 *4741:B *4743:A 3.1894e-05
19 *4741:B *978:6 9.18679e-06
20 *4743:B *4743:A 2.07365e-05
21 *557:17 *4743:A 0.000217923
22 *621:49 *4743:A 5.1493e-06
23 *621:49 *978:6 2.30388e-05
24 *643:19 *978:6 5.88009e-05
25 *656:23 *4739:A 0.000107496
26 *656:37 *4739:A 0.000290593
27 *823:48 *978:18 0.000160617
*RES
1 *4896:Q *978:6 15.9964
2 *978:6 *4743:A 20.8779
3 *978:6 *978:18 7.99641
4 *978:18 *4739:A 16.8207
5 *978:18 *4746:A 9.24915
*END
*D_NET *979 0.00548309
*CONN
*I *4741:A I *D sky130_fd_sc_hd__and2_1
*I *4746:D I *D sky130_fd_sc_hd__or4_1
*I *4743:D I *D sky130_fd_sc_hd__and4_1
*I *4897:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4741:A 0.000495205
2 *4746:D 4.3326e-05
3 *4743:D 0.000560392
4 *4897:Q 4.20238e-05
5 *979:23 0.000738376
6 *979:5 0.000802262
7 *4741:A *4746:C 8.90057e-07
8 *4741:A *980:8 9.79958e-05
9 *4743:D *4743:C 0.000133041
10 *4589:A *4741:A 4.49767e-05
11 *4734:A *4746:D 0.000260374
12 *4734:A *979:23 6.50727e-05
13 *4739:A *4746:D 0.000139698
14 *4741:B *4741:A 4.18433e-05
15 *4741:B *4743:D 0.000109954
16 *4743:A *4743:D 9.28146e-05
17 *4743:A *979:5 4.88955e-05
18 *4743:A *979:23 0.000110505
19 *4743:B *4741:A 0.000120237
20 *4743:B *4743:D 6.48763e-05
21 *346:62 *4741:A 9.94884e-06
22 *400:40 *4743:D 0.000364437
23 *520:26 *4741:A 0.000158097
24 *523:38 *4741:A 6.08467e-05
25 *621:49 *4743:D 5.62578e-06
26 *621:49 *979:23 0.00012774
27 *643:19 *979:23 0.000111708
28 *654:17 *4741:A 2.65667e-05
29 *823:48 *4741:A 9.66954e-05
30 *823:48 *979:23 2.57365e-05
31 *829:37 *4741:A 6.65026e-05
32 *977:8 *4741:A 8.08437e-05
33 *978:6 *979:23 2.43314e-05
34 *978:18 *4746:D 0.000111708
35 *978:18 *979:23 0.000199541
*RES
1 *4897:Q *979:5 9.97254
2 *979:5 *4743:D 28.5984
3 *979:5 *979:23 15.0196
4 *979:23 *4746:D 12.191
5 *979:23 *4741:A 30.4286
*END
*D_NET *980 0.0033103
*CONN
*I *4743:C I *D sky130_fd_sc_hd__and4_1
*I *4746:C I *D sky130_fd_sc_hd__or4_1
*I *4898:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4743:C 0.000230305
2 *4746:C 0.000193861
3 *4898:Q 0.00019191
4 *980:8 0.000616076
5 *4741:A *4746:C 8.90057e-07
6 *4741:A *980:8 9.79958e-05
7 *4743:A *4743:C 0.00031994
8 *4743:B *4743:C 0.000722965
9 *4743:B *4746:C 3.99363e-05
10 *4743:D *4743:C 0.000133041
11 *288:14 *980:8 0.000111708
12 *346:62 *4746:C 0.000169486
13 *346:62 *980:8 4.16038e-05
14 *400:40 *4743:C 1.88014e-05
15 *557:17 *4743:C 6.02829e-05
16 *582:35 *980:8 0.000107496
17 *582:42 *980:8 3.29488e-05
18 *621:49 *4743:C 4.15661e-05
19 *823:48 *4743:C 6.12686e-06
20 *829:37 *4743:C 0.000160617
21 *977:8 *4746:C 1.27402e-05
*RES
1 *4898:Q *980:8 18.6301
2 *980:8 *4746:C 18.2803
3 *980:8 *4743:C 33.5406
*END
*D_NET *981 0.00372834
*CONN
*I *4561:A_N I *D sky130_fd_sc_hd__and4b_1
*I *4552:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4546:A I *D sky130_fd_sc_hd__and2_1
*I *4551:B I *D sky130_fd_sc_hd__and2b_1
*I *4899:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4561:A_N 1.30387e-05
2 *4552:A_N 0.000122758
3 *4546:A 3.80899e-05
4 *4551:B 7.43823e-05
5 *4899:Q 0
6 *981:28 0.000409726
7 *981:8 0.000359113
8 *981:4 0.00052057
9 *4561:A_N *1012:33 4.66492e-05
10 *981:8 *1005:29 7.94607e-05
11 *981:8 *1012:33 1.84293e-05
12 *981:28 *1012:33 0.000153093
13 *4552:B *4552:A_N 0.00013521
14 *4561:D *4561:A_N 6.08467e-05
15 *4561:D *981:28 6.08467e-05
16 *314:37 *4551:B 0.000568954
17 *341:56 *4546:A 3.78945e-05
18 *341:56 *981:8 0.000231864
19 *350:61 *4551:B 0.000503882
20 *350:98 *4551:B 6.50727e-05
21 *513:37 *4552:A_N 0.000155855
22 *587:41 *4552:A_N 8.6297e-06
23 *609:24 *4546:A 1.48605e-05
24 *609:24 *981:8 4.91129e-05
*RES
1 *4899:Q *981:4 9.24915
2 *981:4 *981:8 10.3774
3 *981:8 *4551:B 20.0186
4 *981:8 *4546:A 14.7506
5 *981:4 *981:28 5.20845
6 *981:28 *4552:A_N 21.7421
7 *981:28 *4561:A_N 9.97254
*END
*D_NET *982 0.00425439
*CONN
*I *4704:A I *D sky130_fd_sc_hd__and2_1
*I *4722:B I *D sky130_fd_sc_hd__or4_1
*I *4721:B I *D sky130_fd_sc_hd__and4_1
*I *4882:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4704:A 7.27882e-05
2 *4722:B 0.000203449
3 *4721:B 8.43527e-05
4 *4882:Q 0.000702634
5 *982:14 0.000427348
6 *982:12 0.000914969
7 *4721:B *4710:A 4.31539e-05
8 *4722:B *4721:A 8.48931e-06
9 *4722:B *984:24 1.53046e-05
10 *982:12 *4708:A 1.05272e-06
11 *982:14 *4708:A 5.88052e-06
12 *4581:B1 *982:12 1.24189e-05
13 *4704:B *4704:A 0.000160617
14 *4705:A *4704:A 3.73237e-05
15 *4709:A *4722:B 0.000111504
16 *4709:A *982:14 2.30388e-05
17 *4710:B *982:12 0.000110652
18 *4710:B *982:14 3.60933e-06
19 *384:17 *4722:B 3.33173e-06
20 *384:17 *982:14 1.74249e-05
21 *438:37 *4722:B 5.11466e-05
22 *457:46 *982:12 0.000107336
23 *522:13 *982:12 4.03555e-05
24 *580:60 *982:12 4.88764e-06
25 *612:40 *982:12 0.000107496
26 *620:13 *4704:A 0.000409595
27 *630:30 *982:14 1.04444e-05
28 *630:39 *4704:A 0.000167076
29 *829:48 *982:12 0.00026075
30 *829:53 *982:12 0.000135958
*RES
1 *4882:Q *982:12 28.706
2 *982:12 *982:14 2.6625
3 *982:14 *4721:B 15.0271
4 *982:14 *4722:B 17.9749
5 *982:12 *4704:A 18.3548
*END
*D_NET *983 0.00300675
*CONN
*I *4706:A I *D sky130_fd_sc_hd__and2_1
*I *4722:A I *D sky130_fd_sc_hd__or4_1
*I *4721:A I *D sky130_fd_sc_hd__and4_1
*I *4883:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4706:A 7.61578e-05
2 *4722:A 5.58613e-06
3 *4721:A 0.000166898
4 *4883:Q 0.000247452
5 *983:31 0.000162656
6 *983:11 0.000495261
7 *4706:A *4722:C 0.000214203
8 *4721:A *4710:A 0.00011818
9 *983:31 *4722:C 3.43189e-05
10 *983:31 *4722:D 6.08467e-05
11 *4722:B *4721:A 8.48931e-06
12 *5148:A *983:11 5.88009e-05
13 *249:25 *4706:A 7.45998e-05
14 *249:25 *983:11 0.00017148
15 *249:25 *983:31 5.60493e-05
16 *281:11 *983:11 6.22259e-05
17 *427:24 *4706:A 0.000206098
18 *427:24 *983:11 0.000122011
19 *427:24 *983:31 0.000118495
20 *433:45 *4721:A 0.00011818
21 *438:37 *4721:A 3.45827e-05
22 *457:46 *4721:A 5.1493e-06
23 *630:30 *4721:A 0.000137356
24 *630:30 *983:11 0.000251669
*RES
1 *4883:Q *983:11 24.9949
2 *983:11 *4721:A 23.0201
3 *983:11 *983:31 4.0269
4 *983:31 *4722:A 9.82786
5 *983:31 *4706:A 14.0477
*END
*D_NET *984 0.00376872
*CONN
*I *4708:A I *D sky130_fd_sc_hd__and2_1
*I *4721:D I *D sky130_fd_sc_hd__and4_1
*I *4722:D I *D sky130_fd_sc_hd__or4_1
*I *4884:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4708:A 0.000159105
2 *4721:D 4.95479e-05
3 *4722:D 0.000252352
4 *4884:Q 6.87999e-05
5 *984:24 0.000867435
6 *984:5 0.000979933
7 *4721:D *4710:A 5.04829e-06
8 *4721:D *985:26 7.76105e-06
9 *984:24 *985:26 0
10 *984:24 *1009:27 4.69495e-06
11 *4709:A *4708:A 6.8939e-05
12 *4709:A *984:24 0.000116428
13 *4722:B *984:24 1.53046e-05
14 *349:31 *984:5 0.000122378
15 *349:31 *984:24 9.97706e-05
16 *385:23 *984:24 1.21709e-05
17 *427:24 *4722:D 6.08467e-05
18 *438:37 *4722:D 0.000223646
19 *438:37 *984:24 0.000274323
20 *457:46 *4722:D 3.8104e-05
21 *514:16 *984:24 4.69495e-06
22 *580:60 *4708:A 0.00012402
23 *580:60 *984:24 7.09666e-06
24 *620:13 *4708:A 6.92705e-05
25 *630:39 *4708:A 6.92705e-05
26 *982:12 *4708:A 1.05272e-06
27 *982:14 *4708:A 5.88052e-06
28 *983:31 *4722:D 6.08467e-05
*RES
1 *4884:Q *984:5 10.5271
2 *984:5 *4722:D 24.1266
3 *984:5 *984:24 17.3806
4 *984:24 *4721:D 14.4725
5 *984:24 *4708:A 17.8678
*END
*D_NET *985 0.00477053
*CONN
*I *4721:C I *D sky130_fd_sc_hd__and4_1
*I *4710:A I *D sky130_fd_sc_hd__and2_1
*I *4722:C I *D sky130_fd_sc_hd__or4_1
*I *4885:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4721:C 0
2 *4710:A 0.000530285
3 *4722:C 8.16962e-05
4 *4885:Q 0.000265182
5 *985:26 0.000762651
6 *985:10 0.000579244
7 *4722:C *1009:27 0
8 *4705:A *4710:A 3.30151e-05
9 *4706:A *4722:C 0.000214203
10 *4706:B *985:26 3.62783e-05
11 *4721:A *4710:A 0.00011818
12 *4721:B *4710:A 4.31539e-05
13 *4721:D *4710:A 5.04829e-06
14 *4721:D *985:26 7.76105e-06
15 *4725:A3 *985:26 2.29454e-05
16 *5148:A *4710:A 3.12828e-05
17 *223:9 *985:10 0.000158371
18 *226:15 *4710:A 6.3609e-05
19 *226:15 *985:10 5.70249e-05
20 *249:25 *4722:C 0.000322538
21 *384:17 *4710:A 0.000114834
22 *385:23 *985:26 0.000114584
23 *433:45 *4710:A 0.000202555
24 *433:45 *985:26 0.000319865
25 *457:46 *4710:A 5.33881e-06
26 *580:45 *985:26 9.75148e-06
27 *621:39 *4710:A 2.08198e-05
28 *645:20 *4710:A 0.000111722
29 *818:33 *4710:A 0.00019287
30 *826:25 *985:10 0.000175352
31 *826:25 *985:26 2.43314e-05
32 *902:25 *4710:A 0.000111722
33 *983:31 *4722:C 3.43189e-05
34 *984:24 *985:26 0
*RES
1 *4885:Q *985:10 20.0687
2 *985:10 *4722:C 17.9784
3 *985:10 *985:26 11.6476
4 *985:26 *4710:A 33.1537
5 *985:26 *4721:C 9.24915
*END
*D_NET *986 0.00359915
*CONN
*I *4720:B I *D sky130_fd_sc_hd__and4_1
*I *4723:B I *D sky130_fd_sc_hd__or4_1
*I *4714:A I *D sky130_fd_sc_hd__and2_1
*I *4886:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4720:B 0
2 *4723:B 0.000578732
3 *4714:A 0.000404264
4 *4886:Q 4.57669e-05
5 *986:19 0.000781893
6 *986:5 0.000653192
7 *4723:B *4720:D 8.28712e-05
8 *4723:B *1007:62 6.50586e-05
9 *4723:B *1008:17 5.01835e-05
10 *4724:B *4723:B 0.000354886
11 *341:70 *4723:B 4.39738e-05
12 *378:20 *4714:A 0.000127341
13 *378:20 *4723:B 3.88655e-06
14 *585:23 *4714:A 6.08467e-05
15 *612:40 *4714:A 6.08467e-05
16 *644:36 *4714:A 5.01835e-05
17 *644:49 *4714:A 1.15048e-05
18 *645:20 *4714:A 1.72919e-05
19 *696:45 *4714:A 8.03676e-06
20 *818:33 *4714:A 8.67924e-06
21 *818:33 *986:5 2.57986e-05
22 *818:33 *986:19 0.000133948
23 *829:60 *4723:B 2.9959e-05
*RES
1 *4886:Q *986:5 9.97254
2 *986:5 *4714:A 26.7815
3 *986:5 *986:19 3.49641
4 *986:19 *4723:B 28.8809
5 *986:19 *4720:B 9.24915
*END
*D_NET *987 0.00376085
*CONN
*I *4720:A I *D sky130_fd_sc_hd__and4_1
*I *4723:A I *D sky130_fd_sc_hd__or4_1
*I *4716:A I *D sky130_fd_sc_hd__and2_1
*I *4887:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4720:A 7.00465e-05
2 *4723:A 0.00037916
3 *4716:A 2.37455e-05
4 *4887:Q 4.64205e-05
5 *987:16 0.000587965
6 *987:5 0.000208924
7 *4716:A *1000:45 1.95765e-05
8 *4723:A *4720:D 7.60356e-05
9 *4723:A *4723:D 8.66681e-05
10 *987:5 *1000:45 0.000230696
11 *987:16 *1000:45 7.7434e-05
12 *4724:B *4723:A 0.000124641
13 *341:67 *4720:A 0.000164843
14 *341:67 *987:16 9.59075e-05
15 *401:17 *4720:A 3.33861e-05
16 *401:23 *987:16 4.25398e-05
17 *565:29 *4723:A 0.000197409
18 *575:5 *987:5 0.000260374
19 *575:5 *987:16 9.32983e-05
20 *620:38 *4723:A 0.000151689
21 *646:16 *4723:A 8.92739e-06
22 *646:16 *987:16 1.23822e-05
23 *647:26 *4720:A 0.000212392
24 *818:33 *4720:A 0.000469174
25 *865:47 *4723:A 8.26454e-05
26 *865:47 *987:16 4.57241e-06
*RES
1 *4887:Q *987:5 12.191
2 *987:5 *4716:A 9.82786
3 *987:5 *987:16 8.4405
4 *987:16 *4723:A 24.4758
5 *987:16 *4720:A 18.9094
*END
*D_NET *988 0.00487183
*CONN
*I *4718:A I *D sky130_fd_sc_hd__and2_1
*I *4723:D I *D sky130_fd_sc_hd__or4_1
*I *4720:D I *D sky130_fd_sc_hd__and4_1
*I *4888:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4718:A 0.000108319
2 *4723:D 0.000255351
3 *4720:D 0.000286905
4 *4888:Q 0.00042269
5 *988:11 0.000811637
6 *988:7 0.00080039
7 *4720:D *4720:C 0.000160617
8 *4720:D *1001:78 0.000209232
9 *988:11 *4720:C 0.000107496
10 *4723:A *4720:D 7.60356e-05
11 *4723:A *4723:D 8.66681e-05
12 *4723:B *4720:D 8.28712e-05
13 *4854:CLK *988:11 0.000103002
14 *284:17 *988:11 8.97416e-05
15 *341:70 *4720:D 7.94607e-05
16 *522:13 *4720:D 0.000200794
17 *534:33 *988:7 0.000107496
18 *554:21 *988:7 4.56667e-05
19 *565:29 *4723:D 0.000206295
20 *620:38 *4723:D 6.92705e-05
21 *646:16 *4723:D 0.000271425
22 *696:20 *4718:A 1.57066e-05
23 *696:20 *988:11 1.55255e-05
24 *818:9 *988:7 2.18498e-05
25 *818:33 *988:7 2.71452e-05
26 *818:33 *988:11 3.4081e-05
27 *829:116 *4718:A 8.95987e-05
28 *829:116 *988:11 7.09666e-06
29 *865:47 *4720:D 7.94607e-05
*RES
1 *4888:Q *988:7 20.0186
2 *988:7 *988:11 9.68906
3 *988:11 *4720:D 35.1329
4 *988:11 *4723:D 25.3723
5 *988:7 *4718:A 15.9964
*END
*D_NET *989 0.00218782
*CONN
*I *4720:C I *D sky130_fd_sc_hd__and4_1
*I *4723:C I *D sky130_fd_sc_hd__or4_1
*I *4889:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4720:C 0.000309765
2 *4723:C 0.000167548
3 *4889:Q 9.34923e-06
4 *989:7 0.000486663
5 *989:7 *1001:78 6.50727e-05
6 *4449:B *4723:C 2.14842e-06
7 *4720:D *4720:C 0.000160617
8 *4854:CLK *4720:C 3.54474e-05
9 *646:16 *4720:C 0.000144069
10 *646:16 *4723:C 0.000117341
11 *818:33 *4720:C 0.000517234
12 *902:25 *989:7 6.50727e-05
13 *988:11 *4720:C 0.000107496
*RES
1 *4889:Q *989:7 14.4725
2 *989:7 *4723:C 17.1444
3 *989:7 *4720:C 22.957
*END
*D_NET *990 0.00747277
*CONN
*I *4549:A I *D sky130_fd_sc_hd__and2_1
*I *4496:D I *D sky130_fd_sc_hd__and4b_1
*I *4486:B I *D sky130_fd_sc_hd__and2b_1
*I *4485:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4890:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4549:A 0
2 *4496:D 0.000200329
3 *4486:B 0
4 *4485:A_N 0.000377915
5 *4890:Q 0.000303617
6 *990:29 0.000295311
7 *990:17 0.000788938
8 *990:12 0.000619658
9 *4485:A_N *4485:B 0.000249627
10 *4485:A_N *999:17 0.000383083
11 *4496:D *4487:A 3.05945e-05
12 *990:17 *4678:A 0
13 *990:17 *4700:B1 9.51286e-05
14 *990:29 *4700:B1 7.92757e-06
15 *990:29 *999:26 4.43961e-05
16 *990:29 *999:34 1.19721e-05
17 *4453:B1 *990:12 1.41291e-05
18 *4497:A2 *4496:D 2.04806e-05
19 *4497:B1 *4496:D 0.000131653
20 *4505:A2 *4496:D 4.42987e-06
21 *4517:A2 *4485:A_N 3.71274e-05
22 *4517:B1 *4485:A_N 0
23 *4700:A1 *4485:A_N 0
24 *4700:A2 *990:17 1.61631e-05
25 *4700:C1 *4485:A_N 0
26 *4843:CLK *4485:A_N 0
27 *4843:CLK *990:12 0.00022055
28 *4843:CLK *990:17 0.000291579
29 *4845:CLK *4485:A_N 6.23875e-05
30 *4853:D *990:12 7.09666e-06
31 *226:25 *990:12 0.000466373
32 *240:13 *4496:D 6.24655e-05
33 *240:13 *990:29 5.07314e-05
34 *436:59 *4496:D 0.000576786
35 *436:59 *990:17 0.00041971
36 *436:59 *990:29 0.000423936
37 *438:37 *990:12 0.000423922
38 *440:8 *4485:A_N 2.72328e-05
39 *440:34 *990:17 4.89898e-06
40 *457:28 *990:17 2.30991e-05
41 *459:40 *4496:D 0.000122098
42 *467:17 *4496:D 0.000413252
43 *488:16 *4485:A_N 2.41483e-05
44 *490:16 *4485:A_N 0.000126733
45 *534:33 *990:12 2.80017e-05
46 *561:19 *4496:D 3.30124e-05
47 *565:29 *990:12 0
48 *826:64 *990:12 3.22732e-05
*RES
1 *4890:Q *990:12 34.0649
2 *990:12 *990:17 15.0901
3 *990:17 *4485:A_N 31.0493
4 *990:17 *990:29 4.60562
5 *990:29 *4486:B 9.24915
6 *990:29 *4496:D 28.7463
7 *990:12 *4549:A 13.7491
*END
*D_NET *991 0.00399951
*CONN
*I *4678:A I *D sky130_fd_sc_hd__and2_1
*I *4698:A I *D sky130_fd_sc_hd__or4_1
*I *4872:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4678:A 0.000309548
2 *4698:A 0.000483865
3 *4872:Q 0
4 *991:5 0.000793413
5 *4698:A *4697:B 8.01687e-05
6 *4698:A *992:8 0.000358268
7 *4698:A *993:18 1.07248e-05
8 *4678:B *4678:A 0.000164829
9 *4700:A1 *4698:A 1.95409e-05
10 *4701:B1 *4698:A 0.000470049
11 *341:70 *4698:A 0
12 *434:17 *4678:A 8.52005e-05
13 *434:17 *4698:A 8.11083e-05
14 *457:28 *4678:A 1.07248e-05
15 *457:28 *4698:A 0.000156593
16 *479:11 *4678:A 0.000223689
17 *514:16 *4678:A 0.000522
18 *565:29 *4678:A 3.34723e-05
19 *565:29 *4698:A 3.2885e-05
20 *633:15 *4698:A 0.000163427
21 *990:17 *4678:A 0
*RES
1 *4872:Q *991:5 13.7491
2 *991:5 *4698:A 31.0188
3 *991:5 *4678:A 25.2531
*END
*D_NET *992 0.0028403
*CONN
*I *4698:B I *D sky130_fd_sc_hd__or4_1
*I *4681:A I *D sky130_fd_sc_hd__and2_1
*I *4697:A I *D sky130_fd_sc_hd__and3_1
*I *4873:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4698:B 0
2 *4681:A 0.00023862
3 *4697:A 0.000208523
4 *4873:Q 0.00026703
5 *992:10 0.000470327
6 *992:8 0.000290214
7 *4681:A *4698:D 1.41291e-05
8 *4697:A *4697:B 2.24484e-05
9 *4697:A *4698:D 0.000111708
10 *4697:A *993:18 3.31882e-05
11 *992:8 *4697:B 1.07248e-05
12 *992:10 *4697:B 5.41377e-05
13 *4681:B *4681:A 0.000118166
14 *4682:A *4681:A 1.43983e-05
15 *4698:A *992:8 0.000358268
16 *4701:A3 *4681:A 0.000118166
17 *4701:A3 *4697:A 2.97421e-05
18 *4701:A3 *992:8 4.52469e-05
19 *4701:A3 *992:10 1.44611e-05
20 *4845:CLK *992:8 0.000135406
21 *341:70 *992:8 0
22 *341:70 *992:10 0
23 *341:72 *4697:A 0
24 *633:15 *4697:A 0.000137134
25 *633:15 *992:8 1.03403e-05
26 *825:90 *992:8 0.000137921
*RES
1 *4873:Q *992:8 22.5333
2 *992:8 *992:10 1.00149
3 *992:10 *4697:A 19.9109
4 *992:10 *4681:A 20.5732
5 *992:8 *4698:B 13.7491
*END
*D_NET *993 0.00342057
*CONN
*I *4697:B I *D sky130_fd_sc_hd__and3_1
*I *4698:C I *D sky130_fd_sc_hd__or4_1
*I *4683:A I *D sky130_fd_sc_hd__and2_1
*I *4874:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4697:B 0.000360437
2 *4698:C 0
3 *4683:A 0.000593029
4 *4874:Q 0
5 *993:18 0.000531411
6 *993:4 0.000764002
7 *993:18 *4698:D 7.14746e-05
8 *4682:A *993:18 0
9 *4684:A *4683:A 6.08467e-05
10 *4697:A *4697:B 2.24484e-05
11 *4697:A *993:18 3.31882e-05
12 *4698:A *4697:B 8.01687e-05
13 *4698:A *993:18 1.07248e-05
14 *4700:A1 *993:18 8.21849e-06
15 *4701:A3 *4697:B 0.000510762
16 *4874:D *4683:A 0.000137511
17 *4875:D *993:18 0
18 *819:9 *4683:A 6.50586e-05
19 *825:55 *4683:A 0.00010643
20 *891:8 *4683:A 0
21 *992:8 *4697:B 1.07248e-05
22 *992:10 *4697:B 5.41377e-05
*RES
1 *4874:Q *993:4 9.24915
2 *993:4 *4683:A 29.0137
3 *993:4 *993:18 8.82351
4 *993:18 *4698:C 13.7491
5 *993:18 *4697:B 20.8807
*END
*D_NET *994 0.00640929
*CONN
*I *4701:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4698:D I *D sky130_fd_sc_hd__or4_1
*I *4685:A I *D sky130_fd_sc_hd__and2_1
*I *4875:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4701:A1 0.000250424
2 *4698:D 0.00016495
3 *4685:A 0.000673499
4 *4875:Q 0.000525676
5 *994:7 0.000936975
6 *994:5 0.000874625
7 *4517:B1 *4701:A1 1.32509e-05
8 *4681:A *4698:D 1.41291e-05
9 *4697:A *4698:D 0.000111708
10 *4700:A1 *4698:D 3.20069e-06
11 *4700:A1 *4701:A1 0.000231941
12 *4845:CLK *4701:A1 7.13972e-05
13 *4850:CLK *994:5 0.000689445
14 *442:38 *4701:A1 0.000114508
15 *624:17 *4685:A 0.000118166
16 *825:47 *4685:A 0.000437486
17 *825:55 *4685:A 0.000894005
18 *825:55 *994:5 5.31074e-05
19 *825:55 *994:7 0.000159322
20 *993:18 *4698:D 7.14746e-05
*RES
1 *4875:Q *994:5 18.2916
2 *994:5 *994:7 1.8326
3 *994:7 *4685:A 24.8504
4 *994:7 *4698:D 22.0772
5 *994:5 *4701:A1 26.2056
*END
*D_NET *995 0.0050822
*CONN
*I *4699:A I *D sky130_fd_sc_hd__or4_1
*I *4696:A I *D sky130_fd_sc_hd__and4_1
*I *4687:A I *D sky130_fd_sc_hd__and2_1
*I *4876:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4699:A 0.000274868
2 *4696:A 0
3 *4687:A 0.000292619
4 *4876:Q 0.000377673
5 *995:23 0.000582839
6 *995:8 0.000978263
7 *4699:A *4692:A 3.42853e-05
8 *4699:A *4696:D 0.000211559
9 *4699:A *4699:D 9.61086e-05
10 *4699:A *996:17 0.000162739
11 *4681:B *995:8 4.99063e-05
12 *4687:B *4687:A 0.000317693
13 *4688:A *4687:A 4.82966e-05
14 *4876:D *995:8 1.21461e-06
15 *4877:D *4687:A 0.000571034
16 *275:11 *4699:A 0.000113025
17 *275:11 *995:23 0.000234309
18 *442:41 *4687:A 2.96881e-06
19 *457:28 *4699:A 7.77741e-05
20 *475:22 *4687:A 6.71124e-05
21 *623:24 *4699:A 0.000464193
22 *624:17 *4687:A 5.41227e-05
23 *825:27 *995:23 2.40651e-05
24 *825:29 *995:8 1.29348e-05
25 *825:29 *995:23 2.18741e-05
26 *825:47 *995:8 1.07248e-05
27 *891:8 *995:23 0
*RES
1 *4876:Q *995:8 19.7715
2 *995:8 *4687:A 31.8527
3 *995:8 *995:23 8.06078
4 *995:23 *4696:A 13.7491
5 *995:23 *4699:A 24.369
*END
*D_NET *996 0.00492694
*CONN
*I *4699:B I *D sky130_fd_sc_hd__or4_1
*I *4696:B I *D sky130_fd_sc_hd__and4_1
*I *4689:A I *D sky130_fd_sc_hd__and2_1
*I *4877:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4699:B 0.000137157
2 *4696:B 2.18332e-05
3 *4689:A 0.000201121
4 *4877:Q 0.000386186
5 *996:17 0.000579497
6 *996:8 0.000879886
7 *4699:B *4699:C 3.78945e-05
8 *996:8 *4699:C 6.22114e-05
9 *996:8 *998:8 0.000179803
10 *996:17 *4699:C 7.66983e-06
11 *996:17 *998:8 9.32931e-06
12 *4519:A *996:17 3.21069e-05
13 *4689:B *4689:A 0.000311235
14 *4690:A *4689:A 8.39059e-05
15 *4699:A *996:17 0.000162739
16 *4701:A2 *996:8 0.000352983
17 *269:9 *4689:A 0.000208658
18 *269:9 *996:17 8.90486e-05
19 *332:41 *996:8 2.57465e-06
20 *341:70 *4699:B 6.1061e-06
21 *341:70 *996:8 4.0605e-06
22 *440:34 *4699:B 2.77564e-05
23 *440:34 *996:8 1.5714e-05
24 *442:38 *996:8 1.16874e-05
25 *457:28 *4699:B 2.46841e-05
26 *457:28 *996:17 1.24457e-05
27 *458:14 *4699:B 4.04556e-05
28 *623:24 *4699:B 4.04556e-05
29 *623:24 *996:17 7.09666e-06
30 *633:15 *996:17 0.000213605
31 *825:83 *4689:A 0.000370815
32 *825:83 *996:17 0.000406218
33 *891:8 *996:8 0
*RES
1 *4877:Q *996:8 23.6425
2 *996:8 *996:17 23.1553
3 *996:17 *4689:A 18.2916
4 *996:17 *4696:B 9.82786
5 *996:8 *4699:B 16.7198
*END
*D_NET *997 0.00316061
*CONN
*I *4699:D I *D sky130_fd_sc_hd__or4_1
*I *4696:D I *D sky130_fd_sc_hd__and4_1
*I *4692:A I *D sky130_fd_sc_hd__and2_1
*I *4878:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4699:D 2.95659e-05
2 *4696:D 0.000191426
3 *4692:A 0.000789977
4 *4878:Q 0
5 *997:17 0.000386427
6 *997:4 0.000955413
7 *4699:A *4692:A 3.42853e-05
8 *4699:A *4696:D 0.000211559
9 *4699:A *4699:D 9.61086e-05
10 *4700:A2 *997:17 0
11 *5147:A *4692:A 0.000114074
12 *346:75 *4692:A 2.2224e-05
13 *440:50 *4692:A 2.65667e-05
14 *457:28 *4699:D 6.08467e-05
15 *457:28 *997:17 0
16 *623:24 *4692:A 1.00763e-05
17 *825:5 *4692:A 2.99978e-05
18 *825:16 *4692:A 7.09148e-05
19 *891:8 *4696:D 0.00013115
*RES
1 *4878:Q *997:4 9.24915
2 *997:4 *4692:A 31.2027
3 *997:4 *997:17 3.23117
4 *997:17 *4696:D 23.7141
5 *997:17 *4699:D 10.5271
*END
*D_NET *998 0.00238056
*CONN
*I *4699:C I *D sky130_fd_sc_hd__or4_1
*I *4696:C I *D sky130_fd_sc_hd__and4_1
*I *4879:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4699:C 0.000128172
2 *4696:C 0.000330103
3 *4879:Q 0.000249146
4 *998:8 0.000707421
5 *4699:B *4699:C 3.78945e-05
6 *269:9 *4696:C 0.000460421
7 *477:19 *998:8 0.000169733
8 *623:24 *4699:C 3.86547e-05
9 *996:8 *4699:C 6.22114e-05
10 *996:8 *998:8 0.000179803
11 *996:17 *4699:C 7.66983e-06
12 *996:17 *998:8 9.32931e-06
*RES
1 *4879:Q *998:8 19.0748
2 *998:8 *4696:C 19.6422
3 *998:8 *4699:C 16.7292
*END
*D_NET *999 0.00504603
*CONN
*I *4496:A_N I *D sky130_fd_sc_hd__and4b_1
*I *4483:A I *D sky130_fd_sc_hd__and2_1
*I *4486:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4700:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4485:B I *D sky130_fd_sc_hd__and2b_1
*I *4881:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4496:A_N 9.3285e-05
2 *4483:A 0.000283114
3 *4486:A_N 0
4 *4700:B1 9.63839e-05
5 *4485:B 0.000149354
6 *4881:Q 0
7 *999:34 0.000504494
8 *999:26 0.000223072
9 *999:17 0.000340816
10 *999:5 0.00029881
11 *4483:A *1028:20 0
12 *4485:B *1016:54 6.50586e-05
13 *4496:A_N *4488:B 6.50727e-05
14 *4496:A_N *1028:20 3.44284e-05
15 *4496:A_N *1029:15 6.92705e-05
16 *4456:A *999:17 0.000300945
17 *4483:B *4483:A 5.04829e-06
18 *4485:A_N *4485:B 0.000249627
19 *4485:A_N *999:17 0.000383083
20 *4494:B1 *4483:A 3.74433e-05
21 *4494:B1 *4496:A_N 9.90599e-06
22 *4502:B *4485:B 6.08232e-05
23 *4502:B *999:17 5.22654e-06
24 *4517:B1 *4485:B 0
25 *4700:A2 *4700:B1 1.09551e-05
26 *4845:CLK *4485:B 1.5613e-05
27 *240:13 *999:34 0.000373061
28 *334:32 *4483:A 0
29 *334:32 *999:17 1.74106e-05
30 *413:9 *4483:A 0.00011818
31 *439:16 *4483:A 0
32 *440:8 *999:17 2.09495e-05
33 *440:34 *4700:B1 0.00043711
34 *440:34 *999:26 4.66492e-05
35 *457:14 *4483:A 1.30227e-05
36 *457:28 *4483:A 4.58003e-05
37 *460:8 *999:34 6.50727e-05
38 *470:8 *4496:A_N 0.000122083
39 *470:8 *999:34 6.08467e-05
40 *488:16 *4485:B 0.000264586
41 *990:17 *4700:B1 9.51286e-05
42 *990:29 *4700:B1 7.92757e-06
43 *990:29 *999:26 4.43961e-05
44 *990:29 *999:34 1.19721e-05
*RES
1 *4881:Q *999:5 13.7491
2 *999:5 *4485:B 19.7687
3 *999:5 *999:17 11.7303
4 *999:17 *4700:B1 14.4335
5 *999:17 *999:26 2.38721
6 *999:26 *4486:A_N 9.24915
7 *999:26 *999:34 9.10562
8 *999:34 *4483:A 19.8758
9 *999:34 *4496:A_N 17.3427
*END
*D_NET *1000 0.0225391
*CONN
*I *4622:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4631:A I *D sky130_fd_sc_hd__xnor2_1
*I *4635:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4621:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4402:A I *D sky130_fd_sc_hd__inv_2
*I *4864:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4622:A1 0
2 *4631:A 5.16629e-06
3 *4635:A1 0.000352987
4 *4621:A1 1.72755e-05
5 *4402:A 0.00036447
6 *4864:Q 0.000228793
7 *1000:83 0.000884976
8 *1000:55 0.00064233
9 *1000:45 0.00201837
10 *1000:14 0.00246683
11 *1000:9 0.00041101
12 *4402:A *4375:A 5.85325e-05
13 *4402:A *4379:A 0.000607776
14 *4635:A1 *4647:A1 0.000154145
15 *4635:A1 *1001:12 2.11865e-05
16 *1000:9 *4405:A2 2.34031e-05
17 *1000:45 *4448:A1 0.00149256
18 *1000:45 *1001:56 0.000154145
19 *1000:45 *1015:27 3.29488e-05
20 *1000:83 *1001:12 0.000208874
21 *1000:83 *1001:40 1.97515e-05
22 *4403:A1 *1000:9 4.15236e-05
23 *4405:B1 *1000:83 0
24 *4407:B2 *1000:9 8.03951e-06
25 *4443:A *1000:45 6.08467e-05
26 *4443:B *1000:45 0.000390876
27 *4460:B1 *1000:83 2.0456e-06
28 *4575:A *4402:A 8.89319e-06
29 *4576:A1 *1000:45 6.50586e-05
30 *4621:A2 *4402:A 0.000217381
31 *4621:A2 *1000:55 4.66492e-05
32 *4621:B1 *4402:A 0.000238947
33 *4622:A2 *1000:83 7.61865e-05
34 *4716:A *1000:45 1.95765e-05
35 *4718:B *1000:45 0.000374834
36 *4818:A1 *4635:A1 1.5094e-05
37 *4855:CLK *1000:45 3.74433e-05
38 *4864:D *1000:14 1.94839e-05
39 *4864:D *1000:83 2.95452e-05
40 *4871:CLK *1000:9 0.00011906
41 *4871:D *1000:9 0.000411006
42 *225:14 *1000:45 0.000446971
43 *283:15 *1000:55 0.000554243
44 *330:106 *1000:14 0
45 *330:106 *1000:83 0
46 *335:29 *4635:A1 7.50872e-05
47 *344:66 *4402:A 3.63593e-05
48 *345:19 *1000:9 0.000108388
49 *348:22 *4402:A 2.05972e-05
50 *377:29 *4402:A 0.000438972
51 *400:59 *4631:A 2.12964e-05
52 *401:23 *1000:45 0.000927662
53 *412:65 *1000:9 0.000207208
54 *419:10 *1000:83 0
55 *535:41 *4402:A 4.97617e-05
56 *535:41 *1000:55 0.000127271
57 *554:21 *4402:A 3.54439e-05
58 *574:34 *1000:83 6.76686e-05
59 *575:5 *1000:45 0.000571279
60 *575:5 *1000:55 9.8401e-05
61 *582:35 *4635:A1 0.000236795
62 *583:52 *4635:A1 1.91246e-05
63 *592:64 *4635:A1 5.25945e-05
64 *592:64 *1000:83 3.34382e-05
65 *611:22 *1000:45 5.18123e-05
66 *611:22 *1000:55 0.000378738
67 *615:22 *1000:45 0.00377404
68 *615:36 *1000:45 1.82066e-05
69 *615:36 *1000:55 0.000317628
70 *616:49 *1000:83 0
71 *619:15 *1000:14 0.000111995
72 *619:15 *1000:83 8.61737e-06
73 *644:49 *1000:45 0.000305407
74 *646:16 *1000:45 6.50586e-05
75 *647:26 *1000:45 0.000178496
76 *777:30 *4631:A 2.12964e-05
77 *831:21 *1000:45 0.000462242
78 *831:27 *1000:45 6.08467e-05
79 *987:5 *1000:45 0.000230696
80 *987:16 *1000:45 7.7434e-05
*RES
1 *4864:Q *1000:9 28.2903
2 *1000:9 *1000:14 8.24948
3 *1000:14 *1000:45 49.5521
4 *1000:45 *1000:55 13.6662
5 *1000:55 *4402:A 32.4073
6 *1000:55 *4621:A1 9.82786
7 *1000:14 *1000:83 12.079
8 *1000:83 *4635:A1 22.2991
9 *1000:83 *4631:A 14.0023
10 *1000:9 *4622:A1 9.24915
*END
*D_NET *1001 0.0269124
*CONN
*I *4633:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4401:A I *D sky130_fd_sc_hd__inv_2
*I *4628:A I *D sky130_fd_sc_hd__nor2_1
*I *4634:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4629:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4865:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4633:A1 2.04191e-05
2 *4401:A 0
3 *4628:A 0.00051244
4 *4634:C1 6.87056e-05
5 *4629:C1 0.000349891
6 *4865:Q 6.54945e-05
7 *1001:86 0.000907692
8 *1001:78 0.00180891
9 *1001:56 0.00329535
10 *1001:40 0.00214761
11 *1001:12 0.000833405
12 *1001:8 0.000725803
13 *4628:A *4379:A 0.000222084
14 *4628:A *4608:A 0.000111722
15 *4628:A *4673:A 0.000154145
16 *4629:C1 *4647:A1 4.42742e-06
17 *1001:12 *1002:25 0
18 *1001:56 *1015:21 0.00071033
19 *1001:86 *1010:16 1.65456e-05
20 *4450:A *1001:56 1.2128e-05
21 *4460:B1 *1001:40 7.96573e-05
22 *4460:B1 *1001:56 5.65463e-05
23 *4558:B1 *4628:A 2.16355e-05
24 *4558:B1 *1001:86 7.81584e-05
25 *4559:A2 *1001:56 8.60109e-05
26 *4570:B1 *1001:56 0
27 *4570:C1 *1001:56 0
28 *4575:A *4628:A 1.62525e-05
29 *4595:B *4628:A 1.65872e-05
30 *4629:A2 *4629:C1 8.86032e-05
31 *4633:B1 *4633:A1 8.41713e-05
32 *4634:B1 *4634:C1 4.49912e-05
33 *4635:A1 *1001:12 2.11865e-05
34 *4635:A2 *4634:C1 4.69495e-06
35 *4635:A2 *1001:12 4.45057e-05
36 *4647:B1 *4629:C1 2.52921e-05
37 *4711:A *4628:A 2.90419e-05
38 *4719:A *1001:86 0.000119411
39 *4720:D *1001:78 0.000209232
40 *4854:CLK *1001:78 0.000177665
41 *4882:D *4628:A 0.000253916
42 *4889:D *1001:78 0.000119034
43 *38:17 *4633:A1 8.41713e-05
44 *38:17 *1001:56 0.00181947
45 *38:29 *4628:A 0.0001444
46 *284:17 *1001:78 5.36085e-05
47 *328:20 *4628:A 0.000534004
48 *330:16 *4628:A 0.000152476
49 *330:106 *1001:12 0
50 *331:91 *1001:56 0.000162413
51 *332:25 *4628:A 0.000122316
52 *338:81 *4629:C1 0.000202717
53 *348:22 *1001:86 2.549e-05
54 *378:20 *1001:78 2.43314e-05
55 *380:22 *1001:56 0.000160954
56 *382:23 *1001:86 0.00131175
57 *397:15 *4629:C1 0.000186436
58 *419:10 *1001:40 0.000109317
59 *419:10 *1001:56 6.01588e-05
60 *443:25 *1001:40 5.76913e-05
61 *490:33 *1001:40 0.000306145
62 *520:36 *4628:A 0.000347214
63 *522:13 *1001:78 7.95651e-05
64 *554:21 *4628:A 0.0001444
65 *565:29 *1001:78 0.000102293
66 *568:11 *4629:C1 3.33173e-06
67 *580:33 *1001:40 9.39824e-05
68 *580:33 *1001:56 0.000305827
69 *580:60 *1001:86 0.00152452
70 *582:10 *4634:C1 6.85547e-05
71 *582:10 *1001:12 0.000120437
72 *582:35 *1001:12 0.000282306
73 *592:64 *1001:8 9.95493e-05
74 *597:16 *4629:C1 3.74433e-05
75 *608:45 *4629:C1 1.03403e-05
76 *609:31 *1001:78 2.01283e-05
77 *612:15 *4628:A 0.000253916
78 *614:29 *1001:12 3.81082e-05
79 *615:22 *1001:56 0.000591017
80 *616:49 *1001:56 9.64795e-06
81 *617:11 *1001:8 0.000220665
82 *620:13 *4628:A 7.39264e-05
83 *645:20 *1001:78 0.00021218
84 *696:20 *1001:78 5.4678e-05
85 *777:30 *1001:12 0.000334069
86 *818:9 *1001:78 0.00132548
87 *829:53 *1001:78 3.14645e-05
88 *831:27 *1001:56 1.15389e-05
89 *855:14 *4629:C1 0.00047644
90 *902:25 *1001:78 0.00052994
91 *989:7 *1001:78 6.50727e-05
92 *1000:45 *1001:56 0.000154145
93 *1000:83 *1001:12 0.000208874
94 *1000:83 *1001:40 1.97515e-05
*RES
1 *4865:Q *1001:8 16.8692
2 *1001:8 *1001:12 13.569
3 *1001:12 *4629:C1 26.4031
4 *1001:12 *4634:C1 15.3735
5 *1001:8 *1001:40 10.4186
6 *1001:40 *1001:56 42.1746
7 *1001:56 *1001:78 47.8213
8 *1001:78 *1001:86 14.9006
9 *1001:86 *4628:A 45.2876
10 *1001:86 *4401:A 9.24915
11 *1001:40 *4633:A1 14.7498
*END
*D_NET *1002 0.0313328
*CONN
*I *4640:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4647:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4400:A I *D sky130_fd_sc_hd__inv_2
*I *4641:A I *D sky130_fd_sc_hd__nand2_1
*I *4866:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4640:A1 0
2 *4647:A2 0
3 *4400:A 0.0004718
4 *4641:A 6.67041e-06
5 *4866:Q 4.47622e-05
6 *1002:62 0.00193454
7 *1002:41 0.00349038
8 *1002:27 0.00221606
9 *1002:25 0.00141598
10 *1002:6 0.001279
11 *4400:A *4597:A 0.000111708
12 *1002:25 *4480:A1 2.37074e-05
13 *1002:25 *4647:A1 8.38141e-05
14 *1002:27 *4480:A1 6.7671e-06
15 *1002:62 *1026:6 0.000201339
16 *4480:B1 *1002:41 6.62461e-05
17 *4569:B *4400:A 6.23875e-05
18 *4603:A *4400:A 7.09666e-06
19 *4634:A1 *1002:25 0.000150983
20 *4635:A2 *1002:25 0.000222168
21 *4641:B *4400:A 0
22 *4650:B *1002:62 2.05342e-06
23 *4653:B *1002:41 0.0020044
24 *5049:A *1002:25 0.000163072
25 *258:29 *1002:41 0.00206945
26 *276:26 *1002:41 0.000435658
27 *288:14 *4400:A 1.65872e-05
28 *290:23 *4641:A 6.50586e-05
29 *330:14 *4400:A 6.99044e-06
30 *330:14 *1002:62 1.22756e-05
31 *330:16 *4400:A 0.000469756
32 *330:16 *1002:62 5.36536e-06
33 *330:106 *1002:25 4.4421e-05
34 *334:31 *1002:41 0.000205069
35 *334:84 *1002:25 9.15115e-06
36 *334:91 *1002:25 9.17705e-05
37 *335:29 *1002:25 0.000104202
38 *346:102 *1002:6 0.000149009
39 *346:102 *1002:25 0.000736554
40 *350:36 *1002:41 0
41 *351:23 *1002:41 0.000235451
42 *351:44 *1002:41 9.82896e-06
43 *397:15 *4400:A 3.6473e-05
44 *397:15 *1002:25 0.00104597
45 *456:14 *1002:41 1.63131e-05
46 *513:37 *1002:62 3.33781e-05
47 *518:42 *1002:41 9.30719e-05
48 *523:44 *4400:A 0.000104441
49 *526:8 *1002:41 0.000574863
50 *529:26 *1002:62 4.69495e-06
51 *534:16 *1002:41 5.74949e-05
52 *571:32 *1002:62 0.000115465
53 *579:49 *1002:62 0.00180873
54 *582:10 *1002:25 0.000100741
55 *587:41 *1002:62 0.0011252
56 *587:82 *1002:25 0.000353613
57 *592:18 *1002:62 3.74542e-05
58 *593:37 *1002:6 0.000139884
59 *593:37 *1002:25 0.000615917
60 *594:11 *1002:41 2.83379e-05
61 *597:16 *1002:27 0.000160617
62 *597:16 *1002:41 0.000217923
63 *603:31 *1002:41 2.1203e-06
64 *603:31 *1002:62 0.00175225
65 *608:40 *1002:62 0.00233902
66 *632:45 *1002:62 0.000951266
67 *656:17 *4641:A 6.92705e-05
68 *806:19 *1002:41 0.000235387
69 *837:14 *1002:41 0.000162967
70 *917:24 *4400:A 0
71 *946:8 *1002:41 0.000185022
72 *952:25 *1002:41 0.000313733
73 *963:11 *1002:41 1.96817e-05
74 *1001:12 *1002:25 0
*RES
1 *4866:Q *1002:6 16.4116
2 *1002:6 *1002:25 48.0964
3 *1002:25 *1002:27 2.94181
4 *1002:27 *1002:41 47.9238
5 *1002:41 *1002:62 22.6158
6 *1002:62 *4641:A 14.4725
7 *1002:62 *4400:A 26.5981
8 *1002:27 *4647:A2 9.24915
9 *1002:6 *4640:A1 13.7491
*END
*D_NET *1003 0.0104829
*CONN
*I *4399:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4406:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4642:A I *D sky130_fd_sc_hd__xor2_1
*I *4647:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4646:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4867:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4399:B_N 1.96859e-05
2 *4406:A_N 0.000364672
3 *4642:A 0
4 *4647:A1 0.000637091
5 *4646:A1 0.000288575
6 *4867:Q 0.000170724
7 *1003:59 0.000760277
8 *1003:26 0.000966412
9 *1003:9 0.000763256
10 *1003:5 0.000692003
11 *4399:B_N *1007:45 2.16355e-05
12 *4406:A_N *4405:A2 2.53624e-06
13 *4406:A_N *4477:A 0.000114523
14 *4406:A_N *1007:45 5.481e-05
15 *4406:A_N *1032:35 6.39244e-05
16 *4647:A1 *1014:9 1.41976e-05
17 *1003:5 *1046:44 0.000251669
18 *1003:9 *1046:44 0.000205101
19 *4407:A1 *4406:A_N 0.000331683
20 *4602:A *4647:A1 5.44609e-06
21 *4602:B *4647:A1 9.12416e-06
22 *4629:C1 *4647:A1 4.42742e-06
23 *4635:A1 *4647:A1 0.000154145
24 *4642:B *4647:A1 6.50586e-05
25 *4644:C *4646:A1 3.07076e-05
26 *4644:C *1003:26 3.18088e-05
27 *4646:A2 *4646:A1 1.16872e-05
28 *4646:B1 *4646:A1 2.69662e-05
29 *4646:C1 *4646:A1 1.98354e-05
30 *5131:TE_B *4399:B_N 1.92172e-05
31 *5131:TE_B *4406:A_N 5.97576e-05
32 *237:35 *4406:A_N 0.000111722
33 *324:13 *4647:A1 0.000207266
34 *334:91 *4406:A_N 6.34651e-06
35 *334:91 *1003:59 0.00081333
36 *336:125 *4647:A1 0.000128987
37 *346:102 *4406:A_N 0
38 *346:102 *1003:59 0.000808234
39 *346:104 *4406:A_N 0.000190206
40 *444:20 *4406:A_N 8.62625e-06
41 *490:55 *4646:A1 1.56321e-05
42 *490:55 *1003:26 0.000361752
43 *574:81 *4646:A1 2.1558e-06
44 *580:100 *4406:A_N 0.000292706
45 *587:5 *4647:A1 0.000148666
46 *587:41 *4647:A1 0.000115934
47 *587:82 *4647:A1 8.60976e-05
48 *587:82 *1003:26 0.000157854
49 *589:24 *4646:A1 0
50 *589:24 *1003:26 2.04806e-05
51 *593:10 *1003:26 0.000154145
52 *596:11 *4646:A1 8.9075e-06
53 *597:16 *4647:A1 8.68987e-05
54 *617:11 *4646:A1 4.11124e-06
55 *777:30 *4647:A1 0.000441717
56 *777:30 *1003:26 6.63489e-05
57 *1002:25 *4647:A1 8.38141e-05
*RES
1 *4867:Q *1003:5 12.191
2 *1003:5 *1003:9 6.88721
3 *1003:9 *4646:A1 19.2745
4 *1003:9 *1003:26 14.5327
5 *1003:26 *4647:A1 38.8929
6 *1003:26 *4642:A 9.24915
7 *1003:5 *1003:59 24.5353
8 *1003:59 *4406:A_N 31.4968
9 *1003:59 *4399:B_N 9.97254
*END
*D_NET *1004 0.0445054
*CONN
*I *4398:A I *D sky130_fd_sc_hd__inv_2
*I *4665:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4649:A I *D sky130_fd_sc_hd__or2_1
*I *4655:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4650:A I *D sky130_fd_sc_hd__nand2_1
*I *4868:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4398:A 0.000902463
2 *4665:A2 2.80995e-05
3 *4649:A 0.000101195
4 *4655:A1 2.09471e-05
5 *4650:A 9.48947e-05
6 *4868:Q 0.0001405
7 *1004:109 0.00230813
8 *1004:108 0.00154745
9 *1004:99 0.00116641
10 *1004:67 0.00380803
11 *1004:39 0.00490546
12 *1004:23 0.00366681
13 *1004:12 0.00260652
14 *1004:7 0.000212276
15 *1004:5 0.00113703
16 *4665:A2 *4459:A1 1.41291e-05
17 *4665:A2 *4665:A1 2.65831e-05
18 *1004:67 *4617:A 0.000814576
19 *1004:99 *1037:36 0.00015319
20 *1004:108 *4459:A1 5.80004e-05
21 *1004:109 *4395:A 0.000755327
22 io_oeb[11] *1004:109 2.02035e-05
23 io_oeb[20] *1004:109 2.02035e-05
24 io_oeb[26] *1004:99 0
25 io_oeb[29] *1004:109 2.02035e-05
26 io_out[10] *1004:109 0.000113188
27 io_out[16] *1004:109 4.40272e-05
28 io_out[23] *1004:109 2.02035e-05
29 io_out[34] *1004:99 0
30 io_out[35] *1004:99 0
31 io_out[4] *1004:109 2.02035e-05
32 la1_data_out[19] *1004:109 2.02035e-05
33 la1_data_out[6] *1004:109 8.35594e-05
34 *4275:A *1004:67 6.63077e-05
35 *4459:A2 *1004:108 0.000111722
36 *4625:A1 *1004:23 0.000217873
37 *4625:A2 *1004:23 1.92336e-05
38 *4650:B *4650:A 9.12416e-06
39 *4651:A *4649:A 6.50586e-05
40 *4653:A *4650:A 0
41 *4653:B *1004:67 0.000205007
42 *4657:C *1004:99 0.000226267
43 *4658:A1 *4649:A 0.000230554
44 *4658:A1 *1004:12 3.91786e-05
45 *4658:A2 *1004:99 0.000197899
46 *4765:B *1004:67 2.15927e-05
47 *4794:B *1004:23 0
48 *4794:B *1004:39 0.000114078
49 *4795:B2 *1004:39 0.00011818
50 *4818:B2 *1004:23 0.000466387
51 *4866:D *1004:23 5.23916e-05
52 *4909:CLK *1004:67 2.67447e-05
53 *4915:CLK *1004:39 7.48797e-05
54 *4926:CLK *1004:39 0.000107496
55 *5038:TE_B *4398:A 0.000140564
56 *5049:TE_B *1004:109 0.000176465
57 *5068:TE_B *1004:109 0.00015602
58 *5098:A *1004:5 0.000260388
59 *5098:TE_B *1004:5 4.30017e-06
60 *5098:TE_B *1004:99 1.00846e-05
61 *276:26 *1004:67 6.03122e-05
62 *291:22 *4650:A 6.50586e-05
63 *310:24 *1004:67 0.000120237
64 *316:26 *1004:67 0.000113478
65 *318:16 *1004:67 9.73637e-05
66 *331:68 *1004:67 0.00167395
67 *332:87 *1004:67 0.000507501
68 *334:31 *1004:67 1.03966e-05
69 *335:46 *1004:109 0.000719982
70 *335:48 *1004:109 0
71 *337:12 *1004:99 0
72 *337:12 *1004:109 0.00355115
73 *343:56 *1004:109 0
74 *398:7 *4398:A 1.03403e-05
75 *443:25 *4665:A2 4.58003e-05
76 *443:25 *1004:108 8.65358e-05
77 *513:60 *1004:67 0
78 *525:59 *1004:23 0.000210023
79 *525:59 *1004:39 0.000315461
80 *526:8 *1004:23 1.62073e-05
81 *569:10 *1004:23 6.72229e-05
82 *576:15 *1004:23 5.65971e-05
83 *576:31 *1004:99 0.000176174
84 *578:11 *1004:23 9.80242e-07
85 *579:49 *1004:67 0
86 *590:26 *1004:67 1.75436e-05
87 *592:18 *4650:A 0.000155012
88 *594:11 *1004:39 0.000203336
89 *598:34 *1004:23 9.80242e-07
90 *598:48 *1004:67 0.000128353
91 *600:17 *4650:A 5.04829e-06
92 *600:60 *1004:39 4.31539e-05
93 *600:60 *1004:67 2.14935e-05
94 *601:62 *4649:A 3.60268e-05
95 *601:62 *1004:12 0.000215043
96 *605:20 *1004:99 0.000956786
97 *606:8 *1004:23 5.30145e-05
98 *608:40 *1004:67 0.000379054
99 *643:19 *1004:67 0.000118774
100 *673:18 *1004:67 0.000415324
101 *699:16 *1004:67 0.000185367
102 *704:11 *1004:39 5.24861e-05
103 *704:25 *1004:39 0.001315
104 *767:21 *1004:67 7.21828e-06
105 *776:8 *1004:12 0.000119553
106 *791:11 *1004:109 8.20492e-06
107 *830:18 *1004:67 1.78895e-05
108 *830:85 *1004:67 0.000247139
109 *832:132 *1004:23 0.000107496
110 *832:145 *1004:23 0.000440512
111 *880:37 *1004:67 5.46889e-05
112 *882:14 *1004:67 0.00160537
113 *893:8 *1004:109 0.000300971
114 *916:29 *1004:67 0.000102394
115 *916:33 *1004:39 0.000954361
116 *917:24 *4650:A 5.76134e-05
117 *919:14 *1004:67 5.60804e-05
118 *946:8 *1004:39 0.000199753
119 *964:12 *1004:67 2.16057e-05
120 *965:10 *1004:67 5.01835e-05
*RES
1 *4868:Q *1004:5 12.7456
2 *1004:5 *1004:7 4.5
3 *1004:7 *1004:12 9.14105
4 *1004:12 *1004:23 49.9088
5 *1004:23 *1004:39 49.0207
6 *1004:39 *1004:67 47.396
7 *1004:67 *4650:A 20.7115
8 *1004:12 *4655:A1 9.69524
9 *1004:7 *4649:A 17.5503
10 *1004:5 *1004:99 42.9864
11 *1004:99 *4665:A2 10.5271
12 *1004:99 *1004:108 9.10562
13 *1004:108 *1004:109 72.8401
14 *1004:109 *4398:A 32.2681
*END
*D_NET *1005 0.0292366
*CONN
*I *4665:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4661:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4397:A I *D sky130_fd_sc_hd__inv_2
*I *4869:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4665:A1 0.000525256
2 *4661:A1 0
3 *4397:A 0.000153328
4 *4869:Q 0.000430065
5 *1005:54 0.0013458
6 *1005:29 0.00335505
7 *1005:9 0.0025618
8 *1005:5 0.00135454
9 *4397:A *4378:A 5.87853e-05
10 *4665:A1 *4459:A1 0.000209232
11 *4665:A1 *1038:8 1.73713e-05
12 *1005:29 *4553:A 0.000111722
13 *1005:29 *4566:C1 0.000595275
14 *1005:29 *1026:6 6.61114e-05
15 *1005:29 *1027:26 0.000406794
16 *1005:29 *1027:43 0.000158451
17 *1005:54 *1027:26 0.000111708
18 *4568:B *1005:29 0.000487645
19 *4568:B *1005:54 0.00168903
20 *4661:B2 *1005:9 1.03434e-05
21 *4665:A2 *4665:A1 2.65831e-05
22 *4665:B1 *4665:A1 6.08467e-05
23 *4733:A *1005:54 5.11321e-05
24 *4734:A *4397:A 0.000555245
25 *4740:A *4397:A 7.81557e-05
26 *4744:C *1005:54 3.66718e-05
27 *4745:C *1005:54 8.4653e-05
28 *4748:A4 *1005:54 7.62851e-05
29 *4817:B *1005:29 2.4469e-05
30 *4869:D *1005:9 0.000399569
31 *5040:TE_B *4665:A1 0
32 *248:19 *1005:54 5.45571e-05
33 *290:23 *1005:54 0.000190278
34 *326:72 *1005:29 9.38345e-06
35 *335:74 *1005:29 0.00358245
36 *341:56 *1005:29 1.29445e-05
37 *344:59 *1005:54 3.83492e-06
38 *345:13 *1005:29 0.000324248
39 *379:30 *1005:54 7.09666e-06
40 *443:25 *4665:A1 6.7671e-06
41 *456:14 *4665:A1 0.000675229
42 *456:14 *1005:29 0.000165631
43 *534:16 *1005:29 0
44 *556:28 *1005:54 0.00169331
45 *571:32 *1005:29 0.000428727
46 *576:31 *1005:5 6.23875e-05
47 *576:31 *1005:9 5.64721e-05
48 *579:13 *1005:29 8.16231e-05
49 *579:49 *1005:29 0.00152087
50 *587:66 *1005:54 2.36936e-05
51 *594:11 *1005:29 0.00285152
52 *601:62 *1005:29 1.51692e-05
53 *608:40 *1005:29 8.75598e-05
54 *609:24 *1005:29 2.58521e-05
55 *609:31 *1005:29 8.19081e-05
56 *632:45 *1005:29 0.000383323
57 *632:45 *1005:54 0.000465859
58 *656:23 *4397:A 6.50727e-05
59 *656:23 *1005:54 3.74542e-05
60 *718:45 *1005:29 0.00051852
61 *756:10 *4665:A1 0
62 *829:78 *1005:54 1.5714e-05
63 *829:89 *1005:54 6.8939e-05
64 *855:14 *1005:29 0.000498488
65 *917:24 *1005:54 0.000100314
66 *981:8 *1005:29 7.94607e-05
*RES
1 *4869:Q *1005:5 15.5186
2 *1005:5 *1005:9 7.25807
3 *1005:9 *1005:29 48.6257
4 *1005:29 *1005:54 49.3057
5 *1005:54 *4397:A 16.0973
6 *1005:9 *4661:A1 9.24915
7 *1005:5 *4665:A1 34.2589
*END
*D_NET *1006 0.0236549
*CONN
*I *4669:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4663:A I *D sky130_fd_sc_hd__and2_1
*I *4396:A I *D sky130_fd_sc_hd__inv_2
*I *4662:A I *D sky130_fd_sc_hd__nor2_1
*I *4870:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4669:A1 2.68991e-05
2 *4663:A 0
3 *4396:A 0
4 *4662:A 0.000455221
5 *4870:Q 0.000633353
6 *1006:63 0.00231313
7 *1006:57 0.00274707
8 *1006:45 0.000460838
9 *1006:27 0.00106896
10 *1006:20 0.00164514
11 *1006:8 0.00166476
12 *1006:20 *4410:A2 0.000540911
13 *1006:20 *1036:46 0.00028324
14 *4413:B1 *1006:20 1.72464e-05
15 *4450:A *1006:57 0.000220183
16 *4450:A *1006:63 5.04829e-06
17 *4467:A *1006:20 0.000407305
18 *4472:A *4662:A 0.000226186
19 *4481:A2 *1006:20 0
20 *4592:A *1006:63 0.000111453
21 *4669:B1 *4669:A1 4.66492e-05
22 *4669:B1 *1006:57 0.000689459
23 *4669:B1 *1006:63 2.97556e-05
24 *4669:C1 *1006:57 2.54507e-05
25 *4842:D *1006:8 0.000230896
26 *4842:D *1006:20 0.000634931
27 *4870:D *1006:8 3.22707e-05
28 *5056:A *4662:A 0.000207266
29 *5056:TE_B *4662:A 7.34948e-06
30 *38:17 *1006:57 2.54507e-05
31 *326:63 *4662:A 5.22654e-06
32 *326:63 *1006:27 0.000158371
33 *326:69 *1006:20 0.000131991
34 *326:72 *1006:57 0.000338762
35 *335:46 *1006:8 0.00010996
36 *337:24 *1006:27 0.000257879
37 *337:26 *1006:27 9.84111e-05
38 *375:28 *1006:20 0.000602557
39 *375:28 *1006:27 0.000363126
40 *395:12 *1006:27 0
41 *397:29 *1006:57 0.00014871
42 *412:78 *1006:20 8.76398e-05
43 *436:8 *1006:20 0
44 *436:26 *4662:A 0.000205332
45 *450:23 *4662:A 0.000113002
46 *451:7 *4662:A 7.22498e-05
47 *452:14 *1006:20 0.000442964
48 *452:27 *1006:20 0.000121489
49 *452:33 *1006:27 0.00125676
50 *454:70 *4662:A 1.777e-05
51 *574:20 *1006:20 2.53815e-06
52 *574:20 *1006:27 1.36862e-05
53 *574:29 *1006:57 3.91048e-05
54 *580:45 *1006:63 0.000107855
55 *616:49 *1006:63 0.000658437
56 *752:11 *1006:20 0.000884353
57 *760:8 *4662:A 5.89474e-05
58 *760:8 *1006:20 6.77948e-06
59 *760:8 *1006:27 1.89644e-05
60 *776:8 *1006:8 0
61 *831:35 *1006:63 0.000869626
62 *831:43 *1006:63 6.50727e-05
63 *831:76 *1006:63 0.000941397
64 *831:86 *1006:63 0.000699556
*RES
1 *4870:Q *1006:8 24.8967
2 *1006:8 *1006:20 45.8121
3 *1006:20 *1006:27 31.1159
4 *1006:27 *4662:A 33.0271
5 *1006:27 *4396:A 9.24915
6 *1006:8 *1006:45 4.5
7 *1006:45 *1006:57 29.8898
8 *1006:57 *1006:63 49.1291
9 *1006:63 *4663:A 9.24915
10 *1006:45 *4669:A1 9.97254
*END
*D_NET *1007 0.0310063
*CONN
*I *4673:A I *D sky130_fd_sc_hd__nor2_1
*I *4395:A I *D sky130_fd_sc_hd__inv_2
*I *4871:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4673:A 0.00152048
2 *4395:A 0.000720686
3 *4871:Q 0.000109299
4 *1007:62 0.00268481
5 *1007:45 0.00391831
6 *1007:25 0.00212763
7 *1007:8 0.00427021
8 *1007:25 *4399:A 0.000449367
9 *1007:25 *4471:A 0.000112149
10 *1007:25 *1033:7 1.43848e-05
11 *1007:25 *1033:49 1.36556e-05
12 *1007:25 *1034:28 0
13 *1007:25 *1035:8 0.000150323
14 *1007:45 *4391:A2 1.19726e-05
15 *1007:45 *4403:B1 0.000502232
16 *1007:62 *4385:A2 7.63206e-06
17 *1007:62 *4385:B1 0.000446549
18 *1007:62 *1008:17 4.69495e-06
19 io_oeb[20] *4395:A 2.37478e-05
20 io_out[10] *4395:A 0.000117754
21 io_out[16] *4395:A 4.87445e-05
22 io_out[23] *4395:A 2.37478e-05
23 la1_data_out[19] *4395:A 2.37478e-05
24 *4256:A *1007:25 0.000260374
25 *4266:A *4395:A 8.59811e-06
26 *4390:B2 *1007:45 0.000386282
27 *4394:B1 *1007:45 0.000193956
28 *4394:B2 *1007:45 8.62625e-06
29 *4399:B_N *1007:45 2.16355e-05
30 *4403:C1 *1007:45 5.12467e-05
31 *4406:A_N *1007:45 5.481e-05
32 *4408:B1 *1007:25 0.000284063
33 *4441:A1 *1007:45 3.74433e-05
34 *4441:A2 *1007:45 3.29488e-05
35 *4443:A *1007:45 0.00132201
36 *4449:B *1007:62 0.000218638
37 *4450:A *1007:45 0.000268006
38 *4575:A *4673:A 0.000128893
39 *4595:B *4673:A 0.000200794
40 *4628:A *4673:A 0.000154145
41 *4706:B *4673:A 6.12816e-05
42 *4706:B *1007:62 6.50586e-05
43 *4723:B *1007:62 6.50586e-05
44 *4724:B *1007:62 2.40662e-05
45 *4836:CLK *1007:45 3.17103e-05
46 *4839:D *1007:25 0.000122098
47 *4840:D *1007:25 0.000189287
48 *5131:TE_B *1007:45 2.51718e-05
49 *229:20 *4673:A 0.000163203
50 *284:17 *4673:A 0.000307037
51 *326:72 *1007:25 0
52 *341:70 *1007:62 1.66626e-05
53 *343:56 *4395:A 0.000343667
54 *346:102 *1007:8 1.62054e-05
55 *346:102 *1007:25 2.66971e-05
56 *351:54 *1007:45 8.51784e-06
57 *378:21 *1007:45 0.00193891
58 *378:21 *1007:62 0.000890177
59 *384:17 *1007:62 0.000156545
60 *395:7 *4395:A 2.65831e-05
61 *398:8 *1007:25 0.000546027
62 *402:23 *1007:45 0.000651439
63 *412:13 *1007:45 0.000158567
64 *412:65 *1007:8 9.19886e-06
65 *413:50 *1007:25 2.41274e-06
66 *428:14 *1007:45 7.8801e-05
67 *428:91 *1007:45 0.000272316
68 *451:10 *1007:25 2.04806e-05
69 *452:13 *1007:25 1.97947e-05
70 *520:36 *4673:A 4.76248e-05
71 *565:17 *4673:A 6.34143e-05
72 *609:31 *1007:62 3.77568e-05
73 *620:13 *4673:A 0.00125946
74 *620:38 *1007:62 1.68741e-05
75 *646:16 *1007:62 0.000486489
76 *791:11 *4395:A 0.001087
77 *840:15 *1007:45 8.07941e-05
78 *1004:109 *4395:A 0.000755327
*RES
1 *4871:Q *1007:8 15.8893
2 *1007:8 *1007:25 48.9705
3 *1007:25 *4395:A 47.5878
4 *1007:8 *1007:45 49.552
5 *1007:45 *1007:62 38.4979
6 *1007:62 *4673:A 46.761
*END
*D_NET *1008 0.0158971
*CONN
*I *4559:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4572:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4568:A I *D sky130_fd_sc_hd__xnor2_1
*I *4558:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4384:A I *D sky130_fd_sc_hd__inv_2
*I *4854:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4559:A1 4.73929e-05
2 *4572:A1 0.000508063
3 *4568:A 2.48908e-05
4 *4558:A1 0.000194269
5 *4384:A 5.10452e-05
6 *4854:Q 0
7 *1008:44 0.00170807
8 *1008:36 0.00132205
9 *1008:17 0.00118132
10 *1008:5 0.00103554
11 *4572:A1 *1009:78 6.61711e-06
12 *1008:44 *4448:A1 6.33279e-05
13 *4443:A *4559:A1 0
14 *4443:A *1008:17 3.98033e-05
15 *4443:A *1008:36 3.02981e-05
16 *4443:A *1008:44 9.02779e-05
17 *4443:C *1008:17 9.82762e-06
18 *4555:B1 *4572:A1 1.80225e-05
19 *4568:B *4568:A 6.08467e-05
20 *4568:B *4572:A1 0.000188061
21 *4571:A1 *4572:A1 3.44695e-05
22 *4572:B1 *4572:A1 0.000229617
23 *4583:A *1008:44 3.49654e-05
24 *4711:A *4558:A1 0.000107496
25 *4715:A *4384:A 0.00011818
26 *4723:B *1008:17 5.01835e-05
27 *341:70 *1008:17 1.50389e-06
28 *346:75 *4384:A 1.32841e-05
29 *346:75 *4558:A1 4.09883e-05
30 *378:20 *1008:17 4.60716e-05
31 *378:21 *1008:17 0.00195686
32 *382:23 *1008:17 0.00184479
33 *385:23 *4384:A 6.50586e-05
34 *419:33 *1008:44 0.000184777
35 *432:41 *1008:44 5.90839e-05
36 *515:8 *4572:A1 0.000128114
37 *515:15 *4572:A1 0.00013521
38 *519:8 *4572:A1 7.75563e-05
39 *519:13 *4572:A1 3.29488e-05
40 *519:13 *1008:44 0.000110505
41 *520:26 *4558:A1 2.43314e-05
42 *520:36 *4558:A1 3.27792e-05
43 *522:13 *4558:A1 6.11359e-06
44 *523:77 *1008:17 6.71498e-05
45 *523:77 *1008:36 8.75567e-05
46 *523:77 *1008:44 0.000595467
47 *525:16 *1008:44 5.31843e-05
48 *527:94 *1008:44 0.000215484
49 *534:16 *4572:A1 4.02726e-06
50 *534:16 *1008:44 5.94947e-05
51 *554:21 *4559:A1 0.000115934
52 *580:60 *1008:17 0.000927438
53 *584:33 *4568:A 3.63291e-05
54 *588:29 *4568:A 0.000107496
55 *620:13 *4384:A 1.92336e-05
56 *620:38 *1008:17 2.33103e-06
57 *645:20 *4384:A 3.29488e-05
58 *645:20 *4558:A1 0.00014963
59 *646:16 *1008:17 0.00130635
60 *689:17 *4572:A1 6.56365e-05
61 *718:45 *4572:A1 1.00454e-05
62 *818:9 *4559:A1 0.000115934
63 *902:25 *4558:A1 6.14756e-06
64 *1007:62 *1008:17 4.69495e-06
*RES
1 *4854:Q *1008:5 13.7491
2 *1008:5 *1008:17 22.0687
3 *1008:17 *4384:A 15.821
4 *1008:17 *4558:A1 18.7278
5 *1008:5 *1008:36 2.24725
6 *1008:36 *1008:44 33.8797
7 *1008:44 *4568:A 15.0271
8 *1008:44 *4572:A1 26.3777
9 *1008:36 *4559:A1 15.7599
*END
*D_NET *1009 0.0261384
*CONN
*I *4571:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4566:C1 I *D sky130_fd_sc_hd__o221a_1
*I *4570:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4383:A I *D sky130_fd_sc_hd__inv_2
*I *4565:A I *D sky130_fd_sc_hd__nor2_1
*I *4855:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4571:C1 0.000241053
2 *4566:C1 0.00014021
3 *4570:A1 5.90433e-06
4 *4383:A 0
5 *4565:A 0
6 *4855:Q 0.000241538
7 *1009:78 0.00165483
8 *1009:57 0.00158536
9 *1009:44 0.00112125
10 *1009:32 0.00140239
11 *1009:27 0.00236577
12 *1009:7 0.00263207
13 *1009:27 *4385:B1 0.000299892
14 *1009:27 *1039:8 0
15 *1009:27 *1041:12 0.000156135
16 *1009:27 *1041:25 0.000190408
17 *1009:78 *4553:B 0.000169041
18 *1009:78 *1012:33 0.000177989
19 *1060:DIODE *1009:44 8.01687e-05
20 *4267:A *1009:78 0.000257999
21 *4380:A *1009:27 0.000245247
22 *4446:A *1009:27 0.000115893
23 *4446:A *1009:44 9.12416e-06
24 *4455:A *1009:27 0.0014437
25 *4555:A2 *1009:78 7.09666e-06
26 *4558:B1 *1009:44 0.000324248
27 *4562:A1 *1009:78 2.31718e-05
28 *4564:A *1009:78 1.91246e-05
29 *4570:A2 *4570:A1 2.43387e-05
30 *4570:B1 *1009:57 5.05642e-05
31 *4570:B1 *1009:78 0.000285535
32 *4570:C1 *1009:27 0.000272314
33 *4570:C1 *1009:57 5.46401e-05
34 *4571:A1 *4571:C1 1.48605e-05
35 *4572:A1 *1009:78 6.61711e-06
36 *4573:A *1009:57 6.23297e-05
37 *4573:A *1009:78 0.000439499
38 *4582:B *1009:78 0
39 *4586:A3 *1009:78 6.21551e-05
40 *4586:B1 *1009:78 4.42742e-06
41 *4590:B1_N *1009:78 3.32165e-06
42 *4595:B *1009:44 2.54649e-05
43 *4641:B *1009:44 3.54374e-05
44 *4705:A *1009:44 0.000200794
45 *4715:A *1009:44 0.000168348
46 *4719:A *1009:44 0.000203486
47 *4722:C *1009:27 0
48 *4858:D *1009:78 2.51488e-05
49 *5141:A *1009:32 0.000374776
50 *330:16 *1009:44 0
51 *346:75 *1009:32 0.000370108
52 *348:22 *1009:44 1.38715e-05
53 *377:37 *1009:27 1.80122e-05
54 *380:22 *1009:27 0.000107852
55 *402:23 *1009:27 0.00021354
56 *431:17 *1009:27 0
57 *433:25 *1009:27 0.000117292
58 *433:45 *1009:44 0.000205006
59 *437:21 *1009:27 0
60 *438:19 *1009:44 5.01835e-05
61 *513:14 *1009:27 0.000150865
62 *514:16 *1009:27 0.00109446
63 *515:8 *4571:C1 2.40072e-05
64 *515:15 *1009:78 1.74104e-05
65 *525:16 *1009:78 7.66983e-06
66 *525:59 *4571:C1 1.89815e-05
67 *526:31 *1009:78 0
68 *534:16 *4566:C1 2.02035e-05
69 *534:33 *1009:27 0.00036892
70 *549:23 *1009:78 1.23614e-05
71 *560:20 *1009:7 0.000207892
72 *560:20 *1009:57 4.69495e-06
73 *561:10 *1009:44 0
74 *579:49 *4571:C1 6.78284e-05
75 *579:49 *1009:78 7.60356e-05
76 *580:60 *1009:44 0.000230944
77 *582:42 *1009:44 0.000486909
78 *583:20 *1009:44 0.000473529
79 *584:33 *1009:78 5.88834e-06
80 *608:40 *4566:C1 0.00058158
81 *608:40 *4571:C1 7.94712e-05
82 *608:40 *1009:78 4.63742e-05
83 *620:38 *1009:27 0.000258128
84 *759:17 *1009:78 6.08467e-05
85 *818:9 *1009:7 6.46921e-05
86 *826:25 *1009:27 5.03026e-05
87 *831:27 *4570:A1 2.43387e-05
88 *840:15 *1009:44 0.00127545
89 *865:22 *1009:27 0.00150912
90 *984:24 *1009:27 4.69495e-06
91 *1005:29 *4566:C1 0.000595275
*RES
1 *4855:Q *1009:7 17.8002
2 *1009:7 *1009:27 49.7643
3 *1009:27 *1009:32 16.1372
4 *1009:32 *1009:44 43.0383
5 *1009:44 *4565:A 9.24915
6 *1009:32 *4383:A 9.24915
7 *1009:7 *1009:57 6.20436
8 *1009:57 *4570:A1 14.0385
9 *1009:57 *1009:78 37.9198
10 *1009:78 *4566:C1 21.6801
11 *1009:78 *4571:C1 18.5978
*END
*D_NET *1010 0.0147643
*CONN
*I *4578:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4585:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4381:A I *D sky130_fd_sc_hd__inv_2
*I *4579:A I *D sky130_fd_sc_hd__nand2_1
*I *4856:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4578:A1 0
2 *4585:A2 0.000238806
3 *4381:A 0
4 *4579:A 0.000271167
5 *4856:Q 0.000141609
6 *1010:36 0.000462638
7 *1010:16 0.00164526
8 *1010:7 0.00173954
9 *4579:A *4375:A 0.000106393
10 *4579:A *4595:A 6.08467e-05
11 *1010:16 *4375:A 5.49916e-05
12 *1010:16 *4379:A 0.000303799
13 *1010:16 *4608:A 0
14 *1010:16 *1011:8 4.28184e-05
15 *1010:16 *1015:27 2.75462e-05
16 *4558:B1 *1010:16 8.10016e-06
17 *4573:A *4585:A2 0.00010322
18 *4578:A2 *4585:A2 2.90584e-05
19 *4578:B1 *4585:A2 1.60275e-05
20 *4578:B1 *1010:36 5.16591e-05
21 *4578:C1 *4585:A2 0.000107496
22 *4580:B *4585:A2 1.78704e-05
23 *4583:A *1010:36 1.05272e-06
24 *4586:B1 *4585:A2 2.20286e-05
25 *4621:A2 *4579:A 1.03403e-05
26 *4673:B *4579:A 1.80122e-05
27 *4719:A *1010:16 6.03122e-05
28 *4856:D *1010:36 0.000583852
29 *4886:D *4579:A 0.000111708
30 *284:17 *1010:16 0.00270343
31 *344:66 *4579:A 0
32 *348:22 *4579:A 4.97207e-06
33 *348:22 *1010:16 0.000123931
34 *376:9 *4579:A 1.83307e-05
35 *377:29 *4579:A 1.62909e-05
36 *382:23 *1010:16 0.00314307
37 *402:14 *4579:A 1.05272e-06
38 *435:15 *1010:16 4.24095e-05
39 *435:15 *1010:36 2.10081e-05
40 *534:33 *1010:16 0.0001584
41 *535:41 *4579:A 7.13972e-05
42 *539:36 *4585:A2 3.12676e-05
43 *554:21 *1010:16 1.48287e-05
44 *573:112 *4579:A 7.12207e-05
45 *612:53 *4585:A2 0.000417759
46 *612:53 *1010:36 0.000500966
47 *616:30 *1010:7 0.000210077
48 *616:30 *1010:36 0.000103249
49 *647:26 *1010:16 0.000138583
50 *696:20 *1010:16 0.00043783
51 *831:16 *1010:16 0.000171941
52 *840:15 *1010:16 2.1203e-06
53 *902:11 *4579:A 0.000107496
54 *1001:86 *1010:16 1.65456e-05
*RES
1 *4856:Q *1010:7 16.1364
2 *1010:7 *1010:16 30.5232
3 *1010:16 *4579:A 25.8226
4 *1010:16 *4381:A 9.24915
5 *1010:7 *1010:36 15.2365
6 *1010:36 *4585:A2 25.958
7 *1010:36 *4578:A1 9.24915
*END
*D_NET *1011 0.0151928
*CONN
*I *4584:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4585:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4379:A I *D sky130_fd_sc_hd__inv_2
*I *4857:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4584:A1 0
2 *4585:A1 0.000528629
3 *4379:A 0.00167678
4 *4857:Q 5.58164e-05
5 *1011:34 0.000699505
6 *1011:8 0.00190348
7 *4379:A *4376:A 1.77537e-06
8 *4379:A *4608:A 0.000206
9 *4379:A *1015:27 0.00323629
10 *4402:A *4379:A 0.000607776
11 *4573:A *4585:A1 1.24189e-05
12 *4575:A *4379:A 0.000347528
13 *4579:B *4379:A 3.40163e-05
14 *4584:A2 *4585:A1 0.00029452
15 *4585:B1 *4585:A1 4.0752e-05
16 *4628:A *4379:A 0.000222084
17 *4632:B *4379:A 0.000258222
18 *4633:B1 *4585:A1 4.58003e-05
19 *4719:A *4379:A 4.06322e-05
20 *4857:D *1011:34 0.000395923
21 *328:20 *4379:A 3.59584e-05
22 *332:25 *4379:A 3.17436e-05
23 *377:29 *4379:A 0.000626543
24 *380:13 *4379:A 0.000100369
25 *401:17 *4379:A 0.000595792
26 *401:23 *4379:A 0.000989628
27 *432:41 *1011:34 1.92172e-05
28 *435:15 *4379:A 0.000303799
29 *435:15 *1011:8 4.63742e-05
30 *535:41 *4379:A 0.000104492
31 *544:18 *4585:A1 7.98425e-06
32 *554:21 *4379:A 3.52947e-05
33 *585:23 *4585:A1 3.50311e-06
34 *585:23 *1011:8 0.000156955
35 *585:23 *1011:34 8.22577e-05
36 *611:18 *4585:A1 0.000235206
37 *616:7 *4379:A 0.000258222
38 *647:26 *4379:A 0.000604897
39 *1010:16 *4379:A 0.000303799
40 *1010:16 *1011:8 4.28184e-05
*RES
1 *4857:Q *1011:8 16.5832
2 *1011:8 *4379:A 46.5388
3 *1011:8 *1011:34 11.4687
4 *1011:34 *4585:A1 28.3413
5 *1011:34 *4584:A1 9.24915
*END
*D_NET *1012 0.0140699
*CONN
*I *4600:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4593:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *4378:A I *D sky130_fd_sc_hd__inv_2
*I *4591:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4858:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4600:A2 0.000420439
2 *4593:A1 0.00013079
3 *4378:A 0.000516112
4 *4591:A1 0.000160136
5 *4858:Q 0
6 *1012:49 0.000605715
7 *1012:33 0.00165703
8 *1012:7 0.00188401
9 *1012:4 0.000637441
10 *4600:A2 *4600:A1 1.40978e-05
11 *1012:33 *4553:B 0.000918535
12 *4268:A *1012:33 6.08467e-05
13 *4397:A *4378:A 5.87853e-05
14 *4555:B1 *1012:33 0.000111708
15 *4561:A_N *1012:33 4.66492e-05
16 *4561:D *1012:33 0.000107181
17 *4562:A1 *1012:33 5.31074e-05
18 *4562:A2 *1012:33 0.000109842
19 *4590:B1_N *4591:A1 2.57986e-05
20 *4591:A2 *4591:A1 6.64392e-05
21 *4600:B1 *4600:A2 1.43983e-05
22 *4737:A *4378:A 0.0002646
23 *4739:A *4378:A 0.000538214
24 *4740:A *4378:A 0.000540861
25 *4745:C *1012:33 1.65872e-05
26 *4748:A1 *4378:A 0.000369399
27 *4748:A3 *1012:33 0.000218567
28 *4748:A4 *1012:33 0.000114523
29 *4748:B1 *4378:A 2.29454e-05
30 *4858:D *4591:A1 1.43259e-05
31 *4858:D *1012:7 3.37297e-05
32 *4858:D *1012:33 3.82228e-05
33 *4899:CLK *1012:33 0.000418874
34 *4899:D *4378:A 6.83768e-05
35 *4899:D *1012:33 0.000102472
36 *350:36 *4593:A1 0.000203533
37 *350:36 *1012:49 5.30873e-05
38 *351:23 *1012:7 0.000217923
39 *515:15 *1012:33 0.000115934
40 *518:51 *1012:33 7.8874e-05
41 *524:8 *1012:33 3.61993e-05
42 *529:26 *4378:A 0.000156955
43 *529:26 *1012:33 0.00047555
44 *556:28 *4593:A1 0.000204916
45 *556:28 *1012:49 5.01835e-05
46 *584:33 *4591:A1 4.15661e-05
47 *584:33 *4600:A2 0.000213725
48 *588:7 *1012:7 2.4399e-05
49 *588:7 *1012:33 2.1801e-05
50 *656:23 *4378:A 0.000176752
51 *665:23 *4378:A 0.000644332
52 *718:45 *1012:33 6.26921e-05
53 *759:17 *4591:A1 7.09666e-06
54 *759:17 *1012:33 9.66168e-05
55 *823:48 *4378:A 0.000307854
56 *973:28 *1012:33 1.22858e-05
57 *975:32 *1012:33 4.49912e-05
58 *977:20 *4378:A 6.50586e-05
59 *978:18 *4378:A 4.73434e-05
60 *981:8 *1012:33 1.84293e-05
61 *981:28 *1012:33 0.000153093
62 *1009:78 *1012:33 0.000177989
*RES
1 *4858:Q *1012:4 9.24915
2 *1012:4 *1012:7 13.5424
3 *1012:7 *4591:A1 17.135
4 *1012:7 *1012:33 46.787
5 *1012:33 *4378:A 37.9829
6 *1012:4 *1012:49 5.91674
7 *1012:49 *4593:A1 18.0727
8 *1012:49 *4600:A2 20.0186
*END
*D_NET *1013 0.0219479
*CONN
*I *4600:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4377:A I *D sky130_fd_sc_hd__inv_2
*I *4595:A I *D sky130_fd_sc_hd__nor2_1
*I *4859:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4600:A1 0.000255259
2 *4377:A 1.47608e-05
3 *4595:A 0.000273482
4 *4859:Q 0.000561055
5 *1013:32 0.000358918
6 *1013:29 0.00214658
7 *1013:8 0.00289222
8 *1013:8 *1015:21 0.000113968
9 *1013:29 *1014:37 0.00197643
10 *4579:A *4595:A 6.08467e-05
11 *4581:B1 *1013:29 2.25742e-05
12 *4582:A *1013:29 3.46213e-05
13 *4589:B *1013:29 0.00110171
14 *4592:A *1013:8 0
15 *4595:B *4595:A 1.03434e-05
16 *4598:B *1013:8 0.000163867
17 *4600:A2 *4600:A1 1.40978e-05
18 *4600:B1 *4600:A1 1.61631e-05
19 *4664:B *1013:29 0.000541711
20 *4673:B *4595:A 0.000165393
21 *4728:B *1013:29 0.000219418
22 *4882:D *4377:A 6.08467e-05
23 *289:21 *1013:29 0.00136332
24 *344:66 *4595:A 0.000154591
25 *344:66 *1013:32 0.000111626
26 *376:9 *1013:29 4.49094e-05
27 *377:29 *4595:A 0.000149783
28 *377:29 *1013:32 0.000114217
29 *419:33 *1013:29 0.000917595
30 *454:41 *4600:A1 0.000161825
31 *454:41 *1013:8 9.23638e-05
32 *490:30 *1013:8 0.000356727
33 *526:10 *4600:A1 0.000154728
34 *526:10 *1013:8 8.94611e-05
35 *535:41 *4595:A 6.61836e-05
36 *556:10 *1013:8 0.000124169
37 *559:11 *1013:8 1.32509e-05
38 *580:45 *1013:8 7.25049e-05
39 *582:35 *1013:29 0.00160887
40 *583:52 *1013:29 4.58022e-05
41 *584:56 *1013:29 0.000216073
42 *585:12 *1013:29 6.74182e-05
43 *585:23 *1013:29 0.00118886
44 *592:64 *1013:29 0.00157506
45 *612:15 *4377:A 6.08467e-05
46 *615:36 *1013:29 0.000717107
47 *829:48 *1013:29 2.41483e-05
48 *829:114 *1013:29 0.000132548
49 *831:16 *1013:29 0.00131963
*RES
1 *4859:Q *1013:8 28.2786
2 *1013:8 *1013:29 48.7133
3 *1013:29 *1013:32 5.82366
4 *1013:32 *4595:A 22.4265
5 *1013:32 *4377:A 14.4725
6 *1013:8 *4600:A1 20.4398
*END
*D_NET *1014 0.0245725
*CONN
*I *4604:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4597:A I *D sky130_fd_sc_hd__nor2_1
*I *4376:A I *D sky130_fd_sc_hd__inv_2
*I *4598:A I *D sky130_fd_sc_hd__and2_1
*I *4860:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4604:A1 2.1077e-05
2 *4597:A 0.000404906
3 *4376:A 0.000248755
4 *4598:A 0.000109967
5 *4860:Q 0.000459418
6 *1014:37 0.00300057
7 *1014:14 0.00286268
8 *1014:9 0.000886296
9 *4379:A *4376:A 1.77537e-06
10 *4400:A *4597:A 0.000111708
11 *4564:A *1014:37 0.00020951
12 *4569:A *4597:A 6.08467e-05
13 *4569:B *4597:A 3.37866e-05
14 *4579:B *4376:A 0.000124327
15 *4582:A *1014:37 0.00213373
16 *4589:B *1014:37 0.000207274
17 *4599:A *4597:A 2.41274e-06
18 *4602:A *1014:9 0.00019262
19 *4602:B *1014:9 0.000262047
20 *4604:A2 *4598:A 0.000155681
21 *4604:A2 *4604:A1 6.49635e-06
22 *4604:A2 *1014:9 3.86408e-05
23 *4604:A2 *1014:14 0.000430947
24 *4632:B *4376:A 6.50586e-05
25 *4647:A1 *1014:9 1.41976e-05
26 *4860:D *1014:14 0.000111708
27 *84:17 *1014:9 5.85325e-05
28 *288:14 *4597:A 0.000868802
29 *328:20 *4376:A 0
30 *328:20 *4597:A 4.79289e-05
31 *330:16 *4597:A 5.13902e-05
32 *344:66 *4376:A 3.94849e-05
33 *344:66 *1014:37 9.84424e-06
34 *379:42 *1014:37 5.3233e-05
35 *419:33 *1014:37 0.00102078
36 *454:41 *4598:A 0.000155681
37 *454:41 *1014:14 8.80821e-05
38 *520:26 *1014:37 0.00198665
39 *527:30 *1014:37 4.30579e-05
40 *527:39 *1014:37 4.4894e-05
41 *549:23 *1014:37 5.59627e-05
42 *560:8 *1014:9 3.88473e-05
43 *560:48 *1014:37 6.73075e-05
44 *582:35 *1014:37 0.000691713
45 *582:42 *1014:37 0.000830703
46 *583:52 *1014:37 0.00172419
47 *584:56 *1014:37 9.88795e-05
48 *597:16 *1014:9 9.8511e-05
49 *609:31 *1014:37 0.000233262
50 *616:7 *4376:A 6.50586e-05
51 *616:49 *1014:37 2.1104e-05
52 *644:9 *1014:37 0.00163624
53 *644:36 *1014:37 0.000226696
54 *661:10 *4376:A 0.00015242
55 *661:10 *1014:37 3.03575e-05
56 *1013:29 *1014:37 0.00197643
*RES
1 *4860:Q *1014:9 31.0591
2 *1014:9 *1014:14 14.8811
3 *1014:14 *4598:A 17.0345
4 *1014:14 *1014:37 29.41
5 *1014:37 *4376:A 20.0418
6 *1014:37 *4597:A 34.0952
7 *1014:9 *4604:A1 9.82786
*END
*D_NET *1015 0.0203325
*CONN
*I *4375:A I *D sky130_fd_sc_hd__inv_2
*I *4608:A I *D sky130_fd_sc_hd__nor2_1
*I *4861:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4375:A 0.00030344
2 *4608:A 0.000119065
3 *4861:Q 0.00163042
4 *1015:27 0.00174365
5 *1015:21 0.00295156
6 *4379:A *4608:A 0.000206
7 *4379:A *1015:27 0.00323629
8 *4402:A *4375:A 5.85325e-05
9 *4573:A *1015:27 7.16665e-06
10 *4579:A *4375:A 0.000106393
11 *4596:B1 *1015:27 5.01835e-05
12 *4609:B1 *1015:21 0.000614759
13 *4609:C1 *1015:21 1.71784e-05
14 *4621:A2 *4375:A 3.24105e-05
15 *4621:B1 *4375:A 6.14756e-06
16 *4628:A *4608:A 0.000111722
17 *4711:A *4608:A 3.82228e-05
18 *4719:A *4608:A 1.42709e-05
19 *4855:CLK *1015:27 3.11894e-05
20 *4855:D *1015:27 0.000140998
21 *4859:D *1015:21 3.18826e-06
22 *4861:D *1015:21 0.000172752
23 *284:17 *4608:A 0.000221541
24 *284:17 *1015:27 0.00272734
25 *348:22 *4375:A 0.000105471
26 *377:29 *4375:A 0.000209524
27 *380:22 *1015:27 1.50389e-06
28 *401:23 *1015:27 3.02257e-05
29 *402:14 *4375:A 0
30 *402:14 *4608:A 0.000109075
31 *535:41 *4375:A 5.97411e-05
32 *554:21 *4375:A 0.000200532
33 *560:48 *1015:27 0.00114645
34 *565:49 *1015:21 7.66338e-05
35 *647:26 *1015:27 0.000247948
36 *696:20 *1015:27 0.00173867
37 *831:27 *1015:21 0.000779865
38 *831:35 *1015:21 3.91933e-05
39 *831:76 *1015:21 0.000103465
40 *1000:45 *1015:27 3.29488e-05
41 *1001:56 *1015:21 0.00071033
42 *1010:16 *4375:A 5.49916e-05
43 *1010:16 *4608:A 0
44 *1010:16 *1015:27 2.75462e-05
45 *1013:8 *1015:21 0.000113968
*RES
1 *4861:Q *1015:21 46.7934
2 *1015:21 *1015:27 18.2303
3 *1015:27 *4608:A 20.7149
4 *1015:27 *4375:A 31.0848
*END
*D_NET *1016 0.0208276
*CONN
*I *4493:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4503:A I *D sky130_fd_sc_hd__or2_1
*I *4509:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4504:A I *D sky130_fd_sc_hd__nand2_1
*I *4361:A I *D sky130_fd_sc_hd__inv_2
*I *4844:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4493:A1 0.000234537
2 *4503:A 0.000602885
3 *4509:A1 9.83167e-05
4 *4504:A 0.000489964
5 *4361:A 0.000593322
6 *4844:Q 3.60482e-05
7 *1016:54 0.00143999
8 *1016:24 0.00196641
9 *1016:14 0.00261089
10 *1016:12 0.000529152
11 *1016:7 0.00138169
12 *1016:5 0.000906327
13 *4361:A *4544:A1 6.50727e-05
14 *1016:14 *4508:C1 0
15 *1016:24 *4528:A 0
16 *1016:54 *1017:10 0.000258753
17 *4447:C *4503:A 0.000105428
18 *4456:A *1016:54 0.000164815
19 *4485:B *1016:54 6.50586e-05
20 *4493:A2 *4493:A1 7.80789e-05
21 *4502:B *1016:54 3.82228e-05
22 *4504:B *4504:A 0.000167076
23 *4505:A1 *4503:A 0.000217937
24 *4505:A2 *1016:54 0.000114662
25 *4507:B1 *1016:14 0
26 *4507:B1 *1016:24 0
27 *4509:A2 *4509:A1 8.39059e-05
28 *4511:B *4493:A1 2.95757e-05
29 *4511:B *1016:5 6.08467e-05
30 *4511:B *1016:7 6.08467e-05
31 *4512:A *1016:24 0.000840168
32 *4519:A *4504:A 0.000364369
33 *4522:B1 *1016:24 4.19401e-06
34 *4526:A2 *1016:24 0
35 *4527:A1 *1016:24 8.98279e-05
36 *4530:B *1016:24 0.000122083
37 *4540:B1 *1016:24 0
38 *4544:B1 *4361:A 0.000137834
39 *4544:B1 *1016:24 8.04608e-05
40 *4545:A1 *4361:A 6.08467e-05
41 *4545:A2 *1016:24 2.35336e-05
42 *4844:CLK *4493:A1 5.05252e-05
43 *4844:CLK *1016:7 0.000224518
44 *4844:D *1016:7 0.000465593
45 *4844:D *1016:12 0.000107496
46 *4845:CLK *1016:54 0.000316605
47 *4845:D *1016:54 0.000263309
48 *4881:D *4504:A 5.73392e-05
49 *4881:D *1016:54 9.17771e-05
50 *214:30 *4503:A 0
51 *240:13 *1016:54 0.000179056
52 *275:11 *4504:A 7.02539e-05
53 *331:91 *4503:A 0.000341587
54 *331:91 *1016:12 9.75356e-05
55 *331:91 *1016:14 0.000353629
56 *331:91 *1016:24 0.000150612
57 *334:32 *1016:54 0.000182639
58 *345:33 *4493:A1 0
59 *413:9 *4493:A1 6.23875e-05
60 *431:17 *4503:A 0.000153592
61 *434:17 *4503:A 0
62 *437:39 *4493:A1 0
63 *441:21 *1016:24 4.54077e-05
64 *468:47 *4361:A 0.000150646
65 *470:34 *1016:24 0.000172302
66 *477:19 *4504:A 0.0010934
67 *477:19 *1016:54 9.59122e-05
68 *479:11 *4503:A 0.000224381
69 *479:25 *1016:24 0
70 *488:16 *1016:54 0.000682834
71 *490:16 *4504:A 6.44576e-05
72 *490:16 *1016:54 0.000216844
73 *494:11 *1016:24 0.000118788
74 *561:26 *4493:A1 6.23875e-05
75 *610:5 *4361:A 8.68663e-05
76 *610:10 *1016:24 0.000111311
77 *624:7 *4504:A 1.65872e-05
78 *819:9 *1016:24 0.000393863
*RES
1 *4844:Q *1016:5 9.97254
2 *1016:5 *1016:7 11.2609
3 *1016:7 *1016:12 7.60999
4 *1016:12 *1016:14 7.02265
5 *1016:14 *1016:24 46.0319
6 *1016:24 *4361:A 26.3362
7 *1016:14 *1016:54 46.7969
8 *1016:54 *4504:A 29.5766
9 *1016:12 *4509:A1 16.1364
10 *1016:7 *4503:A 33.7449
11 *1016:5 *4493:A1 24.984
*END
*D_NET *1017 0.00198844
*CONN
*I *4508:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4359:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4845:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4508:C1 0.000292965
2 *4359:A 1.96856e-05
3 *4845:Q 0.000271468
4 *1017:10 0.000584118
5 *4505:B1 *1017:10 3.06917e-06
6 *4507:A1 *1017:10 5.65354e-05
7 *4507:B1 *4508:C1 0.000129229
8 *4517:A2 *4359:A 6.50586e-05
9 *4517:A2 *4508:C1 5.51483e-06
10 *4517:A2 *1017:10 9.7112e-06
11 *361:8 *4508:C1 1.65872e-05
12 *361:8 *1017:10 6.29697e-05
13 *361:51 *4508:C1 0.000126785
14 *486:39 *1017:10 8.5985e-05
15 *1016:14 *4508:C1 0
16 *1016:54 *1017:10 0.000258753
*RES
1 *4845:Q *1017:10 23.8535
2 *1017:10 *4359:A 9.97254
3 *1017:10 *4508:C1 24.2875
*END
*D_NET *1018 0.00178319
*CONN
*I *4360:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4365:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4846:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4360:A1 0.000228814
2 *4365:A 0.00011535
3 *4846:Q 0
4 *1018:4 0.000344164
5 *4360:A2 *4360:A1 0.000285945
6 *4360:A2 *4365:A 2.63704e-05
7 *4360:B1 *4360:A1 0
8 *4363:A1 *4365:A 3.79379e-05
9 *4366:B2 *4365:A 0.000136523
10 *214:27 *4360:A1 2.71504e-05
11 *363:31 *4365:A 8.33073e-06
12 *428:68 *4360:A1 0.000158357
13 *442:27 *4360:A1 0.00030253
14 *442:27 *4365:A 0.000111722
*RES
1 *4846:Q *1018:4 9.24915
2 *1018:4 *4365:A 22.1896
3 *1018:4 *4360:A1 17.954
*END
*D_NET *1019 0.00788586
*CONN
*I *4366:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4516:A I *D sky130_fd_sc_hd__xor2_1
*I *4520:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4521:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4356:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4847:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4366:A1 3.141e-05
2 *4516:A 0
3 *4520:A1 0.000394906
4 *4521:A1 0.000256221
5 *4356:B2 0.000132275
6 *4847:Q 0.000317794
7 *1019:25 0.000664432
8 *1019:22 0.000395985
9 *1019:17 0.000414089
10 *1019:8 0.000450069
11 *4366:A1 *4355:A 4.66492e-05
12 *1019:22 *4355:A 0.000207828
13 *4356:B1 *4356:B2 3.44056e-05
14 *4356:B1 *1019:8 2.0388e-06
15 *4363:A1 *1019:8 0
16 *4366:A2 *4366:A1 2.15182e-05
17 *4366:B1 *4366:A1 5.04829e-06
18 *4366:B1 *1019:22 0.000129959
19 *4366:B2 *1019:8 0.000131827
20 *4511:B *4520:A1 0.000279458
21 *4520:B1 *4520:A1 0
22 *4521:A2 *4521:A1 0.000158371
23 *4522:B1 *4521:A1 0.000900762
24 *4527:B1 *4521:A1 0.000154145
25 *336:129 *4356:B2 0.000171165
26 *336:129 *1019:8 0.000492346
27 *360:12 *4521:A1 0.000913493
28 *361:51 *1019:8 6.50586e-05
29 *370:8 *1019:22 9.66124e-05
30 *428:68 *1019:8 3.01656e-05
31 *441:21 *4521:A1 1.5714e-05
32 *466:41 *4520:A1 0.000595142
33 *466:41 *1019:25 2.02035e-05
34 *482:26 *4520:A1 0.000162669
35 *487:8 *4520:A1 5.90269e-05
36 *487:8 *1019:22 0.000113968
37 *487:8 *1019:25 5.39635e-06
38 *494:11 *4521:A1 1.5714e-05
*RES
1 *4847:Q *1019:8 23.7791
2 *1019:8 *4356:B2 17.2421
3 *1019:8 *1019:17 4.5
4 *1019:17 *1019:22 11.4538
5 *1019:22 *1019:25 4.87861
6 *1019:25 *4521:A1 23.9717
7 *1019:25 *4520:A1 27.1534
8 *1019:22 *4516:A 9.24915
9 *1019:17 *4366:A1 10.5513
*END
*D_NET *1020 0.00201289
*CONN
*I *4368:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4356:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4848:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4368:A 0.000216922
2 *4356:A1 2.06324e-05
3 *4848:Q 0.000141884
4 *1020:7 0.000379439
5 *4368:A *4355:A 6.73351e-05
6 *4368:A *4358:A 8.62048e-05
7 *4356:B1 *1020:7 5.07314e-05
8 *4370:B1 *4368:A 0.000164829
9 *4371:C1 *4368:A 8.41174e-05
10 *4371:C1 *1020:7 0.000568096
11 *5144:A *4368:A 2.15184e-05
12 *5144:A *1020:7 9.80828e-05
13 *352:18 *4368:A 3.78442e-05
14 *393:22 *4368:A 7.52542e-05
*RES
1 *4848:Q *1020:7 16.0973
2 *1020:7 *4356:A1 9.82786
3 *1020:7 *4368:A 25.962
*END
*D_NET *1021 0.00649886
*CONN
*I *4371:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4536:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4369:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4531:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4528:A I *D sky130_fd_sc_hd__xor2_1
*I *4849:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4371:B2 7.56259e-05
2 *4536:A1 1.59894e-05
3 *4369:A1 0.000237855
4 *4531:A1 0
5 *4528:A 0.000288041
6 *4849:Q 3.60335e-05
7 *1021:45 0.000262008
8 *1021:32 0.000628979
9 *1021:13 0.000986723
10 *1021:5 0.000955447
11 *4369:A1 *4351:A 2.99978e-05
12 *4371:B2 *1022:48 5.63686e-05
13 *4528:A *4542:A 1.92336e-05
14 *4536:A1 *1022:48 1.43848e-05
15 *1021:13 *4542:A 2.7585e-05
16 *1021:32 *1023:18 0.000196638
17 *1021:32 *1023:43 0.000177565
18 *4369:B2 *4369:A1 7.48797e-05
19 *4369:B2 *1021:45 8.18316e-05
20 *4371:C1 *4371:B2 0.000169041
21 *4372:A2 *4371:B2 0.000405513
22 *4372:A2 *4536:A1 6.50586e-05
23 *4515:A *1021:32 0
24 *4515:A *1021:45 0
25 *4524:A *4528:A 1.92172e-05
26 *4524:B *4528:A 0.000402538
27 *4525:B *4528:A 6.3657e-05
28 *4532:B1 *1021:13 0.000107496
29 *4543:A *4528:A 1.43848e-05
30 *4849:D *1021:13 1.87611e-05
31 *331:91 *4528:A 0.000102707
32 *355:15 *4369:A1 3.41459e-05
33 *363:12 *1021:32 0
34 *363:12 *1021:45 0
35 *441:21 *4528:A 0.000322613
36 *441:21 *1021:13 5.23916e-05
37 *497:8 *4528:A 0.000114584
38 *827:27 *1021:5 2.65831e-05
39 *827:27 *1021:13 0.000301012
40 *827:31 *1021:13 0.000113968
41 *1016:24 *4528:A 0
*RES
1 *4849:Q *1021:5 9.97254
2 *1021:5 *1021:13 13.6963
3 *1021:13 *4528:A 29.7048
4 *1021:13 *4531:A1 9.24915
5 *1021:5 *1021:32 11.315
6 *1021:32 *4369:A1 17.8243
7 *1021:32 *1021:45 8.82351
8 *1021:45 *4536:A1 9.97254
9 *1021:45 *4371:B2 13.8548
*END
*D_NET *1022 0.0104703
*CONN
*I *4372:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4371:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4534:A I *D sky130_fd_sc_hd__and2_1
*I *4533:A I *D sky130_fd_sc_hd__nor2_1
*I *4539:A I *D sky130_fd_sc_hd__or2_1
*I *4850:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4372:B2 0.000174087
2 *4371:A1 2.12166e-05
3 *4534:A 0.000102815
4 *4533:A 0.000154911
5 *4539:A 0.000211716
6 *4850:Q 0
7 *1022:48 0.00210826
8 *1022:20 0.000766471
9 *1022:17 0.00254309
10 *1022:4 0.000333104
11 *4372:B2 *4372:A1 6.56499e-05
12 *4371:B2 *1022:48 5.63686e-05
13 *4371:C1 *1022:48 6.73351e-05
14 *4372:A2 *1022:48 9.8245e-06
15 *4372:B1 *4371:A1 2.91559e-06
16 *4372:B1 *4372:B2 7.65407e-05
17 *4372:B1 *1022:48 2.82583e-05
18 *4372:C1 *4372:B2 0.000260388
19 *4494:A2 *4539:A 0.000220183
20 *4527:C1 *1022:48 0.000155206
21 *4529:A2 *1022:20 4.37487e-05
22 *4533:B *4533:A 0.000118166
23 *4535:A *4533:A 0
24 *4535:A *1022:20 0
25 *4535:B *4534:A 7.34948e-06
26 *4536:A1 *1022:48 1.43848e-05
27 *4540:A2 *1022:20 0.000151797
28 *4540:A2 *1022:48 5.99691e-05
29 *4850:D *4539:A 1.43983e-05
30 *4850:D *1022:17 3.21413e-05
31 *4850:D *1022:48 1.99131e-05
32 *370:26 *1022:48 0.000992445
33 *370:30 *1022:48 0.000111708
34 *441:21 *1022:48 0.000182401
35 *462:8 *4539:A 0
36 *464:10 *1022:20 0.000187452
37 *465:16 *1022:20 9.21574e-05
38 *470:22 *4539:A 0.000220183
39 *471:8 *1022:20 5.1493e-06
40 *471:12 *1022:20 0.000128857
41 *471:20 *4534:A 0.000118166
42 *471:20 *1022:20 0
43 *472:19 *1022:20 0.000112353
44 *472:22 *4533:A 0
45 *475:40 *4539:A 2.32954e-05
46 *475:40 *1022:20 9.21574e-05
47 *479:25 *1022:48 0.000161243
48 *494:11 *1022:48 0.000178804
49 *495:25 *1022:20 2.16655e-05
50 *502:5 *4534:A 1.71154e-05
51 *819:9 *1022:48 4.89898e-06
*RES
1 *4850:Q *1022:4 9.24915
2 *1022:4 *4539:A 24.0222
3 *1022:4 *1022:17 1.8326
4 *1022:17 *1022:20 19.6201
5 *1022:20 *4533:A 17.6896
6 *1022:20 *4534:A 16.7151
7 *1022:17 *1022:48 42.2487
8 *1022:48 *4371:A1 9.82786
9 *1022:48 *4372:B2 14.4395
*END
*D_NET *1023 0.0087864
*CONN
*I *4372:A1 I *D sky130_fd_sc_hd__a221o_1
*I *4350:A I *D sky130_fd_sc_hd__or2b_1
*I *4542:A I *D sky130_fd_sc_hd__xor2_1
*I *4544:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4851:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4372:A1 0.000411824
2 *4350:A 5.79215e-05
3 *4542:A 0.00101433
4 *4544:A1 0.000338844
5 *4851:Q 0
6 *1023:43 0.00106796
7 *1023:18 0.00178667
8 *1023:4 0.000512979
9 *4350:A *4426:A1 0
10 *4542:A *4352:A 2.85139e-05
11 *4356:A2 *4372:A1 0
12 *4356:A2 *1023:43 1.84334e-05
13 *4361:A *4544:A1 6.50727e-05
14 *4372:A2 *4372:A1 6.11359e-06
15 *4372:B1 *4372:A1 3.28717e-05
16 *4372:B2 *4372:A1 6.56499e-05
17 *4515:A *4544:A1 0.000268195
18 *4515:A *1023:43 0
19 *4528:A *4542:A 1.92336e-05
20 *4531:A2 *4542:A 0.000211492
21 *4532:A2 *4542:A 9.24241e-05
22 *4543:B *4542:A 6.36477e-05
23 *4544:A2 *4542:A 9.55447e-05
24 *4544:A2 *4544:A1 0.000196623
25 *4544:A2 *1023:18 0
26 *4544:B1 *4544:A1 6.08467e-05
27 *4826:CLK *4544:A1 0.000377259
28 *215:9 *4372:A1 0
29 *344:88 *1023:43 0.000984207
30 *352:18 *4372:A1 9.98389e-05
31 *393:22 *4372:A1 7.03198e-05
32 *441:21 *4542:A 0.000260374
33 *468:8 *4542:A 2.16355e-05
34 *468:47 *4542:A 3.31745e-05
35 *827:31 *4542:A 2.65831e-05
36 *827:52 *4542:A 9.60366e-05
37 *1021:13 *4542:A 2.7585e-05
38 *1021:32 *1023:18 0.000196638
39 *1021:32 *1023:43 0.000177565
*RES
1 *4851:Q *1023:4 9.24915
2 *1023:4 *4544:A1 27.7623
3 *1023:4 *1023:18 9.23876
4 *1023:18 *4542:A 44.3781
5 *1023:18 *1023:43 12.5823
6 *1023:43 *4350:A 15.1477
7 *1023:43 *4372:A1 22.7484
*END
*D_NET *1024 0.0156085
*CONN
*I *4624:C I *D sky130_fd_sc_hd__and4b_1
*I *4616:B I *D sky130_fd_sc_hd__and2_1
*I *4617:B I *D sky130_fd_sc_hd__nor2_1
*I *4880:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4624:C 0
2 *4616:B 2.3034e-05
3 *4617:B 0
4 *4880:Q 0.00032246
5 *1024:37 0.00195507
6 *1024:26 0.00367241
7 *1024:13 0.0023383
8 *1024:10 0.000920381
9 *1024:37 *4617:A 4.0752e-05
10 *4551:A_N *1024:26 6.50727e-05
11 *4571:A2 *1024:26 0.000207266
12 *4571:B1 *1024:26 9.82426e-05
13 *4610:A *1024:26 0.000430352
14 *4624:D *1024:13 0.000158371
15 *4797:A *1024:37 7.97098e-06
16 *4858:CLK *1024:26 0.000154145
17 *4895:D *1024:37 0.000278374
18 *4908:CLK *1024:26 7.96833e-05
19 *4908:D *1024:26 0
20 *4918:D *1024:26 0.000144481
21 *258:21 *1024:37 0.000614919
22 *314:37 *1024:26 7.54269e-06
23 *336:125 *1024:10 7.14746e-05
24 *350:59 *1024:26 0.000346407
25 *350:61 *1024:26 0.000344553
26 *350:98 *1024:26 3.34771e-05
27 *350:98 *1024:37 2.46648e-05
28 *570:5 *1024:13 0.000202229
29 *571:21 *1024:26 6.73186e-05
30 *571:21 *1024:37 0.000934545
31 *571:35 *1024:26 8.78803e-05
32 *573:15 *1024:10 0.000366603
33 *587:66 *1024:37 0.000512978
34 *598:20 *1024:10 1.61631e-05
35 *603:49 *1024:10 7.68538e-06
36 *689:17 *1024:26 0.000634105
37 *946:8 *1024:26 6.50586e-05
38 *954:22 *1024:13 0.000154145
39 *963:11 *1024:13 2.1801e-05
40 *963:11 *1024:26 0.000198584
*RES
1 *4880:Q *1024:10 24.2715
2 *1024:10 *1024:13 10.1758
3 *1024:13 *1024:26 49.8939
4 *1024:26 *1024:37 46.7462
5 *1024:37 *4617:B 9.24915
6 *1024:13 *4616:B 9.82786
7 *1024:10 *4624:C 9.24915
*END
*D_NET *1025 0.0204814
*CONN
*I *4616:A I *D sky130_fd_sc_hd__and2_1
*I *4624:B I *D sky130_fd_sc_hd__and4b_1
*I *4617:A I *D sky130_fd_sc_hd__nor2_1
*I *4862:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4616:A 0
2 *4624:B 0.00018529
3 *4617:A 0.00144431
4 *4862:Q 0.000220737
5 *1025:34 0.000273684
6 *1025:17 0.00341406
7 *1025:5 0.00227889
8 *4617:A *1026:6 1.77522e-05
9 *4610:B *1025:17 0.000453997
10 *4629:A2 *4624:B 2.53145e-06
11 *4790:C *1025:17 6.3609e-05
12 *4790:D *1025:17 3.66536e-05
13 *4895:D *4617:A 6.08467e-05
14 *276:26 *4617:A 0.000198877
15 *291:22 *4617:A 2.43314e-05
16 *328:19 *4617:A 4.96941e-06
17 *340:77 *1025:17 0
18 *512:23 *4617:A 0.000892186
19 *513:37 *4617:A 3.77568e-05
20 *513:48 *4617:A 7.60356e-05
21 *566:7 *1025:17 0.00148338
22 *570:5 *4624:B 5.73392e-05
23 *571:21 *4617:A 0.000164843
24 *571:35 *4624:B 0.000929191
25 *587:66 *4617:A 8.56161e-05
26 *590:26 *4617:A 0.00243809
27 *590:26 *4624:B 4.84017e-05
28 *590:26 *1025:34 0.000106446
29 *598:48 *4617:A 8.40829e-05
30 *603:47 *1025:5 0.000370815
31 *603:47 *1025:17 0.000707174
32 *704:11 *1025:34 0
33 *718:45 *1025:17 5.31569e-06
34 *806:19 *4617:A 0.00267388
35 *963:11 *4624:B 0.000785018
36 *1004:67 *4617:A 0.000814576
37 *1024:37 *4617:A 4.0752e-05
*RES
1 *4862:Q *1025:5 13.3002
2 *1025:5 *1025:17 48.4589
3 *1025:17 *4617:A 31.9885
4 *1025:5 *1025:34 6.74725
5 *1025:34 *4624:B 25.481
6 *1025:34 *4616:A 13.7491
*END
*D_NET *1026 0.012418
*CONN
*I *4554:B I *D sky130_fd_sc_hd__nor2_1
*I *4561:C I *D sky130_fd_sc_hd__and4b_1
*I *4553:B I *D sky130_fd_sc_hd__and2_1
*I *4863:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4554:B 0.000214442
2 *4561:C 0
3 *4553:B 0.000603866
4 *4863:Q 0
5 *1026:49 0.000984293
6 *1026:6 0.00118399
7 *1026:4 0.00134997
8 *4553:B *4553:A 6.92606e-05
9 *4553:B *4561:B 9.12416e-06
10 *4554:B *4554:A 0.00021625
11 *1026:6 *4561:B 5.30873e-05
12 *4555:A2 *4553:B 6.08467e-05
13 *4562:A2 *4553:B 1.77524e-05
14 *4617:A *1026:6 1.77522e-05
15 *4702:A *4554:B 6.08467e-05
16 *4768:A *1026:49 6.3657e-05
17 *4768:B *1026:49 0.000111802
18 *4768:C *1026:49 6.3657e-05
19 *4772:A3 *1026:49 0.000560302
20 *4772:B2 *1026:49 1.87611e-05
21 *4863:CLK *1026:49 0.000217951
22 *5099:A *4553:B 0.00037947
23 *5152:A *1026:49 6.50727e-05
24 *259:17 *1026:49 0.000109405
25 *334:31 *1026:6 5.69201e-05
26 *341:25 *1026:49 9.66809e-05
27 *341:56 *1026:49 5.73392e-05
28 *513:37 *1026:6 0
29 *517:15 *4553:B 0.000266783
30 *518:37 *4554:B 0.000355922
31 *519:8 *4553:B 0.000158357
32 *524:8 *4553:B 1.5714e-05
33 *525:16 *4553:B 8.94611e-05
34 *529:26 *4553:B 1.36397e-05
35 *529:26 *1026:6 1.05335e-05
36 *534:16 *1026:6 0
37 *567:15 *1026:49 5.51483e-06
38 *579:49 *4553:B 0.000130812
39 *588:29 *4553:B 2.40371e-05
40 *600:49 *1026:49 0.000211478
41 *607:47 *1026:49 0.000203655
42 *607:65 *1026:49 0.000249499
43 *621:49 *4554:B 2.43314e-05
44 *621:78 *4554:B 3.44695e-05
45 *632:18 *4553:B 7.57897e-05
46 *638:19 *4554:B 0.000358297
47 *689:17 *1026:49 0.000109767
48 *689:31 *4554:B 0.000317693
49 *718:45 *4553:B 0.000423908
50 *759:17 *4553:B 0.000110268
51 *782:19 *1026:49 9.32704e-05
52 *830:60 *1026:49 5.04829e-06
53 *830:76 *4554:B 1.19721e-05
54 *880:37 *1026:49 0.00111583
55 *966:21 *1026:49 4.42742e-06
56 *1002:62 *1026:6 0.000201339
57 *1005:29 *1026:6 6.61114e-05
58 *1009:78 *4553:B 0.000169041
59 *1012:33 *4553:B 0.000918535
*RES
1 *4863:Q *1026:4 9.24915
2 *1026:4 *1026:6 16.298
3 *1026:6 *4553:B 49.9942
4 *1026:6 *4561:C 13.7491
5 *1026:4 *1026:49 48.2151
6 *1026:49 *4554:B 28.3466
*END
*D_NET *1027 0.0112237
*CONN
*I *4553:A I *D sky130_fd_sc_hd__and2_1
*I *4561:B I *D sky130_fd_sc_hd__and4b_1
*I *4554:A I *D sky130_fd_sc_hd__nor2_1
*I *4852:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4553:A 0.00078685
2 *4561:B 6.14278e-05
3 *4554:A 0.00125296
4 *4852:Q 0
5 *1027:43 0.000950175
6 *1027:26 0.00214766
7 *1027:4 0.000996592
8 *4547:A *4554:A 1.02986e-05
9 *4553:B *4553:A 6.92606e-05
10 *4553:B *4561:B 9.12416e-06
11 *4554:B *4554:A 0.00021625
12 *4562:A1 *4553:A 0.000260388
13 *4562:B1 *4553:A 4.17481e-05
14 *4566:A2 *4553:A 2.70444e-06
15 *4735:A *1027:26 0.000160617
16 *4744:C *1027:26 8.02487e-05
17 *4745:C *1027:26 0.000148787
18 *4748:A4 *1027:26 8.09673e-05
19 *4852:D *1027:26 1.87611e-05
20 *4891:D *4554:A 3.65422e-06
21 *4908:CLK *1027:26 9.80784e-05
22 *248:19 *4554:A 3.79841e-05
23 *314:32 *1027:26 0.000353686
24 *350:98 *1027:26 8.51781e-05
25 *517:15 *4553:A 0.000419361
26 *518:37 *4554:A 0.000171273
27 *529:26 *4561:B 1.99996e-05
28 *587:41 *4554:A 0.000151004
29 *587:41 *1027:26 1.9101e-05
30 *587:66 *4554:A 0.000627621
31 *621:49 *4554:A 0.000134934
32 *632:18 *4553:A 0.000207892
33 *632:45 *4553:A 0.00015266
34 *632:45 *1027:26 0.000389195
35 *658:11 *4554:A 7.60356e-05
36 *806:19 *4554:A 1.5714e-05
37 *830:76 *4554:A 7.60356e-05
38 *973:28 *1027:26 4.76947e-05
39 *1005:29 *4553:A 0.000111722
40 *1005:29 *1027:26 0.000406794
41 *1005:29 *1027:43 0.000158451
42 *1005:54 *1027:26 0.000111708
43 *1026:6 *4561:B 5.30873e-05
*RES
1 *4852:Q *1027:4 9.24915
2 *1027:4 *1027:26 48.7242
3 *1027:26 *4554:A 42.6621
4 *1027:4 *1027:43 1.8326
5 *1027:43 *4561:B 19.6659
6 *1027:43 *4553:A 26.2731
*END
*D_NET *1028 0.00787433
*CONN
*I *4487:B I *D sky130_fd_sc_hd__and2_1
*I *4496:C I *D sky130_fd_sc_hd__and4b_1
*I *4488:B I *D sky130_fd_sc_hd__nor2_1
*I *4853:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4487:B 0.000100305
2 *4496:C 4.34979e-05
3 *4488:B 0.000768418
4 *4853:Q 0.000648039
5 *1028:20 0.00114076
6 *1028:16 0.00107719
7 *4487:B *4487:A 0.00011818
8 *4488:B *4488:A 0.000992337
9 *4488:B *1029:15 0.000176583
10 *4496:C *4487:A 6.08467e-05
11 *4496:C *1029:15 4.88955e-05
12 *1028:16 *1039:8 5.21758e-06
13 *4453:B1 *1028:16 0.000585477
14 *4483:A *1028:20 0
15 *4496:A_N *4488:B 6.50727e-05
16 *4496:A_N *1028:20 3.44284e-05
17 *4497:B1 *4496:C 7.34948e-06
18 *4850:CLK *4488:B 0.000215947
19 *240:13 *4488:B 0.00050498
20 *332:41 *4488:B 0.000160617
21 *334:32 *4488:B 0.000102413
22 *349:31 *1028:16 1.40158e-05
23 *413:9 *4487:B 0.00043038
24 *432:12 *1028:16 4.79538e-05
25 *439:16 *1028:16 3.05458e-05
26 *439:16 *1028:20 8.04524e-06
27 *457:14 *1028:20 9.84424e-06
28 *460:8 *4488:B 4.72992e-05
29 *475:40 *1028:16 0
30 *561:19 *4487:B 0.000137345
31 *561:19 *1028:20 0.000292343
*RES
1 *4853:Q *1028:16 27.1878
2 *1028:16 *1028:20 11.5226
3 *1028:20 *4488:B 45.408
4 *1028:20 *4496:C 10.5271
5 *1028:16 *4487:B 19.4662
*END
*D_NET *1029 0.00576838
*CONN
*I *4487:A I *D sky130_fd_sc_hd__and2_1
*I *4496:B I *D sky130_fd_sc_hd__and4b_1
*I *4488:A I *D sky130_fd_sc_hd__nor2_1
*I *4843:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4487:A 0.000547113
2 *4496:B 0
3 *4488:A 0.000530881
4 *4843:Q 0
5 *1029:15 0.000754191
6 *1029:4 0.00073796
7 *4487:B *4487:A 0.00011818
8 *4488:B *4488:A 0.000992337
9 *4488:B *1029:15 0.000176583
10 *4489:A2 *4488:A 0.000306912
11 *4494:A2 *4488:A 0.000143032
12 *4494:B1 *4488:A 1.59945e-05
13 *4496:A_N *1029:15 6.92705e-05
14 *4496:C *4487:A 6.08467e-05
15 *4496:C *1029:15 4.88955e-05
16 *4496:D *4487:A 3.05945e-05
17 *4497:B1 *4487:A 1.94454e-05
18 *4498:A *4488:A 0
19 *4505:B1 *4487:A 3.58733e-05
20 *4508:A1 *4487:A 9.24241e-05
21 *4508:A2 *4487:A 0.000215306
22 *4509:A2 *4487:A 6.50586e-05
23 *413:9 *4487:A 4.33655e-05
24 *460:8 *4488:A 0.00010234
25 *461:8 *4487:A 1.72129e-05
26 *462:28 *4487:A 0.000199527
27 *462:28 *1029:15 6.08467e-05
28 *467:17 *4487:A 7.60356e-05
29 *470:8 *4488:A 0
30 *479:16 *4487:A 8.79679e-05
31 *561:19 *4487:A 0.000220183
*RES
1 *4843:Q *1029:4 9.24915
2 *1029:4 *4488:A 37.1065
3 *1029:4 *1029:15 6.14887
4 *1029:15 *4496:B 9.24915
5 *1029:15 *4487:A 34.329
*END
*D_NET *1030 0.00276851
*CONN
*I *4403:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4461:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4835:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4403:B1 0.000483295
2 *4461:A 0
3 *4835:Q 0.000132929
4 *1030:8 0.000616224
5 *4403:B1 *4403:A2 0.000215771
6 *4403:B1 *4404:A 0.000137921
7 *4403:C1 *4403:B1 0.000127251
8 *4464:A1 *4403:B1 0
9 *4464:A2 *4403:B1 0.000122083
10 *4464:A2 *1030:8 1.07248e-05
11 *4871:D *4403:B1 2.62421e-05
12 *324:14 *4403:B1 0.000167212
13 *324:14 *1030:8 5.79544e-05
14 *345:33 *4403:B1 0
15 *345:33 *1030:8 0
16 *439:49 *1030:8 6.92705e-05
17 *454:52 *4403:B1 9.93974e-05
18 *1007:45 *4403:B1 0.000502232
*RES
1 *4835:Q *1030:8 17.135
2 *1030:8 *4461:A 13.7491
3 *1030:8 *4403:B1 30.595
*END
*D_NET *1031 0.00157375
*CONN
*I *4403:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4404:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4836:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4403:A2 8.15114e-05
2 *4404:A 9.67157e-05
3 *4836:Q 0
4 *1031:4 0.000178227
5 *4403:B1 *4403:A2 0.000215771
6 *4403:B1 *4404:A 0.000137921
7 *4403:C1 *4403:A2 0.000376388
8 *4403:C1 *4404:A 6.08467e-05
9 *4407:B2 *4403:A2 4.7918e-05
10 *4871:D *4404:A 0.000116986
11 *5131:TE_B *4403:A2 0.00014923
12 *5131:TE_B *4404:A 6.08467e-05
13 *324:14 *4403:A2 5.13937e-05
14 *345:33 *4404:A 0
*RES
1 *4836:Q *1031:4 9.24915
2 *1031:4 *4404:A 21.635
3 *1031:4 *4403:A2 23.4704
*END
*D_NET *1032 0.0092481
*CONN
*I *4405:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4407:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4466:A I *D sky130_fd_sc_hd__and3_1
*I *4465:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4837:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4405:A2 0.000601805
2 *4407:A2 1.34137e-05
3 *4466:A 5.75386e-05
4 *4465:B1 0.000592457
5 *4837:Q 0
6 *1032:35 0.000833585
7 *1032:22 0.000414508
8 *1032:5 0.000731059
9 *4405:A2 *4445:A1 3.36545e-05
10 *4403:A1 *4405:A2 9.01497e-05
11 *4405:A1 *4405:A2 0.000216299
12 *4405:B1 *4405:A2 0.000784538
13 *4406:A_N *4405:A2 2.53624e-06
14 *4406:A_N *1032:35 6.39244e-05
15 *4407:A1 *4405:A2 7.77309e-06
16 *4407:A1 *4407:A2 3.75221e-05
17 *4407:B1 *4405:A2 3.61659e-05
18 *4407:B2 *4405:A2 2.41274e-06
19 *4421:A *4465:B1 0.000298223
20 *4421:B *4465:B1 0.000111708
21 *4421:C *4465:B1 6.08467e-05
22 *4464:B1 *4407:A2 7.2587e-06
23 *4465:A2 *4465:B1 5.19758e-05
24 *4466:C *4466:A 9.12701e-05
25 *4466:C *1032:22 6.50727e-05
26 *4476:A2 *4466:A 0.00021569
27 *4476:A2 *1032:22 0.000199593
28 *4870:CLK *4405:A2 0.000164815
29 *4871:CLK *4405:A2 0
30 *346:104 *4465:B1 0.000827365
31 *346:104 *1032:22 0.000249752
32 *346:104 *1032:35 2.1558e-06
33 *398:8 *1032:22 1.63372e-05
34 *404:28 *4405:A2 5.41227e-05
35 *404:28 *1032:35 0.000343637
36 *439:49 *4466:A 5.14361e-05
37 *439:49 *1032:22 5.87524e-05
38 *444:20 *1032:35 0.000156854
39 *444:33 *1032:35 1.9101e-05
40 *447:8 *4465:B1 8.74104e-05
41 *447:8 *1032:22 0.000195124
42 *447:10 *4465:B1 0.000379064
43 *447:12 *4465:B1 0.000359864
44 *455:7 *4465:B1 0.000442462
45 *574:34 *4405:A2 4.0752e-05
46 *617:11 *4405:A2 0.000154707
47 *1000:9 *4405:A2 2.34031e-05
*RES
1 *4837:Q *1032:5 13.7491
2 *1032:5 *4465:B1 37.8259
3 *1032:5 *1032:22 11.626
4 *1032:22 *4466:A 12.2151
5 *1032:22 *1032:35 12.0478
6 *1032:35 *4407:A2 14.1952
7 *1032:35 *4405:A2 33.472
*END
*D_NET *1033 0.00918278
*CONN
*I *4406:B I *D sky130_fd_sc_hd__and2b_1
*I *4399:A I *D sky130_fd_sc_hd__or2b_1
*I *4471:B I *D sky130_fd_sc_hd__and3_1
*I *4469:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4468:A I *D sky130_fd_sc_hd__and2_1
*I *4838:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4406:B 0
2 *4399:A 0.000208789
3 *4471:B 0.00115884
4 *4469:A1 0.000368185
5 *4468:A 0.000167239
6 *4838:Q 4.85187e-05
7 *1033:49 0.000623701
8 *1033:31 0.00159139
9 *1033:11 0.000739109
10 *1033:7 0.000269838
11 *4407:C1 *1033:49 6.08467e-05
12 *4409:B2 *4399:A 0.000214991
13 *4463:A2 *4469:A1 1.65872e-05
14 *4463:B1 *4469:A1 0.000164829
15 *4468:B *4468:A 6.08467e-05
16 *4469:A2 *4469:A1 1.88827e-05
17 *4469:B1 *4469:A1 1.64789e-05
18 *4479:B *4469:A1 0.000174942
19 *4835:D *4471:B 0
20 *237:35 *1033:49 0.000217937
21 *332:55 *4471:B 0
22 *346:102 *4399:A 4.72872e-05
23 *375:10 *4471:B 6.3657e-05
24 *398:8 *4399:A 0.000131599
25 *398:8 *4469:A1 5.73183e-05
26 *404:45 *4468:A 0.000121159
27 *404:45 *1033:11 5.73392e-05
28 *413:50 *4468:A 1.03403e-05
29 *413:50 *1033:11 3.24105e-05
30 *437:39 *4469:A1 0.00011191
31 *437:39 *4471:B 0
32 *441:43 *4469:A1 3.55968e-05
33 *444:33 *4471:B 0
34 *445:14 *4469:A1 0.000164829
35 *445:14 *1033:11 0.00032485
36 *445:14 *1033:31 4.15236e-05
37 *445:14 *1033:49 0.000595948
38 *450:23 *4471:B 6.11707e-05
39 *450:29 *4471:B 4.36466e-06
40 *451:10 *4469:A1 0.00046577
41 *451:10 *1033:11 0.000138324
42 *451:10 *1033:31 1.41396e-05
43 *451:10 *1033:49 7.66323e-05
44 *452:13 *4471:B 1.20847e-05
45 *452:13 *1033:49 1.51735e-05
46 *1007:25 *4399:A 0.000449367
47 *1007:25 *1033:7 1.43848e-05
48 *1007:25 *1033:49 1.36556e-05
*RES
1 *4838:Q *1033:7 14.4725
2 *1033:7 *1033:11 12.3171
3 *1033:11 *4468:A 13.3002
4 *1033:11 *4469:A1 31.4861
5 *1033:7 *1033:31 0.793864
6 *1033:31 *4471:B 23.8896
7 *1033:31 *1033:49 18.0624
8 *1033:49 *4399:A 26.7252
9 *1033:49 *4406:B 9.24915
*END
*D_NET *1034 0.00579755
*CONN
*I *4409:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4408:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4473:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4471:A I *D sky130_fd_sc_hd__and3_1
*I *4839:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4409:B1 8.3582e-05
2 *4408:A2 4.56843e-05
3 *4473:A1 0
4 *4471:A 0.000996957
5 *4839:Q 0.000102348
6 *1034:28 0.000413678
7 *1034:19 0.000336867
8 *1034:8 0.00115176
9 *4409:B1 *1035:53 4.26935e-05
10 *1034:28 *1035:53 0.000155664
11 *4264:A *4408:A2 9.9028e-05
12 *4408:B1 *4408:A2 6.50727e-05
13 *4471:C *4471:A 0.000555067
14 *338:96 *4408:A2 6.50586e-05
15 *338:96 *4409:B1 0.000148144
16 *413:50 *4471:A 3.01188e-05
17 *436:55 *1034:8 6.50727e-05
18 *445:14 *1034:8 0.000196638
19 *445:14 *1034:19 0.000133298
20 *445:14 *1034:28 0.000468125
21 *453:18 *1034:8 0.000196638
22 *453:18 *1034:19 0.0001454
23 *453:18 *1034:28 0.000188504
24 *1007:25 *4471:A 0.000112149
25 *1007:25 *1034:28 0
*RES
1 *4839:Q *1034:8 17.9655
2 *1034:8 *4471:A 29.471
3 *1034:8 *1034:19 2.6625
4 *1034:19 *4473:A1 13.7491
5 *1034:19 *1034:28 10.8698
6 *1034:28 *4408:A2 16.1364
7 *1034:28 *4409:B1 16.8269
*END
*D_NET *1035 0.0102979
*CONN
*I *4410:B1 I *D sky130_fd_sc_hd__a221o_1
*I *4409:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4476:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4474:A I *D sky130_fd_sc_hd__and2_1
*I *4477:B I *D sky130_fd_sc_hd__and3_1
*I *4840:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4410:B1 0.00023665
2 *4409:A2 1.92775e-05
3 *4476:A1 5.65155e-05
4 *4474:A 0
5 *4477:B 0.000266041
6 *4840:Q 0.000597196
7 *1035:53 0.000569741
8 *1035:42 0.000544356
9 *1035:17 0.00154582
10 *1035:8 0.002051
11 *4477:B *1042:59 6.50727e-05
12 *4408:B1 *4410:B1 0
13 *4409:A1 *4410:B1 0.000315247
14 *4409:A1 *1035:53 3.31733e-05
15 *4409:B1 *1035:53 4.26935e-05
16 *4410:A1 *4410:B1 0
17 *4410:C1 *4409:A2 2.65667e-05
18 *4410:C1 *4410:B1 0.000255443
19 *4460:B1 *4477:B 8.8567e-05
20 *4473:A2 *1035:17 0.000109731
21 *4473:B1 *1035:17 6.50727e-05
22 *4476:B1 *4476:A1 0.000205006
23 *4477:C *4477:B 0.000339753
24 *4840:D *4476:A1 0.000251655
25 *5068:A *1035:8 0.000221185
26 *5068:A *1035:42 0.000227969
27 *5113:A *1035:17 5.04829e-06
28 *233:13 *1035:17 0.000462263
29 *338:96 *1035:53 0
30 *345:33 *4477:B 3.03814e-05
31 *393:36 *4477:B 3.20069e-06
32 *394:37 *4477:B 1.75625e-05
33 *397:29 *4410:B1 5.22859e-06
34 *412:65 *4410:B1 3.99086e-06
35 *439:49 *4476:A1 1.19856e-05
36 *439:49 *1035:42 1.71611e-05
37 *450:36 *1035:17 0.000505768
38 *452:13 *1035:17 2.1415e-05
39 *453:18 *1035:53 8.62625e-06
40 *454:23 *4477:B 3.20069e-06
41 *574:20 *1035:8 0.000217602
42 *574:20 *1035:42 0.000166548
43 *574:29 *1035:53 0.00015187
44 *576:47 *4409:A2 1.43848e-05
45 *828:26 *1035:17 2.91335e-05
46 *828:36 *1035:17 1.00981e-05
47 *828:46 *4477:B 0
48 *828:56 *4477:B 0
49 *828:105 *1035:53 0.000172676
50 *1007:25 *1035:8 0.000150323
51 *1034:28 *1035:53 0.000155664
*RES
1 *4840:Q *1035:8 25.036
2 *1035:8 *1035:17 28.0345
3 *1035:17 *4477:B 27.0333
4 *1035:17 *4474:A 9.24915
5 *1035:8 *1035:42 10.7366
6 *1035:42 *4476:A1 12.191
7 *1035:42 *1035:53 15.2158
8 *1035:53 *4409:A2 14.4725
9 *1035:53 *4410:B1 21.1751
*END
*D_NET *1036 0.0106366
*CONN
*I *4410:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4411:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4478:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4477:A I *D sky130_fd_sc_hd__and3_1
*I *4841:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4410:A2 0.000277784
2 *4411:B1 0.000131853
3 *4478:A1 0.000437036
4 *4477:A 0.00120056
5 *4841:Q 0.00034004
6 *1036:46 0.000502655
7 *1036:11 0.00170726
8 *1036:9 0.000502723
9 *4411:B1 *4411:A2 1.61631e-05
10 *4477:A *1042:59 0.000148525
11 *4264:A *4411:B1 1.41853e-05
12 *4406:A_N *4477:A 0.000114523
13 *4410:A1 *4410:A2 8.58538e-05
14 *4411:B2 *4411:B1 6.1936e-05
15 *4411:C1 *4410:A2 0.000108869
16 *4464:A2 *4477:A 4.73169e-05
17 *4477:C *4477:A 2.23105e-05
18 *237:35 *4477:A 0.000308328
19 *326:69 *4410:A2 0.000257441
20 *326:69 *4478:A1 0.00125467
21 *326:69 *1036:46 0.000291919
22 *338:116 *4478:A1 0.00124551
23 *397:29 *4410:A2 0
24 *412:65 *4410:A2 0.000288976
25 *444:20 *4477:A 0.000320387
26 *455:7 *4478:A1 6.08467e-05
27 *760:7 *4478:A1 2.16355e-05
28 *828:105 *4477:A 4.31703e-05
29 *1006:20 *4410:A2 0.000540911
30 *1006:20 *1036:46 0.00028324
*RES
1 *4841:Q *1036:9 15.832
2 *1036:9 *1036:11 1.278
3 *1036:11 *4477:A 37.3651
4 *1036:11 *4478:A1 41.5671
5 *1036:9 *1036:46 9.65401
6 *1036:46 *4411:B1 17.6193
7 *1036:46 *4410:A2 27.2695
*END
*D_NET *1037 0.0134409
*CONN
*I *4480:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4481:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4413:A2 I *D sky130_fd_sc_hd__a211oi_2
*I *4411:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4842:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4480:A1 0.000584414
2 *4481:A1 0
3 *4413:A2 0
4 *4411:A2 0.000143832
5 *4842:Q 0.000187674
6 *1037:36 0.00198624
7 *1037:24 0.00160502
8 *1037:17 0.000283981
9 *1037:7 0.000412298
10 la1_data_out[17] *1037:36 0
11 la1_data_out[8] *1037:36 0
12 *4264:A *4411:A2 0.000375013
13 *4411:A1 *4411:A2 0.000158357
14 *4411:B1 *4411:A2 1.61631e-05
15 *4459:A2 *1037:36 5.47537e-05
16 *4459:B1 *1037:36 8.61789e-05
17 *4634:A1 *4480:A1 6.23479e-05
18 *4634:B1 *4480:A1 2.95631e-05
19 *4635:A2 *4480:A1 8.88984e-06
20 *4636:A *4480:A1 0.000304777
21 *4636:B *4480:A1 3.99086e-06
22 *4647:B1 *4480:A1 0.000217937
23 *4669:C1 *1037:24 5.59357e-05
24 *4671:A *1037:17 3.31882e-05
25 *4671:A *1037:24 0.000333034
26 *5049:TE_B *1037:36 2.7269e-05
27 *5099:TE_B *1037:36 0.000453429
28 *329:116 *1037:24 0.00037856
29 *329:116 *1037:36 3.31733e-05
30 *329:122 *4411:A2 0.000279872
31 *329:122 *1037:17 0.000325369
32 *329:122 *1037:24 0.000234961
33 *337:12 *1037:36 0
34 *351:23 *4480:A1 0.000244206
35 *351:44 *4480:A1 0.000704012
36 *395:12 *4411:A2 7.77309e-06
37 *395:23 *4411:A2 0.000261007
38 *395:23 *1037:17 0.000288598
39 *454:41 *4480:A1 0.000113968
40 *576:31 *1037:24 0
41 *576:31 *1037:36 0
42 *578:19 *4480:A1 2.20903e-05
43 *578:19 *1037:36 0.000111708
44 *579:10 *1037:36 0.00010306
45 *579:90 *1037:36 8.29362e-05
46 *588:7 *4480:A1 0.00238545
47 *588:47 *4480:A1 0.000246176
48 *597:16 *4480:A1 1.00846e-05
49 *1002:25 *4480:A1 2.37074e-05
50 *1002:27 *4480:A1 6.7671e-06
51 *1004:99 *1037:36 0.00015319
*RES
1 *4842:Q *1037:7 16.1364
2 *1037:7 *4411:A2 22.5389
3 *1037:7 *1037:17 5.56926
4 *1037:17 *4413:A2 13.7491
5 *1037:17 *1037:24 10.5523
6 *1037:24 *4481:A1 13.7491
7 *1037:24 *1037:36 38.107
8 *1037:36 *4480:A1 39.9211
*END
*D_NET *1038 0.0052916
*CONN
*I *5078:A I *D sky130_fd_sc_hd__ebufn_8
*I *4413:Y O *D sky130_fd_sc_hd__a211oi_2
*CAP
1 *5078:A 0.000443763
2 *4413:Y 0.000806375
3 *1038:8 0.00125014
4 *4481:A2 *1038:8 8.99474e-05
5 *4655:A2 *5078:A 1.82679e-05
6 *4655:C1 *5078:A 7.90067e-06
7 *4665:A1 *1038:8 1.73713e-05
8 *4842:D *1038:8 0.000460821
9 *5040:TE_B *1038:8 0
10 *412:78 *1038:8 9.19886e-06
11 *456:14 *1038:8 0.000811119
12 *576:31 *1038:8 0.00122847
13 *605:20 *1038:8 0.000148226
14 *756:10 *1038:8 0
*RES
1 *4413:Y *1038:8 46.2027
2 *1038:8 *5078:A 20.4526
*END
*D_NET *1039 0.00406202
*CONN
*I *4385:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4436:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4827:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4385:B1 0.000576443
2 *4436:A 3.66605e-05
3 *4827:Q 0.000285999
4 *1039:8 0.000899103
5 *4385:B1 *4385:A2 3.01683e-06
6 *4385:B1 *1041:25 1.12969e-05
7 *1039:8 *1041:12 5.68225e-06
8 *4386:A1 *4385:B1 1.4789e-05
9 *4387:C1 *4385:B1 0.000140069
10 *4440:A1 *4436:A 6.08467e-05
11 *4440:A1 *1039:8 2.69685e-05
12 *4441:A2 *1039:8 3.05511e-05
13 *4443:C *4385:B1 9.5562e-05
14 *4443:C *4436:A 3.82228e-05
15 *4570:C1 *4385:B1 0
16 *225:14 *1039:8 5.93461e-05
17 *377:37 *4385:B1 0.000164829
18 *427:7 *4385:B1 1.41853e-05
19 *427:7 *4436:A 1.92172e-05
20 *427:49 *4385:B1 0.000211492
21 *432:12 *1039:8 3.44112e-05
22 *620:38 *4385:B1 0.000581669
23 *1007:62 *4385:B1 0.000446549
24 *1009:27 *4385:B1 0.000299892
25 *1009:27 *1039:8 0
26 *1028:16 *1039:8 5.21758e-06
*RES
1 *4827:Q *1039:8 24.6489
2 *1039:8 *4436:A 10.5271
3 *1039:8 *4385:B1 38.7899
*END
*D_NET *1040 0.00203685
*CONN
*I *4382:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4385:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4828:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4382:A 0.000270839
2 *4385:A2 0.00027888
3 *4828:Q 0
4 *1040:4 0.00054972
5 *4385:B1 *4385:A2 3.01683e-06
6 *4385:C1 *4385:A2 0.000211478
7 *4386:B2 *4382:A 1.55995e-05
8 *4386:B2 *4385:A2 1.41291e-05
9 *4449:B *4385:A2 1.30821e-05
10 *4831:D *4382:A 0.000164843
11 *225:14 *4382:A 5.21758e-06
12 *334:32 *4385:A2 9.96332e-05
13 *432:41 *4382:A 0.000126324
14 *433:25 *4382:A 0.000104166
15 *620:38 *4385:A2 6.56275e-05
16 *840:15 *4385:A2 0.000106656
17 *1007:62 *4385:A2 7.63206e-06
*RES
1 *4828:Q *1040:4 9.24915
2 *1040:4 *4385:A2 24.5474
3 *1040:4 *4382:A 23.8535
*END
*D_NET *1041 0.00450988
*CONN
*I *4442:A I *D sky130_fd_sc_hd__and3_1
*I *4387:B1 I *D sky130_fd_sc_hd__a221o_1
*I *4441:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4386:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4829:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4442:A 0
2 *4387:B1 0.000260937
3 *4441:B1 5.85123e-05
4 *4386:A2 4.17605e-05
5 *4829:Q 0.00011564
6 *1041:25 0.000645856
7 *1041:12 0.000694686
8 *1041:8 0.00044216
9 *4380:A *4387:B1 9.12416e-06
10 *4385:B1 *1041:25 1.12969e-05
11 *4387:C1 *1041:25 2.24052e-05
12 *4440:B1 *4386:A2 0.000113968
13 *4441:A1 *4441:B1 6.50727e-05
14 *4441:A2 *1041:12 4.34718e-05
15 *4441:A2 *1041:25 8.50937e-05
16 *4570:C1 *1041:8 0.000190042
17 *4573:A *4387:B1 4.49767e-05
18 *4596:B1 *4387:B1 0.000266832
19 *4596:B1 *1041:25 7.09666e-06
20 *4831:CLK *1041:8 0
21 *331:91 *1041:8 0
22 *377:29 *4441:B1 0.000224381
23 *377:37 *1041:25 0.000137356
24 *380:22 *4387:B1 3.58525e-05
25 *383:28 *4386:A2 8.97262e-05
26 *427:49 *1041:12 0.000466345
27 *565:49 *4387:B1 7.68538e-06
28 *565:49 *4441:B1 7.73725e-05
29 *1009:27 *1041:12 0.000156135
30 *1009:27 *1041:25 0.000190408
31 *1039:8 *1041:12 5.68225e-06
*RES
1 *4829:Q *1041:8 21.7421
2 *1041:8 *1041:12 13.1532
3 *1041:12 *4386:A2 15.6059
4 *1041:12 *1041:25 12.5608
5 *1041:25 *4441:B1 12.191
6 *1041:25 *4387:B1 23.3258
7 *1041:8 *4442:A 9.24915
*END
*D_NET *1042 0.0120647
*CONN
*I *4445:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4447:B I *D sky130_fd_sc_hd__and3_1
*I *4387:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4380:B I *D sky130_fd_sc_hd__or2_1
*I *4444:A I *D sky130_fd_sc_hd__and2_1
*I *4830:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4445:A1 0.000279232
2 *4447:B 0
3 *4387:A2 0.000185245
4 *4380:B 6.21512e-05
5 *4444:A 7.96994e-05
6 *4830:Q 0
7 *1042:59 0.00159712
8 *1042:25 0.000636518
9 *1042:13 0.000588039
10 *1042:9 0.000407684
11 *1042:4 0.00160635
12 *1042:25 *4447:A 1.11581e-05
13 *1042:59 *4460:A1 0.000262339
14 *1042:59 *1045:12 0.000165481
15 *4380:A *4380:B 6.36709e-05
16 *4380:A *1042:25 9.54357e-06
17 *4388:B2 *4387:A2 7.94607e-05
18 *4388:B2 *1042:25 4.58907e-05
19 *4405:A2 *4445:A1 3.36545e-05
20 *4407:B1 *4445:A1 0.000134145
21 *4407:B2 *4445:A1 5.68225e-06
22 *4407:C1 *4445:A1 0
23 *4407:C1 *1042:59 0.000218628
24 *4445:A2 *4445:A1 0.000300565
25 *4447:C *4444:A 0.000269504
26 *4447:C *1042:9 5.36219e-06
27 *4447:C *1042:13 0.000259093
28 *4453:B1 *1042:59 0.000156384
29 *4460:B1 *1042:59 2.93429e-05
30 *4464:A2 *1042:59 0.000110306
31 *4477:A *1042:59 0.000148525
32 *4477:B *1042:59 6.50727e-05
33 *4570:C1 *4387:A2 1.64462e-05
34 *4596:B1 *4387:A2 0.000135127
35 *4834:D *1042:59 9.19886e-06
36 *214:30 *1042:9 0
37 *237:35 *4445:A1 8.62625e-06
38 *324:14 *4445:A1 0
39 *331:91 *1042:25 0.000715816
40 *350:32 *1042:25 0.000679129
41 *377:37 *4380:B 5.83114e-05
42 *377:37 *1042:25 0.0003601
43 *402:23 *1042:59 0.000263099
44 *404:28 *4445:A1 0.000128762
45 *431:17 *4444:A 0.000117376
46 *432:12 *4444:A 9.87648e-05
47 *433:7 *4445:A1 0.000300565
48 *434:17 *1042:9 6.08467e-05
49 *434:33 *1042:9 0.000224395
50 *439:49 *1042:59 3.60379e-05
51 *444:20 *1042:59 0.00022284
52 *454:23 *1042:59 6.50586e-05
53 *454:52 *1042:59 0.000304143
54 *457:80 *1042:9 2.06743e-05
55 *565:49 *4387:A2 0.000135127
56 *620:45 *4387:A2 1.05412e-05
57 *620:45 *1042:25 0.000211559
58 *828:56 *1042:59 6.62808e-05
*RES
1 *4830:Q *1042:4 9.24915
2 *1042:4 *1042:9 14.3284
3 *1042:9 *1042:13 3.93045
4 *1042:13 *4444:A 13.3002
5 *1042:13 *1042:25 26.9253
6 *1042:25 *4380:B 11.6243
7 *1042:25 *4387:A2 22.8822
8 *1042:9 *4447:B 9.24915
9 *1042:4 *1042:59 49.6341
10 *1042:59 *4445:A1 23.2301
*END
*D_NET *1043 0.0112948
*CONN
*I *4448:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4389:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4388:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4447:A I *D sky130_fd_sc_hd__and3_1
*I *4831:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4448:A1 0.0015806
2 *4389:B1 0
3 *4388:A2 2.40228e-05
4 *4447:A 0.000649388
5 *4831:Q 9.79345e-05
6 *1043:27 0.00175901
7 *1043:18 0.000289568
8 *1043:5 0.000834461
9 *1043:18 *4389:A2 1.44467e-05
10 *1043:27 *4389:A2 5.66217e-05
11 *4443:B *4448:A1 6.74182e-05
12 *4578:B1 *4448:A1 3.30161e-05
13 *4583:A *4448:A1 0.00017616
14 *4592:A *4448:A1 0
15 *214:30 *4447:A 0
16 *214:30 *4448:A1 4.88043e-05
17 *214:30 *1043:18 4.70005e-05
18 *214:30 *1043:27 6.0789e-05
19 *350:32 *4447:A 0.000173093
20 *350:32 *4448:A1 1.49101e-05
21 *377:29 *4448:A1 0.000143431
22 *378:24 *1043:27 0
23 *400:40 *4448:A1 6.50586e-05
24 *401:23 *4448:A1 0.00200674
25 *419:33 *4448:A1 3.94399e-05
26 *431:54 *4447:A 0.000150247
27 *431:62 *4447:A 0.000324166
28 *431:62 *1043:5 0.000159322
29 *432:41 *4448:A1 0.000221129
30 *433:25 *4388:A2 4.58003e-05
31 *434:17 *4447:A 1.24564e-05
32 *435:15 *4448:A1 2.41483e-05
33 *457:80 *1043:18 0
34 *519:27 *4448:A1 0.000217136
35 *527:94 *4448:A1 4.49767e-05
36 *534:16 *4448:A1 1.77002e-05
37 *534:18 *4448:A1 0
38 *534:33 *4448:A1 2.55661e-06
39 *535:16 *4448:A1 9.03307e-05
40 *535:41 *4448:A1 2.57465e-06
41 *615:22 *4448:A1 0.000119295
42 *620:45 *4388:A2 0.000113968
43 *1000:45 *4448:A1 0.00149256
44 *1008:44 *4448:A1 6.33279e-05
45 *1042:25 *4447:A 1.11581e-05
*RES
1 *4831:Q *1043:5 11.0817
2 *1043:5 *4447:A 33.5436
3 *1043:5 *1043:18 6.74725
4 *1043:18 *4388:A2 15.0271
5 *1043:18 *1043:27 3.90826
6 *1043:27 *4389:B1 13.7491
7 *1043:27 *4448:A1 48.7918
*END
*D_NET *1044 0.00366576
*CONN
*I *4453:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4454:B I *D sky130_fd_sc_hd__and3_1
*I *4390:B1 I *D sky130_fd_sc_hd__a221o_1
*I *4389:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4451:A I *D sky130_fd_sc_hd__and2_1
*I *4832:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4453:A1 0
2 *4454:B 0.000140471
3 *4390:B1 2.30174e-05
4 *4389:A2 0.000245219
5 *4451:A 0
6 *4832:Q 7.78427e-05
7 *1044:37 0.000236115
8 *1044:19 0.000365201
9 *1044:10 0.000296986
10 *1044:5 0.000373508
11 *4454:B *4454:A 5.07314e-05
12 *4454:B *1045:53 4.01573e-05
13 *1044:19 *1045:53 0
14 *1044:37 *1045:53 7.12459e-05
15 *4390:B2 *4390:B1 1.67404e-05
16 *4451:B *4454:B 8.37812e-05
17 *4451:B *1044:10 5.50728e-05
18 *4451:B *1044:19 3.5534e-06
19 *4451:B *1044:37 0.000236506
20 *4832:CLK *1044:5 2.61147e-05
21 *4832:CLK *1044:10 3.64415e-05
22 *378:24 *4389:A2 3.67326e-05
23 *433:25 *4389:A2 0.000364342
24 *433:25 *4390:B1 0.000210197
25 *434:48 *4454:B 7.86847e-05
26 *457:80 *4389:A2 2.17643e-05
27 *490:30 *1044:10 0.000141579
28 *490:30 *1044:19 0.000127395
29 *620:45 *4389:A2 0.000143875
30 *620:45 *4390:B1 9.1416e-05
31 *1043:18 *4389:A2 1.44467e-05
32 *1043:27 *4389:A2 5.66217e-05
*RES
1 *4832:Q *1044:5 10.5271
2 *1044:5 *1044:10 8.9951
3 *1044:10 *4451:A 13.7491
4 *1044:10 *1044:19 7.1625
5 *1044:19 *4389:A2 26.2084
6 *1044:19 *4390:B1 11.6364
7 *1044:5 *1044:37 8.82351
8 *1044:37 *4454:B 17.6896
9 *1044:37 *4453:A1 13.7491
*END
*D_NET *1045 0.0110889
*CONN
*I *4391:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4390:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4454:A I *D sky130_fd_sc_hd__and3_1
*I *4457:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4833:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4391:B1 0.00027285
2 *4390:A2 5.47104e-06
3 *4454:A 9.08957e-05
4 *4457:A1 0.000331422
5 *4833:Q 0.000249822
6 *1045:53 0.000736987
7 *1045:40 0.000821887
8 *1045:31 0.00109704
9 *1045:12 0.00128776
10 *4457:A1 *1049:7 0.000125623
11 *4364:B1 *4457:A1 8.46734e-05
12 *4364:B1 *1045:31 1.19721e-05
13 *4390:A1 *4391:B1 5.69438e-05
14 *4390:A1 *1045:53 1.07248e-05
15 *4391:B2 *4391:B1 0.000588772
16 *4394:B2 *4391:B1 5.0715e-05
17 *4418:A2 *1045:31 2.41274e-06
18 *4418:B1 *1045:31 7.98171e-06
19 *4451:B *1045:53 0
20 *4454:B *4454:A 5.07314e-05
21 *4454:B *1045:53 4.01573e-05
22 *4454:C *4454:A 0.00013806
23 *4819:CLK *1045:12 3.20069e-06
24 *4819:CLK *1045:31 2.82537e-05
25 *4820:CLK *1045:31 0.000309784
26 *4835:D *4457:A1 7.92757e-06
27 *4835:D *1045:31 5.61389e-05
28 *5051:A *4457:A1 0.000440512
29 *215:9 *1045:31 0.00020408
30 *216:25 *4457:A1 9.17771e-05
31 *216:25 *1045:31 0.000281751
32 *332:41 *1045:31 3.50469e-05
33 *349:10 *1045:31 0.000421986
34 *349:13 *4454:A 7.06457e-06
35 *349:13 *1045:40 7.60261e-05
36 *352:18 *1045:31 0.000252876
37 *369:10 *1045:31 9.80242e-07
38 *393:22 *1045:31 0.000208584
39 *393:36 *1045:40 0.000213725
40 *393:38 *1045:40 0.00041745
41 *393:43 *1045:40 6.50727e-05
42 *394:37 *1045:40 4.31539e-05
43 *394:43 *1045:31 1.91246e-05
44 *402:23 *1045:12 0.000112814
45 *402:23 *1045:31 3.65454e-05
46 *412:8 *4391:B1 2.50632e-05
47 *412:8 *1045:40 0.000364356
48 *412:8 *1045:53 0.000182121
49 *412:46 *4391:B1 1.92098e-05
50 *433:25 *4390:A2 5.94977e-06
51 *434:48 *1045:53 0
52 *437:39 *1045:31 1.25094e-05
53 *439:16 *4454:A 0
54 *439:16 *1045:53 5.92342e-05
55 *439:36 *1045:40 6.49167e-05
56 *441:43 *4457:A1 6.08467e-05
57 *441:43 *1045:31 0.000316529
58 *442:17 *4457:A1 3.95516e-05
59 *454:41 *4391:B1 6.3082e-06
60 *490:30 *4454:A 0
61 *490:30 *1045:53 0
62 *620:45 *4390:A2 1.67329e-05
63 *809:10 *1045:31 0
64 *828:46 *1045:12 0.000111518
65 *828:56 *1045:12 0.000170515
66 *1042:59 *1045:12 0.000165481
67 *1044:19 *1045:53 0
68 *1044:37 *1045:53 7.12459e-05
*RES
1 *4833:Q *1045:12 21.5002
2 *1045:12 *1045:31 41.4879
3 *1045:31 *4457:A1 19.9554
4 *1045:12 *1045:40 15.7609
5 *1045:40 *4454:A 21.0832
6 *1045:40 *1045:53 17.5438
7 *1045:53 *4390:A2 13.9481
8 *1045:53 *4391:B1 22.8176
*END
*D_NET *1046 0.0123024
*CONN
*I *4459:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4394:A2 I *D sky130_fd_sc_hd__a221oi_2
*I *4391:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4460:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4834:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4459:A1 0.000767351
2 *4394:A2 0
3 *4391:A2 0.000128932
4 *4460:A1 0.000200532
5 *4834:Q 0.000175398
6 *1046:44 0.0025013
7 *1046:28 0.00186753
8 *1046:17 0.000387505
9 *1046:7 0.000500923
10 *4459:A2 *4459:A1 2.16355e-05
11 *4648:B1 *1046:44 3.29775e-05
12 *4665:A1 *4459:A1 0.000209232
13 *4665:A2 *4459:A1 1.41291e-05
14 *4665:B1 *4459:A1 2.99987e-05
15 *4665:B1 *1046:44 7.68538e-06
16 *4666:A3 *4459:A1 1.00846e-05
17 *4666:A3 *1046:44 7.34948e-06
18 *4666:B1 *4459:A1 0.000139764
19 *4836:CLK *4391:A2 0.000113968
20 *4836:CLK *1046:17 7.36804e-06
21 *4836:CLK *1046:28 4.5435e-07
22 *4867:D *1046:44 0.000391075
23 *5131:TE_B *4391:A2 6.08467e-05
24 *336:125 *1046:44 2.78219e-06
25 *338:81 *1046:28 8.03385e-06
26 *338:81 *1046:44 2.21282e-05
27 *351:44 *1046:28 0
28 *351:44 *1046:44 0.000156067
29 *351:54 *1046:28 0.000181699
30 *351:54 *1046:44 8.60429e-05
31 *394:8 *4460:A1 0.00045005
32 *394:8 *1046:17 0.000262602
33 *394:8 *1046:28 0.000157717
34 *400:59 *1046:44 8.63445e-05
35 *431:62 *1046:7 0.000258142
36 *439:49 *4460:A1 0.000100477
37 *443:25 *1046:44 3.36048e-05
38 *560:8 *1046:44 0.000565868
39 *614:29 *1046:44 0.000205006
40 *616:49 *1046:44 1.62832e-05
41 *777:14 *1046:44 0.000151161
42 *777:30 *1046:44 0.000499981
43 *809:10 *4460:A1 0.000453662
44 *809:10 *1046:17 0.000239621
45 *1003:5 *1046:44 0.000251669
46 *1003:9 *1046:44 0.000205101
47 *1004:108 *4459:A1 5.80004e-05
48 *1007:45 *4391:A2 1.19726e-05
49 *1042:59 *4460:A1 0.000262339
*RES
1 *4834:Q *1046:7 16.691
2 *1046:7 *4460:A1 24.7517
3 *1046:7 *1046:17 5.15401
4 *1046:17 *4391:A2 16.691
5 *1046:17 *1046:28 4.64105
6 *1046:28 *4394:A2 13.7491
7 *1046:28 *1046:44 43.0261
8 *1046:44 *4459:A1 21.6192
*END
*D_NET *1047 0.00284941
*CONN
*I *5079:A I *D sky130_fd_sc_hd__ebufn_8
*I *4394:Y O *D sky130_fd_sc_hd__a221oi_2
*CAP
1 *5079:A 0.000615031
2 *4394:Y 0.000615031
3 *4607:A *5079:A 1.66321e-05
4 *4633:C1 *5079:A 0.000456928
5 *336:125 *5079:A 0.000127655
6 *351:44 *5079:A 0.000431979
7 *428:14 *5079:A 3.98561e-05
8 *560:20 *5079:A 0.000271044
9 *575:17 *5079:A 0.000275256
*RES
1 *4394:Y *5079:A 46.3907
*END
*D_NET *1048 0.00805451
*CONN
*I *4362:B I *D sky130_fd_sc_hd__nand2_1
*I *4415:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4363:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4819:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4362:B 0
2 *4415:A 0.000107602
3 *4363:A2 0.000768397
4 *4819:Q 0
5 *1048:39 0.00175564
6 *1048:15 0.00192749
7 *1048:4 0.000832652
8 *4415:A *4418:A1 2.01098e-05
9 *1048:39 *1051:13 0.000158357
10 *4362:A *1048:39 4.80635e-06
11 *4363:A1 *4363:A2 0.000196055
12 *4417:B1 *4363:A2 9.75243e-05
13 *4417:B1 *1048:15 0.000116
14 *4417:B1 *1048:39 0.000162739
15 *4418:A2 *4415:A 9.22378e-05
16 *4418:B1 *1048:39 0.000176045
17 *4463:B1 *1048:39 1.93122e-05
18 *4819:CLK *4363:A2 6.32776e-05
19 *4820:CLK *1048:39 0
20 *4822:CLK *1048:39 1.03403e-05
21 *4835:D *4415:A 1.6749e-05
22 *4835:D *1048:39 0
23 *5144:A *1048:39 0.000295877
24 *214:27 *1048:39 4.43877e-05
25 *215:9 *4363:A2 0.000364708
26 *216:25 *1048:39 6.27098e-05
27 *231:8 *1048:39 2.07793e-05
28 *332:55 *4415:A 1.83307e-05
29 *364:10 *1048:39 7.7363e-05
30 *394:37 *4363:A2 0.000119035
31 *394:43 *1048:39 0
32 *414:20 *1048:39 0.000216781
33 *414:27 *1048:39 2.55314e-05
34 *418:8 *1048:39 7.50872e-05
35 *428:68 *4363:A2 0.000192472
36 *436:55 *4363:A2 1.37029e-05
37 *827:19 *1048:39 2.41274e-06
38 *827:114 *1048:39 0
*RES
1 *4819:Q *1048:4 9.24915
2 *1048:4 *4363:A2 33.003
3 *1048:4 *1048:15 1.278
4 *1048:15 *4415:A 21.9123
5 *1048:15 *1048:39 46.8479
6 *1048:39 *4362:B 9.24915
*END
*D_NET *1049 0.0165798
*CONN
*I *4417:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4418:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4420:B I *D sky130_fd_sc_hd__and3_1
*I *4419:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4358:A I *D sky130_fd_sc_hd__inv_2
*I *4820:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4417:A1 3.10903e-05
2 *4418:A1 0.000517919
3 *4420:B 0
4 *4419:A1 0.000153398
5 *4358:A 0.00251477
6 *4820:Q 0.000419213
7 *1049:48 0.000760247
8 *1049:15 0.00282378
9 *1049:11 0.000445846
10 *1049:7 0.000920678
11 *4419:A1 *4419:B1 6.08467e-05
12 *4419:A1 *4420:A 0.000394498
13 *4360:A2 *4358:A 1.96574e-05
14 *4360:B1 *4358:A 1.41976e-05
15 *4362:A *4358:A 0.00010623
16 *4368:A *4358:A 8.62048e-05
17 *4415:A *4418:A1 2.01098e-05
18 *4417:A2 *4417:A1 7.50872e-05
19 *4418:A2 *4418:A1 7.72245e-05
20 *4419:A2 *4419:A1 3.70251e-05
21 *4419:A2 *1049:11 1.61631e-05
22 *4419:A2 *1049:15 0
23 *4421:B *4419:A1 0.000232565
24 *4421:B *1049:11 0.00010906
25 *4457:A1 *1049:7 0.000125623
26 *4492:A *4358:A 0
27 *4502:A *4358:A 0
28 *4502:B *4358:A 0
29 *4515:A *4358:A 0.000229004
30 *4537:B1 *4358:A 0
31 *4875:D *4358:A 0
32 *214:27 *4358:A 0.000549454
33 *237:35 *1049:11 0
34 *237:35 *1049:48 0
35 *324:14 *4417:A1 7.50872e-05
36 *324:14 *1049:11 0.000353039
37 *324:14 *1049:48 0.000179054
38 *332:55 *4418:A1 0.000154145
39 *334:32 *4358:A 0
40 *352:18 *4358:A 0.000199747
41 *360:12 *4358:A 0.00450152
42 *364:10 *1049:11 2.82119e-05
43 *393:22 *4358:A 2.6832e-05
44 *414:19 *4418:A1 4.36922e-05
45 *417:7 *4419:A1 1.65872e-05
46 *428:68 *1049:15 0
47 *465:11 *4358:A 0.000146204
48 *465:16 *4358:A 5.96638e-05
49 *486:19 *4358:A 5.60804e-05
*RES
1 *4820:Q *1049:7 20.0186
2 *1049:7 *1049:11 12.593
3 *1049:11 *1049:15 3.13472
4 *1049:15 *4358:A 47.1137
5 *1049:15 *4419:A1 15.9767
6 *1049:11 *4420:B 9.24915
7 *1049:7 *1049:48 9.271
8 *1049:48 *4418:A1 17.7852
9 *1049:48 *4417:A1 19.6659
*END
*D_NET *1050 0.00845542
*CONN
*I *4419:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4420:A I *D sky130_fd_sc_hd__and3_1
*I *4357:A I *D sky130_fd_sc_hd__inv_2
*I *4821:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4419:B1 1.16004e-05
2 *4420:A 0.000112348
3 *4357:A 0.00190402
4 *4821:Q 0.00018979
5 *1050:38 0.000293239
6 *1050:5 0.0022631
7 *4419:A1 *4419:B1 6.08467e-05
8 *4419:A1 *4420:A 0.000394498
9 *4420:C *4420:A 6.89596e-05
10 *4421:B *1050:5 0.000110297
11 *4421:B *1050:38 0.00012612
12 *4463:B1 *1050:38 6.48016e-05
13 *4514:A1 *4357:A 0.00017747
14 *4514:B1 *4357:A 1.98189e-05
15 *4514:C1 *4357:A 0.000115934
16 *4522:A2 *4357:A 2.09034e-05
17 *4821:CLK *4357:A 4.87439e-05
18 *4846:D *4357:A 0.000258982
19 *5068:A *1050:38 0.000119104
20 *237:12 *1050:38 1.5714e-05
21 *330:121 *1050:38 0.000188202
22 *359:15 *4357:A 0.000164829
23 *364:10 *1050:38 3.14281e-05
24 *367:7 *4357:A 0.000113968
25 *417:7 *4419:B1 4.88955e-05
26 *417:7 *4420:A 0.000209326
27 *419:62 *1050:38 0.000167672
28 *442:17 *4357:A 0.000248289
29 *442:17 *1050:5 2.58106e-05
30 *464:25 *4357:A 4.33819e-05
31 *488:16 *4357:A 5.73392e-05
32 *492:16 *4357:A 0.000779987
*RES
1 *4821:Q *1050:5 12.191
2 *1050:5 *4357:A 48.0955
3 *1050:5 *1050:38 17.8942
4 *1050:38 *4420:A 13.8548
5 *1050:38 *4419:B1 9.97254
*END
*D_NET *1051 0.00695975
*CONN
*I *4424:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4422:A I *D sky130_fd_sc_hd__and2_1
*I *4355:A I *D sky130_fd_sc_hd__inv_2
*I *4822:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4424:A1 5.97458e-05
2 *4422:A 0
3 *4355:A 0.000830387
4 *4822:Q 0.000516854
5 *1051:15 0.000958305
6 *1051:13 0.000704519
7 *4356:B1 *4355:A 2.37821e-05
8 *4366:A1 *4355:A 4.66492e-05
9 *4366:A2 *4355:A 2.13344e-05
10 *4366:B1 *4355:A 0.000112031
11 *4366:B2 *4355:A 1.81863e-06
12 *4367:B1 *4355:A 1.75543e-05
13 *4368:A *4355:A 6.73351e-05
14 *4422:B *4424:A1 7.23467e-05
15 *4422:B *1051:15 8.41325e-05
16 *4424:B1 *1051:13 2.16355e-05
17 *4494:A1 *4355:A 0.000366439
18 *4516:B *4355:A 0
19 *4662:B *1051:13 7.50722e-05
20 *214:27 *4355:A 0.000927099
21 *233:13 *1051:13 1.41976e-05
22 *234:11 *1051:13 7.14746e-05
23 *359:15 *4355:A 1.65872e-05
24 *363:31 *4355:A 0.000188048
25 *370:8 *4355:A 0.000816518
26 *417:11 *1051:13 7.6719e-06
27 *418:8 *1051:15 2.57986e-05
28 *420:13 *4424:A1 0.000107496
29 *420:13 *1051:13 0.00020502
30 *464:25 *4355:A 0
31 *466:41 *4355:A 3.55968e-05
32 *482:26 *4355:A 0.000118166
33 *827:64 *4355:A 7.99477e-05
34 *1019:22 *4355:A 0.000207828
35 *1048:39 *1051:13 0.000158357
*RES
1 *4822:Q *1051:13 27.6474
2 *1051:13 *1051:15 3.49641
3 *1051:15 *4355:A 46.6697
4 *1051:15 *4422:A 9.24915
5 *1051:13 *4424:A1 11.6605
*END
*D_NET *1052 0.0044022
*CONN
*I *4428:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4427:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4429:B I *D sky130_fd_sc_hd__and3_1
*I *4426:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4354:A I *D sky130_fd_sc_hd__inv_2
*I *4823:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4428:A1 0
2 *4427:A1 0
3 *4429:B 0
4 *4426:A1 0.000435967
5 *4354:A 0.000154537
6 *4823:Q 0
7 *1052:28 0.000623077
8 *1052:17 0.000284374
9 *1052:16 0.000170758
10 *1052:4 0.000228031
11 *1052:17 *1053:9 0.000107496
12 *4350:A *4426:A1 0
13 *4372:A2 *4426:A1 1.67329e-05
14 *4427:A2 *1052:28 1.65872e-05
15 *4427:B1 *4426:A1 0.000118451
16 *4427:B1 *1052:28 0.000192806
17 *4428:A2 *1052:17 0.000340742
18 *4428:A2 *1052:28 3.06765e-05
19 *4434:B1 *4426:A1 6.08467e-05
20 *4662:B *1052:16 0.000103044
21 *215:9 *4426:A1 0.00012568
22 *234:11 *1052:16 0.000109583
23 *344:88 *4354:A 0.000247443
24 *355:15 *4426:A1 1.92172e-05
25 *355:15 *1052:17 8.01158e-05
26 *355:15 *1052:28 4.0999e-05
27 *374:13 *4426:A1 9.03661e-07
28 *393:15 *4426:A1 7.92757e-06
29 *393:15 *1052:28 0.000206309
30 *394:43 *4426:A1 6.08467e-05
31 *418:21 *1052:28 9.24241e-05
32 *423:8 *4426:A1 0.000526627
33 *827:20 *1052:28 0
*RES
1 *4823:Q *1052:4 9.24915
2 *1052:4 *4354:A 13.1796
3 *1052:4 *1052:16 11.2472
4 *1052:16 *1052:17 4.05102
5 *1052:17 *1052:28 15.4858
6 *1052:28 *4426:A1 28.0679
7 *1052:28 *4429:B 9.24915
8 *1052:17 *4427:A1 9.24915
9 *1052:16 *4428:A1 9.24915
*END
*D_NET *1053 0.0038619
*CONN
*I *4353:A I *D sky130_fd_sc_hd__inv_2
*I *4428:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4429:A I *D sky130_fd_sc_hd__and3_1
*I *4824:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4353:A 7.83196e-05
2 *4428:B1 0
3 *4429:A 0.000321834
4 *4824:Q 0.000116396
5 *1053:9 0.000397236
6 *1053:8 0.000270118
7 *4372:A2 *4429:A 0
8 *4428:A2 *4353:A 0
9 *4428:A2 *1053:9 1.65872e-05
10 *4429:C *4429:A 1.37189e-05
11 *4430:A *4429:A 6.08467e-05
12 *4430:C *4429:A 0.000258067
13 *4662:B *4429:A 0.000254375
14 *237:12 *4429:A 0.000257972
15 *346:104 *1053:8 0.000271548
16 *355:15 *4353:A 0.000139947
17 *355:15 *1053:9 0.000250199
18 *393:15 *4353:A 2.61955e-05
19 *393:15 *1053:9 1.58551e-05
20 *423:8 *4429:A 0.00021217
21 *827:16 *4429:A 0.000521472
22 *904:9 *1053:8 0.000271548
23 *1052:17 *1053:9 0.000107496
*RES
1 *4824:Q *1053:8 23.4032
2 *1053:8 *1053:9 2.94181
3 *1053:9 *4429:A 32.5126
4 *1053:9 *4428:B1 9.24915
5 *1053:8 *4353:A 11.6605
*END
*D_NET *1054 0.00280758
*CONN
*I *4431:A I *D sky130_fd_sc_hd__and2_1
*I *4352:A I *D sky130_fd_sc_hd__inv_2
*I *4432:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4825:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4431:A 1.90605e-05
2 *4352:A 0.000437505
3 *4432:A1 0.000112536
4 *4825:Q 0
5 *1054:18 0.000649344
6 *1054:5 0.000305314
7 *4369:B1 *4352:A 0.000112492
8 *4431:B *4431:A 4.80635e-06
9 *4431:B *1054:18 0.000311221
10 *4432:A2 *4432:A1 0.000254755
11 *4432:A2 *1054:18 9.60216e-05
12 *4435:A2 *4352:A 3.024e-05
13 *4542:A *4352:A 2.85139e-05
14 *215:9 *4432:A1 0.000117426
15 *215:9 *1054:18 2.30271e-05
16 *344:88 *1054:18 9.04224e-05
17 *354:12 *4352:A 0.000146388
18 *424:5 *4352:A 4.02438e-05
19 *424:5 *1054:18 2.82583e-05
*RES
1 *4825:Q *1054:5 13.7491
2 *1054:5 *4432:A1 18.7961
3 *1054:5 *1054:18 10.9376
4 *1054:18 *4352:A 21.1128
5 *1054:18 *4431:A 9.82786
*END
*D_NET *1055 0.00373387
*CONN
*I *4350:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4351:A I *D sky130_fd_sc_hd__inv_2
*I *4435:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4434:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4826:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4350:B_N 5.51928e-05
2 *4351:A 0.000332747
3 *4435:A1 0
4 *4434:A1 0.000107084
5 *4826:Q 0.000377476
6 *1055:24 0.000521893
7 *1055:15 0.000258568
8 *1055:7 0.000609175
9 *4369:A1 *4351:A 2.99978e-05
10 *4369:B2 *4351:A 0.000271058
11 *4370:B1 *4350:B_N 3.24105e-05
12 *4370:B1 *4351:A 2.85139e-05
13 *4435:B1 *4434:A1 5.80086e-05
14 *4435:B1 *1055:15 8.84036e-05
15 *4435:B1 *1055:24 8.92568e-06
16 *4536:B1 *4351:A 1.61631e-05
17 *336:129 *4434:A1 0.000278373
18 *336:129 *1055:15 0.000315206
19 *336:129 *1055:24 0.000175973
20 *354:12 *1055:24 1.94813e-05
21 *355:15 *4350:B_N 5.73392e-05
22 *355:15 *4351:A 9.18799e-05
23 *363:12 *1055:24 0
*RES
1 *4826:Q *1055:7 18.9094
2 *1055:7 *4434:A1 18.4879
3 *1055:7 *1055:15 5.56926
4 *1055:15 *4435:A1 13.7491
5 *1055:15 *1055:24 7.993
6 *1055:24 *4351:A 19.0632
7 *1055:24 *4350:B_N 11.0817
*END
*D_NET *1056 0.0038476
*CONN
*I *5080:A I *D sky130_fd_sc_hd__ebufn_8
*I *4374:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *5080:A 0
2 *4374:X 0.00100873
3 *1056:10 0.00100873
4 *4362:A *1056:10 1.10375e-06
5 *4374:A *1056:10 0.000199733
6 *4620:A *1056:10 0.000266427
7 *5068:A *1056:10 0.000470122
8 *5080:TE_B *1056:10 3.67901e-05
9 *338:117 *1056:10 0.000340417
10 *436:26 *1056:10 0.000498621
11 *828:8 *1056:10 1.69327e-05
*RES
1 *4374:X *1056:10 43.3815
2 *1056:10 *5080:A 9.24915
*END