MPW5, little buffer + netlist
diff --git a/mag/BUFFMIN_v1p1.ext b/mag/BUFFMIN_v1p1.ext
index cfd96eb..369c9c9 100644
--- a/mag/BUFFMIN_v1p1.ext
+++ b/mag/BUFFMIN_v1p1.ext
@@ -4,8 +4,8 @@
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use invmin_magic_v1p1 invmin_magic_v1p1_0 1 0 270 0 1 140
 use invmin_magic_v1p1 invmin_magic_v1p1_1 1 0 740 0 1 140
+use invmin_magic_v1p1 invmin_magic_v1p1_0 1 0 270 0 1 140
 port "VSS" 4 -14 216 -14 216 m1
 port "VDD" 3 -6 532 -6 532 m1
 port "VOUT" 2 870 62 870 62 li
@@ -16,16 +16,16 @@
 node "VIN" 12 57.3125 -4 68 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2500 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "VDD" "VSS" 20.7692
-cap "invmin_magic_v1p1_0/VOUT" "VIN" 10.6757
-cap "invmin_magic_v1p1_0/VOUT" "VSS" 59.4
-cap "VSS" "VDD" -20.7692
-cap "invmin_magic_v1p1_0/VOUT" "VDD" 59.4
-cap "invmin_magic_v1p1_0/VOUT" "VOUT" 32.1081
-merge "invmin_magic_v1p1_1/VSS" "invmin_magic_v1p1_0/VSS" -179.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10800 -840 0 0 0 0 0 0 0 0 0 0
-merge "invmin_magic_v1p1_0/VSS" "VSUBS"
-merge "VSUBS" "VSS"
+cap "invmin_magic_v1p1_1/VIN" "VSS" 59.4
+cap "VDD" "VSS" -20.7692
+cap "invmin_magic_v1p1_1/VIN" "VDD" 59.4
+cap "VIN" "invmin_magic_v1p1_1/VIN" 10.6757
+cap "invmin_magic_v1p1_1/VIN" "VOUT" 32.1081
+merge "invmin_magic_v1p1_0/VSS" "VSS" -179.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10800 -840 0 0 0 0 0 0 0 0 0 0
+merge "VSS" "invmin_magic_v1p1_1/VSS"
+merge "invmin_magic_v1p1_1/VSS" "VSUBS"
+merge "invmin_magic_v1p1_0/VDD" "VDD" -179.22 0 0 0 0 0 -560 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10800 -840 0 0 0 0 0 0 0 0 0 0
+merge "VDD" "invmin_magic_v1p1_1/VDD"
 merge "invmin_magic_v1p1_1/VOUT" "VOUT" -57.3125 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2500 -200 0 0 0 0 0 0 0 0 0 0 0 0
-merge "invmin_magic_v1p1_1/VDD" "invmin_magic_v1p1_0/VDD" -179.22 0 0 0 0 0 -560 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10800 -840 0 0 0 0 0 0 0 0 0 0
-merge "invmin_magic_v1p1_0/VDD" "VDD"
-merge "invmin_magic_v1p1_1/VIN" "invmin_magic_v1p1_0/VOUT" -27.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100 0 0 0 0 0 0 0 0 0 0 0 0
+merge "invmin_magic_v1p1_0/VOUT" "invmin_magic_v1p1_1/VIN" -27.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100 0 0 0 0 0 0 0 0 0 0 0 0
 merge "invmin_magic_v1p1_0/VIN" "VIN" -57.3125 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2500 -200 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/CAPOSC_v1p1.ext b/mag/CAPOSC_v1p1.ext
index bc5d202..ad0b468 100644
--- a/mag/CAPOSC_v1p1.ext
+++ b/mag/CAPOSC_v1p1.ext
@@ -5,8 +5,8 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 use sky130_fd_pr__cap_mim_m3_2_7PBNAZ sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0 1 0 3739 0 1 2320
-use sky130_fd_pr__cap_mim_m3_1_9K4XRG sky130_fd_pr__cap_mim_m3_1_9K4XRG_0 1 0 4560 0 1 2320
 use sky130_fd_pr__cap_mim_m3_2_4SGG6N sky130_fd_pr__cap_mim_m3_2_4SGG6N_0 1 0 7323 0 1 2320
+use sky130_fd_pr__cap_mim_m3_1_9K4XRG sky130_fd_pr__cap_mim_m3_1_9K4XRG_0 1 0 4560 0 1 2320
 parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
 port "TOP_V" 2 4110 4986 4110 4986 m4
 port "TOP_B" 3 9210 5152 9210 5152 m4
@@ -18,48 +18,48 @@
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "TOP_B" "BOT" 5353.37
 device csubckt sky130_fd_pr__cap_mim_m3_1 6100 100 6101 101 w=3760 l=4440 "None" "TOP_B" 8896 0 "BOT" 200 0
-cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 342.858
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 800.65
-cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 342.858
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 2785.71
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 2617.35
+cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 342.858
+cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 800.65
+cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 342.858
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" 2785.71
 cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" -401.18
+cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 2617.35
 cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" -4167.02
 cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 695.125
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" -3781.43
-cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 579.012
-cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 2091.04
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -3781.43
 cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 579.012
+cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 2091.04
 cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 1172.5
+cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 579.012
 cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 4028.92
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -164.41
 cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" 3887.43
-cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 1172.5
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" -7018.98
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -164.41
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" -7018.98
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "TOP_B" 1172.5
 cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" -5918.76
 cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 579.012
 cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 2091.04
-cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 1172.5
-cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 579.012
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" 1172.5
+cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" 579.012
 cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" 4028.92
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -164.41
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" 3887.43
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" -6561.84
-cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" -5918.76
-cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 1172.5
-cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 1347.22
-cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "TOP_V" 848.197
-cap "TOP_V" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 361.376
-cap "TOP_V" "BOT" 134.222
 cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" -164.41
-cap "TOP_B" "BOT" -487.26
-cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" -51.0662
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" 2335.11
+cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 3887.43
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" -6561.84
+cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 1172.5
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -5918.76
+cap "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 1347.22
+cap "TOP_V" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 848.197
+cap "BOT" "TOP_V" 134.222
+cap "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "TOP_V" 361.376
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/c2_n2131_n2220#" "BOT" -164.41
+cap "BOT" "TOP_B" -487.26
+cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "TOP_B" -51.0662
+cap "BOT" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 2335.11
+cap "TOP_B" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" 833.56
+cap "TOP_B" "BOT" -3487.05
 cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT" -3949.55
-cap "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "TOP_B" 833.56
-cap "BOT" "TOP_B" -3487.05
-merge "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" -2051.79 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 47814 -1747 405764 -4685 45308 -1204 0 0 0 0
-merge "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "BOT"
+merge "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/m3_n456_n2320#" "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" -2051.79 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 47814 -1747 405764 -4685 45308 -1204 0 0 0 0
+merge "sky130_fd_pr__cap_mim_m3_2_4SGG6N_0/m4_n2231_n2320#" "BOT"
 merge "BOT" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#"
 merge "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "m3_4130_n432#"
 merge "sky130_fd_pr__cap_mim_m3_1_9K4XRG_0/c1_n356_n2220#" "sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/c2_n571_n2220#" -76.3598 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 462071 -138 138704 -688 0 0
diff --git a/mag/DFF_v4p1.ext b/mag/DFF_v4p1.ext
index a7404bd..754c1e0 100644
--- a/mag/DFF_v4p1.ext
+++ b/mag/DFF_v4p1.ext
@@ -5,19 +5,19 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_5 1 0 -291 0 1 198
-use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_3 1 0 -491 0 1 -168
 use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_4 1 0 -491 0 1 198
+use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_3 1 0 109 0 1 198
+use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_2 1 0 309 0 1 198
+use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_1 1 0 709 0 1 198
+use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_0 1 0 909 0 1 198
 use sky130_fd_pr__nfet_01v8_59MFY5 sky130_fd_pr__nfet_01v8_59MFY5_3 1 0 -291 0 1 -199
 use sky130_fd_pr__nfet_01v8_59MFY5 sky130_fd_pr__nfet_01v8_59MFY5_2 1 0 -91 0 1 -199
-use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_3 1 0 109 0 1 198
-use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_2 1 0 109 0 1 -168
-use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_2 1 0 309 0 1 198
-use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_1 1 0 309 0 1 -168
 use sky130_fd_pr__nfet_01v8_59MFY5 sky130_fd_pr__nfet_01v8_59MFY5_1 1 0 509 0 1 -199
-use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_1 1 0 709 0 1 198
 use sky130_fd_pr__nfet_01v8_59MFY5 sky130_fd_pr__nfet_01v8_59MFY5_0 1 0 709 0 1 -199
+use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_3 1 0 -491 0 1 -168
+use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_2 1 0 109 0 1 -168
+use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_1 1 0 309 0 1 -168
 use sky130_fd_pr__nfet_01v8_6H9P4D sky130_fd_pr__nfet_01v8_6H9P4D_0 1 0 909 0 1 -168
-use sky130_fd_pr__pfet_01v8_MA8JHN sky130_fd_pr__pfet_01v8_MA8JHN_0 1 0 909 0 1 198
 port "IN" 4 904 328 904 328 m1
 port "CLK" 3 -284 -436 -284 -436 m2
 port "ND" 5 8 320 8 320 m1
@@ -47,167 +47,156 @@
 node "a_n506_n42#" 125 65.601 -506 -42 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2340 216 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "VDD" 5911 3690.94 208 506 m2 0 0 0 0 1046672 4596 0 0 256000 3520 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 176928 3332 222348 5524 24288 868 0 0 0 0 0 0 0 0
 substrate "GND" 0 0 216 -542 m1 0 0 0 0 0 0 0 0 0 0 256000 3520 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 173824 3328 291660 5856 0 0 0 0 0 0 0 0 0 0
-cap "m1_376_n268#" "m1_n24_n268#" 26.9461
-cap "a_894_n42#" "m1_476_n356#" 42.2085
-cap "D" "m1_76_294#" 19.5552
-cap "m1_n424_62#" "VDD" 91.3758
-cap "m1_42_n68#" "m1_76_294#" 2.32759
-cap "m1_376_n268#" "m1_576_n268#" 67.1642
-cap "m1_n564_n40#" "a_n506_n42#" 35.281
-cap "li_n632_n20#" "D" 34.1254
 cap "m1_n564_n40#" "m1_n524_292#" 11.0161
-cap "m1_42_n68#" "li_n632_n20#" 40.6174
-cap "m1_n224_n268#" "m1_n564_n40#" 64.5918
-cap "m1_42_n68#" "CLK" 40.4002
-cap "D" "VDD" 327.754
-cap "m1_42_n68#" "VDD" 6.74289
-cap "m1_330_n68#" "li_n632_n20#" 40.6174
-cap "m1_330_n68#" "CLK" 40.4002
-cap "m1_330_n68#" "VDD" 6.74289
-cap "IN" "CLK" 23.1818
-cap "m1_776_62#" "m1_476_n356#" 70.7143
-cap "IN" "VDD" 125.2
-cap "li_n632_n20#" "m1_n564_n40#" 179.826
-cap "CLK" "m1_n564_n40#" 446.158
-cap "m1_76_294#" "ND" 175.277
-cap "li_n632_n20#" "m1_476_n356#" 53.5196
-cap "CLK" "m1_476_n356#" 444.679
-cap "VDD" "m1_n564_n40#" 15.4987
-cap "VDD" "m1_476_n356#" 16.9833
+cap "m1_330_n68#" "m1_42_n68#" 24.1736
 cap "CLK" "ND" 22.2066
-cap "VDD" "ND" 502.115
-cap "li_n632_n20#" "a_n506_n42#" 26.497
-cap "m1_n24_n268#" "ND" 7.60135
-cap "m1_42_n68#" "m1_330_n68#" 24.1736
-cap "li_n632_n20#" "m1_n524_292#" 39.5254
-cap "CLK" "m1_n524_292#" 23.1818
-cap "m1_576_n268#" "m1_476_n356#" 64.5918
-cap "VDD" "m1_n524_292#" 123.741
-cap "m1_n424_62#" "m1_n564_n40#" 70.7143
-cap "m1_n24_n268#" "m1_n224_n268#" 67.1642
-cap "m1_n424_62#" "ND" 4.37143
-cap "m1_42_n68#" "m1_n564_n40#" 14.8872
-cap "m1_76_294#" "CLK" 7.59036
-cap "D" "ND" 148.844
-cap "m1_76_294#" "VDD" 31.3432
-cap "m1_776_62#" "VDD" 91.3758
-cap "m1_42_n68#" "ND" 6.55063
-cap "m1_330_n68#" "m1_476_n356#" 14.8872
-cap "li_n632_n20#" "CLK" 33.301
+cap "CLK" "li_n632_n20#" 33.301
+cap "ND" "m1_n24_n268#" 7.60135
+cap "VDD" "m1_42_n68#" 6.74289
+cap "ND" "D" 148.844
+cap "D" "li_n632_n20#" 34.1254
+cap "m1_476_n356#" "li_n632_n20#" 53.5196
+cap "m1_n524_292#" "VDD" 123.741
+cap "a_94_n42#" "li_n632_n20#" 26.497
+cap "m1_n564_n40#" "VDD" 15.4987
 cap "li_n632_n20#" "a_294_n42#" 26.497
-cap "li_n632_n20#" "VDD" 106.174
-cap "m1_330_n68#" "ND" 2.32759
-cap "VDD" "CLK" 317.617
-cap "li_n632_n20#" "a_94_n42#" 26.497
-cap "a_294_n42#" "a_94_n42#" 10.5529
-cap "IN" "m1_476_n356#" 11.0161
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 95.5748
-cap "CLK" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 233.076
-cap "VDD" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 337.437
-cap "D" "ND" 267.38
-cap "GND" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" 50.2396
-cap "GND" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 141.291
-cap "GND" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" 140.729
-cap "D" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" 7.09938
-cap "D" "CLK" 74.217
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 139.346
-cap "D" "VDD" 86.9145
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 327.842
-cap "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 51
-cap "GND" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 19.343
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 57.1033
-cap "GND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 200.514
-cap "VDD" "ND" 102.494
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "ND" 202.122
-cap "CLK" "ND" 69.8342
-cap "D" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 40.2253
-cap "CLK" "IN" 17.2563
-cap "D" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 44.6629
-cap "CLK" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" 183.296
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" "ND" 40.5173
-cap "CLK" "VDD" 66.7019
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "VDD" 186.619
+cap "m1_76_294#" "m1_42_n68#" 2.32759
+cap "CLK" "m1_476_n356#" 444.679
+cap "m1_n424_62#" "m1_n564_n40#" 70.7143
+cap "m1_330_n68#" "VDD" 6.74289
+cap "m1_n564_n40#" "a_n506_n42#" 35.281
+cap "a_94_n42#" "a_294_n42#" 10.5529
+cap "m1_476_n356#" "a_894_n42#" 42.2085
+cap "m1_n424_62#" "VDD" 91.3758
+cap "VDD" "IN" 125.2
+cap "m1_76_294#" "VDD" 31.3432
+cap "m1_476_n356#" "m1_776_62#" 70.7143
+cap "ND" "m1_42_n68#" 6.55063
+cap "m1_42_n68#" "li_n632_n20#" 40.6174
+cap "m1_n224_n268#" "m1_n24_n268#" 67.1642
+cap "CLK" "m1_42_n68#" 40.4002
+cap "m1_n524_292#" "li_n632_n20#" 39.5254
+cap "m1_n564_n40#" "li_n632_n20#" 179.826
+cap "CLK" "m1_n524_292#" 23.1818
+cap "CLK" "m1_n564_n40#" 446.158
+cap "ND" "m1_330_n68#" 2.32759
+cap "m1_576_n268#" "m1_376_n268#" 67.1642
+cap "m1_330_n68#" "li_n632_n20#" 40.6174
+cap "CLK" "m1_330_n68#" 40.4002
+cap "ND" "VDD" 502.115
+cap "VDD" "li_n632_n20#" 106.174
+cap "ND" "m1_n424_62#" 4.37143
+cap "m1_476_n356#" "m1_330_n68#" 14.8872
+cap "CLK" "VDD" 317.617
+cap "ND" "m1_76_294#" 175.277
+cap "VDD" "D" 327.754
+cap "CLK" "IN" 23.1818
+cap "CLK" "m1_76_294#" 7.59036
+cap "m1_476_n356#" "VDD" 16.9833
+cap "m1_476_n356#" "m1_576_n268#" 64.5918
+cap "a_n506_n42#" "li_n632_n20#" 26.497
+cap "m1_76_294#" "D" 19.5552
+cap "m1_476_n356#" "IN" 11.0161
+cap "m1_n564_n40#" "m1_n224_n268#" 64.5918
+cap "m1_776_62#" "VDD" 91.3758
+cap "m1_n564_n40#" "m1_42_n68#" 14.8872
+cap "m1_n24_n268#" "m1_376_n268#" 26.9461
 cap "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "ND" 40.2253
-cap "D" "GND" 114.551
-cap "D" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 279.383
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" 139.921
+cap "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 51
+cap "CLK" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" 151.906
+cap "VDD" "D" 86.9145
+cap "D" "ND" 248.626
+cap "D" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 54.9623
+cap "VDD" "GND" 50.2396
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 139.921
 cap "GND" "ND" 110.455
-cap "CLK" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" 151.906
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" 323.587
-cap "CLK" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 7.91561
-cap "CLK" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" 7.91561
-cap "VDD" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" 6.47921
-cap "ND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 90.9824
-cap "CLK" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 151.906
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "GND" 236.396
-cap "CLK" "GND" 46.5073
-cap "VDD" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 50.8654
-cap "GND" "VDD" 50.2396
-cap "IN" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 1.62257
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "IN" 9.21951
-cap "D" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 16.5723
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" "IN" 26.3503
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 82.8176
-cap "IN" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" 13.7066
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" 98.8036
-cap "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 17.1287
-cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" -6.54117
-cap "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "IN" 2.03083
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 41.4839
-cap "D" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" 27.5457
+cap "GND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 104.031
+cap "VDD" "ND" 102.494
+cap "VDD" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 252.469
+cap "ND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 83.8831
+cap "CLK" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 183.296
+cap "GND" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" 50.2396
+cap "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "CLK" 7.91561
+cap "CLK" "D" 69.8342
+cap "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 323.587
+cap "ND" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" 40.5173
+cap "VDD" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" 6.47921
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 139.346
+cap "GND" "CLK" 23.2536
+cap "D" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 7.09938
+cap "GND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 236.396
+cap "VDD" "CLK" 66.1592
+cap "CLK" "ND" 55.1416
+cap "CLK" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" 49.6315
+cap "GND" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" 140.729
+cap "VDD" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 186.619
+cap "ND" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 202.122
+cap "GND" "D" -45.3767
+cap "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" 95.5748
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "D" 16.5723
+cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" 41.4839
+cap "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "D" 27.5457
 cap "IN" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" 3.54969
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" -201.63
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" 82.8176
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "IN" 9.21951
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" -6.54117
+cap "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" -201.63
+cap "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "IN" 2.03083
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" "IN" 13.7066
+cap "IN" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" 12.3154
+cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" 98.8036
+cap "IN" "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" 26.3503
+cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" 17.1287
 cap "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" 30.8966
-cap "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "IN" 12.3154
-merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n73_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" -43.26 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 62000 -800 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n73_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" 40.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 62000 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__nfet_01v8_59MFY5_1/a_15_n69#" "m1_576_n268#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_n33_95#" -172.408 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 0 0 -2668 -1260 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_n33_95#" "a_294_n42#"
-merge "a_294_n42#" "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n73_n100#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n73_n100#" "sky130_fd_pr__nfet_01v8_59MFY5_2/a_15_n69#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_n33_95#" "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n15_n126#" -172.408 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 0 0 -2668 -1260 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n15_n126#" "a_294_n42#"
+merge "a_294_n42#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n73_n136#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n73_n136#" "ND"
+merge "ND" "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n73_n100#"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n73_n100#" "m1_42_n68#"
+merge "m1_42_n68#" "sky130_fd_pr__nfet_01v8_59MFY5_2/a_15_n69#"
 merge "sky130_fd_pr__nfet_01v8_59MFY5_2/a_15_n69#" "m1_n24_n268#"
-merge "m1_n24_n268#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n73_n136#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n73_n136#" "m1_42_n68#"
-merge "m1_42_n68#" "ND"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_2/a_n33_n157#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" -190.685 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2668 -392 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "m1_n564_n40#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_n33_95#" "IN" -35.6816 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 0 0 2668 -208 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_59MFY5_2/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" -190.685 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2668 -392 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100#" "m1_n564_n40#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_n33_95#" "IN" -39.15 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 0 0 -2668 -208 0 0 0 0 0 0 0 0 0 0
 merge "IN" "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_n15_n126#"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_n15_n126#" "a_894_n42#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" -82 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -800 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" "m1_n424_62#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_0/VSUBS" -117.417 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1600 -2596 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" -82 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -800 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" "m1_n424_62#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_0/VSUBS" -289.837 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -19600 -2596 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_n73_n100#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_n73_n100#" "sky130_fd_pr__nfet_01v8_59MFY5_0/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_0/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_59MFY5_0/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_1/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_1/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_15_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_1/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_59MFY5_1/VSUBS" "sky130_fd_pr__pfet_01v8_MA8JHN_2/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_1/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n73_n100#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_2/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_2/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_15_n100#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_15_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_2/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_2/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_3/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_15_n100#" "sky130_fd_pr__nfet_01v8_59MFY5_2/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_59MFY5_2/VSUBS" "sky130_fd_pr__pfet_01v8_MA8JHN_5/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/VSUBS" "sky130_fd_pr__pfet_01v8_MA8JHN_4/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_3/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_59MFY5_3/VSUBS" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n73_n69#"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n73_n69#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_3/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n73_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/VSUBS" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/VSUBS" "GND"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_0/w_n109_n198#" -1178.16 0 0 0 0 -335212 -6960 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 42400 -1600 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" "GND"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_0/w_n109_n198#" -1047.16 0 0 0 0 -282360 -6234 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1600 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_n73_n136#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_n73_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/w_n109_n198#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_15_n136#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_15_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/w_n109_n198#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n73_n136#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/w_n109_n198#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n73_n136#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n73_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/w_n109_n198#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/w_n109_n198#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/w_n109_n198#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/w_n109_n198#" "VDD"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" -6.0007 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 -2178 -266 5060 -600 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_4/w_n109_n198#" "VDD"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" 160.315 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 -2178 -198 7728 -300 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#"
 merge "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" "m1_476_n356#"
 merge "m1_476_n356#" "sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#"
@@ -215,20 +204,20 @@
 merge "m1_n524_292#" "li_n632_n20#"
 merge "li_n632_n20#" "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126#" "a_n506_n42#"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n73_n69#" "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_15_n100#" -94.9739 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 7452 -138 139332 -1192 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_59MFY5_1/a_n73_n69#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_15_n136#" -53.1939 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -120 0 0 7452 -138 139332 -792 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_15_n136#" "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_15_n100#"
 merge "sky130_fd_pr__nfet_01v8_6H9P4D_1/a_15_n100#" "m1_376_n268#"
-merge "m1_376_n268#" "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_15_n136#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_2/a_15_n136#" "m1_330_n68#"
-merge "m1_330_n68#" "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n15_n126#"
-merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n15_n126#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n33_95#"
+merge "m1_376_n268#" "m1_330_n68#"
+merge "m1_330_n68#" "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n33_95#"
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_3/a_n33_95#" "m1_76_294#"
-merge "m1_76_294#" "a_94_n42#"
-merge "a_94_n42#" "D"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" -315.67 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8280 -832 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n33_n157#"
-merge "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n33_95#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n33_95#" "CLK"
+merge "m1_76_294#" "D"
+merge "D" "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n15_n126#"
+merge "sky130_fd_pr__nfet_01v8_6H9P4D_2/a_n15_n126#" "a_94_n42#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" -191.908 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2944 -416 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n33_95#"
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n33_95#" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n33_n157#"
+merge "sky130_fd_pr__nfet_01v8_59MFY5_3/a_n33_n157#" "CLK"
 merge "sky130_fd_pr__nfet_01v8_59MFY5_2/a_n73_n69#" "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" -83.56 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -800 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__nfet_01v8_59MFY5_3/a_15_n69#" "m1_n224_n268#"
-merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_n73_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 90.42 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18000 -800 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_MA8JHN_0/a_n73_n136#" "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" -82 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -800 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" "m1_776_62#"
diff --git a/mag/INVMIN_v1p1.ext b/mag/INVMIN_v1p1.ext
index 291cccb..c837efb 100644
--- a/mag/INVMIN_v1p1.ext
+++ b/mag/INVMIN_v1p1.ext
@@ -14,8 +14,8 @@
 node "VIN" 1029 705.152 -300 -80 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27100 1540 0 0 20500 820 0 0 0 0 0 0 0 0 0 0 0 0
 node "VDD" 3397 719.938 -300 390 m1 0 0 0 0 131600 1500 0 0 24000 640 24000 640 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39600 800 84600 1300 0 0 0 0 0 0 0 0 0 0
 substrate "VSS" 0 0 -300 80 m1 0 0 0 0 0 0 0 0 24000 640 24000 640 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39600 800 84600 1300 0 0 0 0 0 0 0 0 0 0
-cap "VDD" "VIN" 40.9179
 cap "VOUT" "VIN" 27.5
 cap "VOUT" "VDD" 290.99
+cap "VDD" "VIN" 40.9179
 device msubckt sky130_fd_pr__nfet_01v8 -20 -20 -19 -19 l=30 w=200 "VSS" "VIN" 60 0 "VSS" 200 0 "VOUT" 200 0
 device msubckt sky130_fd_pr__pfet_01v8 -20 290 -19 291 l=30 w=200 "VDD" "VIN" 60 0 "VDD" 200 0 "VOUT" 200 0
diff --git a/mag/INV_v1p1.ext b/mag/INV_v1p1.ext
index 966390a..0337eaf 100644
--- a/mag/INV_v1p1.ext
+++ b/mag/INV_v1p1.ext
@@ -14,8 +14,8 @@
 node "VIN" 5004 1575.57 1674 432 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 109464 6676 0 0 8976 800 31976 1644 0 0 0 0 0 0 0 0 0 0
 node "VDD" 15688 3635.95 2224 1024 li 0 0 0 0 580580 3096 0 0 90848 5344 39600 1596 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 126854 7462 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSS" 0 0 1838 -122 li 0 0 0 0 0 0 0 0 13200 532 63512 3736 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 75208 4424 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VOUT" "VDD" 1256.92
 cap "VIN" "VOUT" 610.166
+cap "VDD" "VOUT" 1256.92
 cap "VIN" "VDD" 270.653
 device msubckt sky130_fd_pr__nfet_01v8 1662 32 1663 33 l=30 w=200 "VSS" "VIN" 60 0 "VSS" 200 0 "VOUT" 200 0
 device msubckt sky130_fd_pr__nfet_01v8 1566 32 1567 33 l=30 w=200 "VSS" "VIN" 60 0 "VOUT" 200 0 "VSS" 200 0
diff --git a/mag/INVandCAP_v1p1.ext b/mag/INVandCAP_v1p1.ext
index c73a51a..940557e 100644
--- a/mag/INVandCAP_v1p1.ext
+++ b/mag/INVandCAP_v1p1.ext
@@ -4,8 +4,8 @@
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use CAPOSC_v1p1 CAPOSC_v1p1_0 1 0 -3068 0 1 432
 use INV_v1p1 INV_v1p1_0 1 0 2818 0 1 5366
+use CAPOSC_v1p1 CAPOSC_v1p1_0 1 0 -3068 0 1 432
 port "VOUT" 1 5220 5580 5220 5580 m3
 port "VSS" 3 4984 5204 4984 5204 m1
 port "VDD" 2 5034 6392 5034 6392 m1
@@ -14,20 +14,20 @@
 node "VSS" 15 1380.9 4984 5204 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7744 352 235992 4916 24640 664 0 0 0 0 0 0 0 0
 node "VDD" 12 212.744 5034 6392 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10000 400 12544 448 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VOUT" "VSS" 119.587
+cap "VSS" "VOUT" 119.587
 cap "INV_v1p1_0/VIN" "INV_v1p1_0/VOUT" 18.2123
 cap "INV_v1p1_0/VIN" "VOUT" 41.5188
-cap "VSS" "VOUT" -97.7578
+cap "VOUT" "VSS" -97.7578
 cap "VOUT" "VSS" -23.95
-cap "INV_v1p1_0/VIN" "INV_v1p1_0/VSS" -156
-cap "VOUT" "INV_v1p1_0/VIN" 54.4893
+cap "INV_v1p1_0/VSS" "INV_v1p1_0/VIN" -156
+cap "INV_v1p1_0/VIN" "VOUT" 54.4893
+cap "VSS" "VOUT" 11.55
 cap "INV_v1p1_0/VIN" "VSS" 147.477
-cap "VOUT" "VSS" 11.55
 merge "CAPOSC_v1p1_0/BOT" "INV_v1p1_0/VSS" -219.584 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68776 -112 866088 -2860 53984 -664 0 0 0 0 0 0 0 0
 merge "INV_v1p1_0/VSS" "VSS"
 merge "VSS" "CAPOSC_v1p1_0/VSUBS"
 merge "CAPOSC_v1p1_0/VSUBS" "VSUBS"
-merge "INV_v1p1_0/VOUT" "CAPOSC_v1p1_0/TOP_B" -33.3148 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9656 -336 0 0 0 0 -35856 -624 0 0 0 0
-merge "CAPOSC_v1p1_0/TOP_B" "VOUT"
+merge "CAPOSC_v1p1_0/TOP_B" "INV_v1p1_0/VOUT" -33.3148 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9656 -336 0 0 0 0 -35856 -624 0 0 0 0
+merge "INV_v1p1_0/VOUT" "VOUT"
 merge "INV_v1p1_0/VDD" "VDD" -63.899 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1564 -160 0 0 0 0 0 0 0 0 0 0 0 0
 merge "INV_v1p1_0/VIN" "m1_3976_5498#" 165.647 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -36508 -328 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/OSC_v3p2.ext b/mag/OSC_v3p2.ext
index f4de496..4d8e48f 100644
--- a/mag/OSC_v3p2.ext
+++ b/mag/OSC_v3p2.ext
@@ -4,10 +4,10 @@
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use BUFFMIN_v1p1 BUFFMIN_v1p1_0 1 0 19494 0 1 5938
 use INVandCAP_v1p1 INVandCAP_v1p1_2 1 0 -12 0 1 10
 use INVandCAP_v1p1 INVandCAP_v1p1_1 1 0 6988 0 1 10
 use INVandCAP_v1p1 INVandCAP_v1p1_0 1 0 13988 0 1 10
+use BUFFMIN_v1p1 BUFFMIN_v1p1_0 1 0 19494 0 1 5938
 port "CON_CV" 5 16012 5754 16012 5754 m5
 port "N1" 4 7040 5580 7040 5580 m2
 port "SENS_IN" 3 4048 6666 4048 6666 m2
@@ -27,112 +27,111 @@
 node "N2" 15 479.13 20362 6000 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40768 812 34496 756 34496 756 0 0 0 0 0 0 0 0
 node "li_19462_5802#" 19 4065.01 19462 5802 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 47912 876 31376 720 1460008 17332 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "SENS_IN" "li_19462_5802#" 174.837
-cap "m5_7392_5582#" "li_19462_5802#" 274.31
-cap "m5_7392_5582#" "N1" 1285.22
-cap "li_19462_5802#" "VSS" 1654.85
-cap "m5_392_5582#" "N1" 274.31
-cap "SENS_IN" "m5_392_5582#" 143.264
-cap "li_19462_5802#" "CON_CV" 1285.22
 cap "N2" "VSS" 130.515
-cap "SENS_IN" "VDD" 309.941
+cap "li_19462_5802#" "CON_CV" 1285.22
+cap "m5_392_5582#" "N1" 274.31
+cap "m5_392_5582#" "SENS_IN" 143.264
+cap "li_19462_5802#" "SENS_IN" 174.837
+cap "N1" "m5_7392_5582#" 1285.22
+cap "li_19462_5802#" "VSS" 1654.85
+cap "li_19462_5802#" "m5_7392_5582#" 274.31
+cap "VDD" "SENS_IN" 309.941
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 113.028
 cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 113.028
 cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" 113.028
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" 113.028
-cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 113.028
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 190.65
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 190.65
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" 190.65
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
 cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 190.65
-cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_V" "m5_392_5582#" -201.374
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 190.65
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" 190.65
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" 190.65
+cap "m5_392_5582#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_V" -201.374
 cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/BOT" "m5_392_5582#" 289.032
 cap "m5_392_5582#" "INVandCAP_v1p1_2/INV_v1p1_0/VIN" 3.36
-cap "INVandCAP_v1p1_2/VOUT" "VSS" 27.6456
-cap "INVandCAP_v1p1_2/VOUT" "INVandCAP_v1p1_2/INV_v1p1_0/VIN" 14.334
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "N1" 118.748
-cap "N1" "VSS" 24.3478
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 118.748
+cap "INVandCAP_v1p1_2/INV_v1p1_0/VIN" "INVandCAP_v1p1_2/VOUT" 14.334
+cap "VSS" "INVandCAP_v1p1_2/VOUT" 27.6456
+cap "N1" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 118.748
+cap "VSS" "N1" 24.3478
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" "N1" 126.152
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_B" 118.748
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" "INVandCAP_v1p1_2/VSS" 363.81
-cap "N1" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" -83.74
+cap "m5_7392_5582#" "N1" -20.7495
 cap "m5_7392_5582#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" -201.374
-cap "N1" "m5_7392_5582#" -20.7495
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" "VSS" 62.92
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "VSS" 31.5
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" 451.888
-cap "m5_7392_5582#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" 289.032
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" "N1" -83.74
+cap "VSS" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" 62.92
+cap "VSS" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" 212.4
 cap "m5_7392_5582#" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" -181.904
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" "VSS" 212.4
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VOUT" 14.334
-cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/VSS" 140.23
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VSS" 3.50806
+cap "VSS" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 31.5
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 451.888
+cap "m5_7392_5582#" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" 289.032
+cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 14.334
+cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_1/VOUT" 140.23
+cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 3.50806
 cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" "INVandCAP_v1p1_1/VOUT" 118.748
-cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_1/VOUT" 24.3478
+cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/VSS" 24.3478
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_B" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0/m4_n671_n2320#" 118.748
 cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" "li_19462_5802#" 126.152
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" "INVandCAP_v1p1_1/VSS" 363.81
-cap "li_19462_5802#" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" -83.74
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" "CON_CV" -201.374
+cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" 363.81
+cap "CON_CV" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" -201.374
 cap "VSS" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" 62.92
 cap "li_19462_5802#" "CON_CV" -20.7495
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" 451.888
-cap "VSS" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" 31.5
+cap "li_19462_5802#" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" -83.74
 cap "CON_CV" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" -181.904
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "VSS" 212.4
+cap "VSS" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" 31.5
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" 451.888
 cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "CON_CV" 289.032
-cap "INVandCAP_v1p1_0/VOUT" "BUFFMIN_v1p1_0/VIN" 96.0538
-cap "CON_CV" "INVandCAP_v1p1_0/VSS" 27.6456
-cap "CON_CV" "INVandCAP_v1p1_0/VOUT" 117.371
-cap "CON_CV" "BUFFMIN_v1p1_0/VIN" 14.334
-cap "INVandCAP_v1p1_0/VOUT" "INVandCAP_v1p1_0/VSS" 27.1822
-cap "BUFFMIN_v1p1_0/VIN" "INVandCAP_v1p1_0/VSS" -265.786
-cap "BUFFMIN_v1p1_0/VIN" "INVandCAP_v1p1_0/VSS" -461.15
+cap "VSS" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" 212.4
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "CON_CV" 14.334
+cap "INVandCAP_v1p1_0/VOUT" "CON_CV" 117.371
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/VOUT" 96.0538
+cap "INVandCAP_v1p1_0/VSS" "CON_CV" 27.6456
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/VSS" -265.786
+cap "INVandCAP_v1p1_0/VSS" "INVandCAP_v1p1_0/VOUT" 27.1822
+cap "INVandCAP_v1p1_0/VSS" "BUFFMIN_v1p1_0/VIN" -461.15
 cap "BUFFMIN_v1p1_0/VIN" "INVandCAP_v1p1_0/VOUT" -204.125
 cap "INVandCAP_v1p1_0/VSS" "INVandCAP_v1p1_0/VOUT" 154.289
-cap "m5_392_5582#" "SENS_IN" 21.1668
-cap "m5_392_5582#" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/BOT" 367.668
-cap "m5_392_5582#" "INVandCAP_v1p1_2/INV_v1p1_0/VDD" 0.2016
-cap "SENS_IN" "INVandCAP_v1p1_2/VOUT" -291.737
+cap "INVandCAP_v1p1_2/CAPOSC_v1p1_0/BOT" "m5_392_5582#" 367.668
+cap "INVandCAP_v1p1_2/INV_v1p1_0/VDD" "m5_392_5582#" 0.2016
+cap "SENS_IN" "m5_392_5582#" 21.1668
 cap "VDD" "INVandCAP_v1p1_2/VOUT" 87.1622
+cap "SENS_IN" "INVandCAP_v1p1_2/VOUT" -291.737
 cap "INVandCAP_v1p1_2/VSS" "INVandCAP_v1p1_2/VOUT" 75.1728
-cap "N1" "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" -48
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/TOP_V" "N1" -48
 cap "INVandCAP_v1p1_1/INV_v1p1_0/VDD" "m5_7392_5582#" 0.2016
 cap "m5_7392_5582#" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" -94.4988
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VDD" "VDD" 0.8528
-cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 226.256
 cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" "m5_7392_5582#" 367.668
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VOUT" -291.737
-cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VSS" 3.50806
-cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/VDD" 316.104
+cap "INVandCAP_v1p1_1/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 226.256
+cap "VDD" "INVandCAP_v1p1_1/INV_v1p1_0/VDD" 0.8528
 cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VDD" 52.619
-cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/VSS" 75.1728
-cap "li_19462_5802#" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" -48
-cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "CON_CV" 367.668
-cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "CON_CV" -94.4988
-cap "VDD" "INVandCAP_v1p1_0/INV_v1p1_0/VDD" 0.8528
+cap "INVandCAP_v1p1_1/VOUT" "INVandCAP_v1p1_1/VDD" 316.104
+cap "INVandCAP_v1p1_1/INV_v1p1_0/VIN" "INVandCAP_v1p1_1/VOUT" -291.737
+cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_1/INV_v1p1_0/VIN" 3.50806
+cap "INVandCAP_v1p1_1/VSS" "INVandCAP_v1p1_1/VOUT" 75.1728
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/TOP_V" "li_19462_5802#" -48
 cap "CON_CV" "INVandCAP_v1p1_0/INV_v1p1_0/VDD" 0.2016
-cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" 226.256
-cap "INVandCAP_v1p1_0/VOUT" "BUFFMIN_v1p1_0/VIN" 18.0342
-cap "BUFFMIN_v1p1_0/VDD" "INVandCAP_v1p1_0/VOUT" 228.942
-cap "BUFFMIN_v1p1_0/VDD" "BUFFMIN_v1p1_0/VIN" 52.619
-cap "BUFFMIN_v1p1_0/VSS" "CON_CV" 75.1728
+cap "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" 226.256
+cap "CON_CV" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" -94.4988
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VDD" "VDD" 0.8528
+cap "CON_CV" "INVandCAP_v1p1_0/CAPOSC_v1p1_0/BOT" 367.668
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/VSS" 3.50806
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/VOUT" 18.0342
+cap "INVandCAP_v1p1_0/VSS" "CON_CV" 75.1728
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "INVandCAP_v1p1_0/VDD" 52.619
 cap "INVandCAP_v1p1_0/VOUT" "CON_CV" 160.507
-cap "CON_CV" "BUFFMIN_v1p1_0/VIN" -192.082
-cap "BUFFMIN_v1p1_0/VDD" "CON_CV" 68.3196
-cap "BUFFMIN_v1p1_0/VSS" "INVandCAP_v1p1_0/VOUT" -57.62
-cap "BUFFMIN_v1p1_0/VSS" "BUFFMIN_v1p1_0/VIN" 3.50806
-cap "BUFFMIN_v1p1_0/VSS" "BUFFMIN_v1p1_0/VDD" -7.10543e-15
-cap "N2" "BUFFMIN_v1p1_0/VDD" 11.9048
-cap "BUFFMIN_v1p1_0/VSS" "N2" 5.69
-cap "BUFFMIN_v1p1_0/VSS" "BUFFMIN_v1p1_0/VIN" 5.74
-cap "BUFFMIN_v1p1_0/VSS" "INVandCAP_v1p1_0/VOUT" 123.573
-cap "BUFFMIN_v1p1_0/VSS" "BUFFMIN_v1p1_0/invmin_magic_v1p1_0/VOUT" 146.952
+cap "CON_CV" "INVandCAP_v1p1_0/VDD" 68.3196
+cap "INVandCAP_v1p1_0/VSS" "INVandCAP_v1p1_0/VOUT" -57.62
+cap "INVandCAP_v1p1_0/VOUT" "INVandCAP_v1p1_0/VDD" 228.942
+cap "INVandCAP_v1p1_0/INV_v1p1_0/VIN" "CON_CV" -192.082
+cap "BUFFMIN_v1p1_0/VIN" "INVandCAP_v1p1_0/VSS" 5.74
+cap "BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VIN" "N2" 27.5
+cap "INVandCAP_v1p1_0/VSS" "N2" 5.69
 cap "INVandCAP_v1p1_0/VOUT" "BUFFMIN_v1p1_0/VIN" -183.844
-cap "N2" "BUFFMIN_v1p1_0/invmin_magic_v1p1_0/VOUT" 27.5
+cap "BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VIN" "INVandCAP_v1p1_0/VSS" 146.952
+cap "BUFFMIN_v1p1_0/VDD" "N2" 11.9048
+cap "INVandCAP_v1p1_0/VOUT" "INVandCAP_v1p1_0/VSS" 123.573
 merge "BUFFMIN_v1p1_0/VSUBS" "INVandCAP_v1p1_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 merge "INVandCAP_v1p1_0/VSUBS" "INVandCAP_v1p1_1/VSUBS"
 merge "INVandCAP_v1p1_1/VSUBS" "INVandCAP_v1p1_2/VSUBS"
@@ -141,8 +140,8 @@
 merge "INVandCAP_v1p1_2/VOUT" "N1"
 merge "N1" "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_V"
 merge "INVandCAP_v1p1_2/CAPOSC_v1p1_0/TOP_V" "m5_392_5582#"
-merge "INVandCAP_v1p1_0/VDD" "BUFFMIN_v1p1_0/VDD" -4651.24 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1112912 -21304 0 0 0 0 0 0 0 0 0 0
-merge "BUFFMIN_v1p1_0/VDD" "INVandCAP_v1p1_1/VDD"
+merge "BUFFMIN_v1p1_0/VDD" "INVandCAP_v1p1_0/VDD" -4651.24 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1112912 -21304 0 0 0 0 0 0 0 0 0 0
+merge "INVandCAP_v1p1_0/VDD" "INVandCAP_v1p1_1/VDD"
 merge "INVandCAP_v1p1_1/VDD" "INVandCAP_v1p1_2/VDD"
 merge "INVandCAP_v1p1_2/VDD" "VDD"
 merge "BUFFMIN_v1p1_0/VIN" "INVandCAP_v1p1_0/INV_v1p1_0/VIN" -2769.45 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -49492 -620 0 0 -878844 -6968 0 0 0 0 -1090700 -7392 0 0
diff --git a/mag/PASSGATE_v1p2.ext b/mag/PASSGATE_v1p2.ext
index af561ac..3abd263 100644
--- a/mag/PASSGATE_v1p2.ext
+++ b/mag/PASSGATE_v1p2.ext
@@ -18,16 +18,16 @@
 node "a_1548_564#" 3792 1796.92 1548 564 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 84348 5088 0 0 9432 808 106764 3972 0 0 0 0 0 0 0 0 0 0
 node "VDD" 12462 3606.12 2296 588 li 0 0 0 0 580580 3096 0 0 90848 5344 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 106328 5516 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSS" 0 0 2206 272 li 0 0 0 0 0 0 0 0 0 0 63512 3736 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 148112 4676 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VIN" "VOUT" 2733.04
-cap "VDD" "a_1548_564#" 189.161
-cap "VIN" "CTR" 170.297
-cap "CTR" "VOUT" 205.682
 cap "VIN" "a_1548_564#" 333.079
-cap "a_1548_564#" "VOUT" 438.894
-cap "a_1548_564#" "CTR" 193.769
-cap "VIN" "VDD" 457.863
 cap "VDD" "VOUT" 491.661
-cap "VDD" "CTR" 15.6513
+cap "VIN" "VOUT" 2733.04
+cap "CTR" "a_1548_564#" 193.769
+cap "VIN" "VDD" 457.863
+cap "CTR" "VOUT" 205.682
+cap "CTR" "VDD" 15.6513
+cap "VIN" "CTR" 170.297
+cap "VOUT" "a_1548_564#" 438.894
+cap "VDD" "a_1548_564#" 189.161
 device msubckt sky130_fd_pr__nfet_01v8 1662 32 1663 33 l=30 w=200 "VSS" "CTR" 60 0 "VOUT" 200 0 "VIN" 200 0
 device msubckt sky130_fd_pr__nfet_01v8 1566 32 1567 33 l=30 w=200 "VSS" "CTR" 60 0 "VIN" 200 0 "VOUT" 200 0
 device msubckt sky130_fd_pr__pfet_01v8 2046 662 2047 663 l=30 w=200 "VDD" "a_1548_564#" 60 0 "VOUT" 200 0 "VIN" 200 0
@@ -36,14 +36,14 @@
 device msubckt sky130_fd_pr__pfet_01v8 1758 662 1759 663 l=30 w=200 "VDD" "a_1548_564#" 60 0 "VIN" 200 0 "VOUT" 200 0
 device msubckt sky130_fd_pr__pfet_01v8 1662 662 1663 663 l=30 w=200 "VDD" "a_1548_564#" 60 0 "VOUT" 200 0 "VIN" 200 0
 device msubckt sky130_fd_pr__pfet_01v8 1566 662 1567 663 l=30 w=200 "VDD" "a_1548_564#" 60 0 "VIN" 200 0 "VOUT" 200 0
-cap "VIN" "VDD" 5.35714
-cap "VSS" "CTR" 122.785
-cap "INVMIN_v1p1_0/VOUT" "CTR" 23.0257
-cap "VSS" "VDD" 5.68434e-14
-cap "INVMIN_v1p1_0/VOUT" "VDD" 562.722
-cap "VSS" "VIN" -2.84217e-14
 cap "CTR" "VDD" 8.60333
-cap "VDD" "VOUT" -5.68434e-14
+cap "VIN" "VDD" 5.35714
+cap "INVMIN_v1p1_0/VOUT" "VDD" 562.722
+cap "INVMIN_v1p1_0/VOUT" "CTR" 23.0257
+cap "VOUT" "VDD" -5.68434e-14
+cap "VDD" "VSS" 5.68434e-14
+cap "CTR" "VSS" 122.785
+cap "VIN" "VSS" -2.84217e-14
 cap "INVMIN_v1p1_0/VOUT" "VSS" 767.861
 cap "INVMIN_v1p1_0/VSS" "INVMIN_v1p1_0/VOUT" 51.9231
 merge "INVMIN_v1p1_0/VSS" "VSS" -98.245 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -360 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/SDC_v2p1.ext b/mag/SDC_v2p1.ext
index 909737e..0c6656a 100644
--- a/mag/SDC_v2p1.ext
+++ b/mag/SDC_v2p1.ext
@@ -4,10 +4,10 @@
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+use PASSGATE_v1p2 PASSGATE_v1p2_0 1 0 19368 0 1 6586
 use OSC_v3p2 OSC_v3p2_1 1 0 1718 0 1 -374
 use OSC_v3p2 OSC_v3p2_0 1 0 1718 0 -1 14404
 use DFF_v4p1 DFF_v4p1_0 0 -1 23156 1 0 6824
-use PASSGATE_v1p2 PASSGATE_v1p2_0 1 0 19368 0 1 6586
 port "REF_IN" 4 20956 5398 20956 5398 m5
 port "SENS_IN" 3 9244 7732 9244 7732 m2
 port "VDD" 1 7808 7968 7808 7968 m1
@@ -29,86 +29,86 @@
 node "li_20866_7630#" 232 768.98 20866 7630 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21964 1360 151296 1792 0 0 0 0 0 0 0 0 0 0
 node "w_20734_7666#" 5231 1213.15 20734 7666 nw 0 0 0 0 404384 2956 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m1_22122_6004#" "m2_22220_5472#" 237.338
-cap "li_20866_7630#" "m2_20790_7562#" 52.2152
-cap "m2_20998_7046#" "li_20866_7630#" 125.448
-cap "li_21224_6444#" "DOUT" 365.385
 cap "li_21224_6444#" "m1_22122_6004#" 571.486
-cap "m1_22788_7692#" "DOUT" 62.6923
-cap "m2_20998_7046#" "w_20734_7666#" 4.7424
-cap "li_20866_7630#" "w_20734_7666#" 76.7624
 cap "m1_22788_7692#" "m1_22122_7806#" 277.408
-cap "li_21224_6444#" "m2_22220_5472#" 112.604
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/CTR" 5.86364
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "REF_IN" -7.10543e-15
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "PASSGATE_v1p2_0/VIN" 9.4586
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "REF_IN" 18.8426
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" 27.6039
-cap "PASSGATE_v1p2_0/VOUT" "REF_IN" 21.4286
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/VOUT" 8.13654
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "PASSGATE_v1p2_0/VOUT" 9.4586
+cap "w_20734_7666#" "li_20866_7630#" 76.7624
+cap "m2_20998_7046#" "w_20734_7666#" 4.7424
+cap "m2_20998_7046#" "li_20866_7630#" 125.448
+cap "m2_22220_5472#" "m1_22122_6004#" 237.338
+cap "m2_20790_7562#" "li_20866_7630#" 52.2152
+cap "m1_22788_7692#" "DOUT" 62.6923
+cap "m2_22220_5472#" "li_21224_6444#" 112.604
+cap "li_21224_6444#" "DOUT" 365.385
 cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VIN" "REF_IN" 21.1021
-cap "REF_IN" "PASSGATE_v1p2_0/VIN" 30.3571
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/VIN" 4.94092
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "OSC_v3p2_1/N2" 46.005
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#" -228.92
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/CTR" 14.3182
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "OSC_v3p2_1/BUFFMIN_v1p1_0/VIN" 2.84217e-14
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/VOUT" 0.905797
-cap "REF_IN" "OSC_v3p2_1/BUFFMIN_v1p1_0/VIN" -852.328
-cap "PASSGATE_v1p2_0/CTR" "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" 5.49419
-cap "REF_IN" "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" 45.122
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_1/N2" 14.7619
-cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VSS" "PASSGATE_v1p2_0/VIN" 0.634518
-cap "PASSGATE_v1p2_0/CTR" "OSC_v3p2_1/N2" 0.471698
+cap "PASSGATE_v1p2_0/VOUT" "PASSGATE_v1p2_0/VSS" 8.13654
+cap "PASSGATE_v1p2_0/VOUT" "OSC_v3p2_1/INVandCAP_v1p1_0/VDD" 9.4586
+cap "REF_IN" "PASSGATE_v1p2_0/VSS" -7.10543e-15
+cap "PASSGATE_v1p2_0/VIN" "REF_IN" 30.3571
+cap "OSC_v3p2_1/INVandCAP_v1p1_0/VDD" "REF_IN" 18.8426
+cap "PASSGATE_v1p2_0/CTR" "PASSGATE_v1p2_0/VSS" 5.86364
+cap "PASSGATE_v1p2_0/VOUT" "REF_IN" 21.4286
+cap "PASSGATE_v1p2_0/VIN" "PASSGATE_v1p2_0/VSS" 4.94092
+cap "OSC_v3p2_1/INVandCAP_v1p1_0/VDD" "PASSGATE_v1p2_0/VSS" 27.6039
+cap "OSC_v3p2_1/INVandCAP_v1p1_0/VDD" "PASSGATE_v1p2_0/VIN" 9.4586
+cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VIN" "REF_IN" -852.328
+cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#" "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" -228.92
 cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "PASSGATE_v1p2_0/CTR" 14.2863
-cap "DFF_v4p1_0/sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" "DFF_v4p1_0/CLK" 2.84217e-14
-cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#" "DFF_v4p1_0/CLK" 13.524
-cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "DFF_v4p1_0/sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" 57.4743
-cap "DFF_v4p1_0/VDD" "DFF_v4p1_0/CLK" 74.4574
+cap "OSC_v3p2_1/N2" "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" 14.7619
+cap "PASSGATE_v1p2_0/CTR" "PASSGATE_v1p2_0/VSS" 14.3182
+cap "OSC_v3p2_1/N2" "PASSGATE_v1p2_0/CTR" 0.471698
+cap "OSC_v3p2_1/N2" "PASSGATE_v1p2_0/VSS" 46.005
+cap "REF_IN" "PASSGATE_v1p2_0/VSS" 45.122
+cap "OSC_v3p2_1/BUFFMIN_v1p1_0/VIN" "PASSGATE_v1p2_0/VSS" 2.84217e-14
+cap "PASSGATE_v1p2_0/VIN" "PASSGATE_v1p2_0/VSS" 0.634518
+cap "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" "PASSGATE_v1p2_0/CTR" 5.49419
+cap "PASSGATE_v1p2_0/VOUT" "PASSGATE_v1p2_0/VSS" 0.905797
 cap "DFF_v4p1_0/VDD" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#" 128.707
-cap "DOUT" "DFF_v4p1_0/CLK" 0.471698
-cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" "DFF_v4p1_0/CLK" 125.457
-cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" "DFF_v4p1_0/CLK" 112.709
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 2.4869e-14
-cap "PASSGATE_v1p2_0/VOUT" "PASSGATE_v1p2_0/a_1548_564#" 55.7784
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_0/VOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" -42.64
-cap "PASSGATE_v1p2_0/VOUT" "OSC_v3p2_0/SENS_IN" 449.089
-cap "OSC_v3p2_0/SENS_IN" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 449.449
-cap "PASSGATE_v1p2_0/CTR" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" 5.86364
-cap "PASSGATE_v1p2_0/VOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 114.772
-cap "DFF_v4p1_0/IN" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 292.065
-cap "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 48.4412
-cap "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" "DOUT" 11.1176
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "DOUT" 289.453
-cap "DOUT" "DFF_v4p1_0/CLK" 1.61765
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_0/BUFFMIN_v1p1_0/VIN" 4.5
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" -1.7053e-13
-cap "DFF_v4p1_0/IN" "OSC_v3p2_0/N2" 11.4725
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_0/VOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 15.3462
-cap "PASSGATE_v1p2_0/VOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 9.79355
-cap "DOUT" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" 29.5312
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "PASSGATE_v1p2_0/VIN" 56.5233
-cap "OSC_v3p2_0/N2" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 7.38095
-cap "DFF_v4p1_0/IN" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" 11.2396
-cap "DFF_v4p1_0/IN" "DFF_v4p1_0/GND" 1
+cap "DFF_v4p1_0/CLK" "DOUT" 0.471698
+cap "DFF_v4p1_0/sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" 57.4743
+cap "DFF_v4p1_0/CLK" "DFF_v4p1_0/sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#" 2.84217e-14
+cap "DFF_v4p1_0/CLK" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_5/a_15_n136#" 112.709
+cap "DFF_v4p1_0/VDD" "DFF_v4p1_0/CLK" 74.4574
+cap "DFF_v4p1_0/CLK" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#" 13.524
+cap "DFF_v4p1_0/CLK" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136#" 125.457
+cap "PASSGATE_v1p2_0/VDD" "PASSGATE_v1p2_0/VOUT" 114.772
+cap "PASSGATE_v1p2_0/CTR" "PASSGATE_v1p2_0/VSS" 5.86364
+cap "PASSGATE_v1p2_0/VDD" "PASSGATE_v1p2_0/VIN" 449.449
+cap "PASSGATE_v1p2_0/VSS" "PASSGATE_v1p2_0/VDD" 2.84217e-14
+cap "PASSGATE_v1p2_0/VIN" "PASSGATE_v1p2_0/VOUT" 449.089
+cap "OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VIN" "PASSGATE_v1p2_0/VDD" -42.64
+cap "PASSGATE_v1p2_0/a_1548_564#" "PASSGATE_v1p2_0/VOUT" 55.7784
+cap "DFF_v4p1_0/CLK" "DOUT" 1.61765
+cap "OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VIN" "PASSGATE_v1p2_0/VDD" 15.3462
+cap "OSC_v3p2_0/N2" "DFF_v4p1_0/IN" 11.4725
+cap "OSC_v3p2_0/N2" "PASSGATE_v1p2_0/VDD" 7.38095
+cap "DOUT" "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" 11.1176
+cap "DFF_v4p1_0/IN" "PASSGATE_v1p2_0/VDD" 292.065
+cap "PASSGATE_v1p2_0/VIN" "PASSGATE_v1p2_0/VDD" 56.5233
+cap "PASSGATE_v1p2_0/VSS" "PASSGATE_v1p2_0/VDD" -1.7053e-13
+cap "PASSGATE_v1p2_0/VOUT" "PASSGATE_v1p2_0/VDD" 9.79355
+cap "PASSGATE_v1p2_0/VDD" "OSC_v3p2_0/BUFFMIN_v1p1_0/VIN" 4.5
+cap "DOUT" "PASSGATE_v1p2_0/VDD" 289.453
+cap "DOUT" "PASSGATE_v1p2_0/VSS" 29.5312
+cap "PASSGATE_v1p2_0/VDD" "PASSGATE_v1p2_0/INVMIN_v1p1_0/VOUT" 48.4412
+cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" "DOUT" 11.1692
+cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" "DFF_v4p1_0/IN" 11.2396
+cap "DOUT" "DFF_v4p1_0/IN" 0.260526
+cap "DFF_v4p1_0/GND" "DFF_v4p1_0/CLK" 42.9813
 cap "DFF_v4p1_0/IN" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_15_n136#" 12
 cap "DFF_v4p1_0/GND" "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" 22.3834
-cap "DFF_v4p1_0/CLK" "DOUT" 34.1333
-cap "DFF_v4p1_0/GND" "DFF_v4p1_0/CLK" 42.9813
-cap "DFF_v4p1_0/IN" "DFF_v4p1_0/CLK" 26.415
-cap "DOUT" "DFF_v4p1_0/ND" 46.1958
+cap "DFF_v4p1_0/VDD" "DFF_v4p1_0/IN" -382.752
 cap "DFF_v4p1_0/VDD" "DOUT" -191.029
-cap "DFF_v4p1_0/IN" "DFF_v4p1_0/VDD" -382.752
-cap "DFF_v4p1_0/IN" "DOUT" 0.260526
-cap "DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n73_n136#" "DOUT" 11.1692
+cap "DFF_v4p1_0/IN" "DFF_v4p1_0/CLK" 26.415
+cap "DOUT" "DFF_v4p1_0/CLK" 34.1333
+cap "DFF_v4p1_0/GND" "DFF_v4p1_0/IN" 1
+cap "DFF_v4p1_0/ND" "DOUT" 46.1958
 cap "OSC_v3p2_0/CON_CV" "OSC_v3p2_0/INVandCAP_v1p1_0/INV_v1p1_0/VIN" 145.722
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" 29.4994
-cap "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "OSC_v3p2_0/CON_CV" 99.6932
-cap "OSC_v3p2_0/CON_CV" "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" 369.54
-cap "OSC_v3p2_0/N2" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 9.28095
+cap "OSC_v3p2_0/CON_CV" "OSC_v3p2_0/INVandCAP_v1p1_0/VDD" 99.6932
+cap "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" "OSC_v3p2_0/CON_CV" 369.54
+cap "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" "OSC_v3p2_0/INVandCAP_v1p1_0/VDD" 29.4994
 cap "OSC_v3p2_0/N2" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" 231.82
-merge "OSC_v3p2_0/INVandCAP_v1p1_0/VSS" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" -1234.53 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4920 0 -751036 -4188 0 0 0 0 0 0 0 0 0 0
+cap "OSC_v3p2_0/N2" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" 9.28095
+merge "OSC_v3p2_0/INVandCAP_v1p1_0/VSS" "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" -1316.13 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -27938 -120 -751036 -4188 0 0 0 0 0 0 0 0 0 0
 merge "OSC_v3p2_0/BUFFMIN_v1p1_0/VSS" "OSC_v3p2_0/VSUBS"
 merge "OSC_v3p2_0/VSUBS" "m1_22668_9130#"
 merge "m1_22668_9130#" "DFF_v4p1_0/GND"
@@ -120,10 +120,11 @@
 merge "OSC_v3p2_0/VSS" "VSS" -52.7884 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14664 -304 0 0 0 0 0 0 0 0 0 0
 merge "DFF_v4p1_0/VDD" "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" -1641.68 0 0 0 0 -282616 -4008 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1292 -472840 -2084 0 0 0 0 0 0 0 0 0 0
 merge "OSC_v3p2_1/BUFFMIN_v1p1_0/VDD" "m1_22122_6004#"
-merge "m1_22122_6004#" "PASSGATE_v1p2_0/VDD"
-merge "PASSGATE_v1p2_0/VDD" "m1_22122_7806#"
-merge "m1_22122_7806#" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD"
-merge "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "li_20866_7630#"
+merge "m1_22122_6004#" "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD"
+merge "OSC_v3p2_0/BUFFMIN_v1p1_0/VDD" "m1_22122_7806#"
+merge "m1_22122_7806#" "OSC_v3p2_0/INVandCAP_v1p1_0/VDD"
+merge "OSC_v3p2_0/INVandCAP_v1p1_0/VDD" "PASSGATE_v1p2_0/VDD"
+merge "PASSGATE_v1p2_0/VDD" "li_20866_7630#"
 merge "li_20866_7630#" "w_20734_7666#"
 merge "OSC_v3p2_0/VDD" "VDD" -57.3444 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1176 -276 0 0 0 0 0 0 0 0 0 0
 merge "DFF_v4p1_0/D" "PASSGATE_v1p2_0/CTR" 115.604 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -240 0 0 17020 0 0 0 0 0 0 0 0 0
@@ -132,10 +133,10 @@
 merge "PASSGATE_v1p2_0/VOUT" "m2_20998_7046#"
 merge "DFF_v4p1_0/IN" "OSC_v3p2_0/N2" 150.308 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -41512 -268 75712 -508 0 0 0 0 0 0 0 0
 merge "OSC_v3p2_0/N2" "m1_22788_7692#"
-merge "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" "PASSGATE_v1p2_0/VIN" -319.929 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31552 -1084 0 0 0 0 0 0 0 0
-merge "PASSGATE_v1p2_0/VIN" "OSC_v3p2_0/SENS_IN"
-merge "OSC_v3p2_0/SENS_IN" "m2_20790_7562#"
-merge "m2_20790_7562#" "SENS_IN"
+merge "OSC_v3p2_0/INVandCAP_v1p1_0/VOUT" "OSC_v3p2_0/SENS_IN" -163.777 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 59392 -380 0 0 0 0 0 0 0 0
+merge "OSC_v3p2_0/SENS_IN" "SENS_IN"
+merge "SENS_IN" "PASSGATE_v1p2_0/VIN"
+merge "PASSGATE_v1p2_0/VIN" "m2_20790_7562#"
 merge "OSC_v3p2_1/CON_CV" "OSC_v3p2_1/INVandCAP_v1p1_0/VOUT" 182.803 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -160000 -1792 0 0
 merge "OSC_v3p2_1/INVandCAP_v1p1_0/VOUT" "REF_IN"
 merge "DFF_v4p1_0/CLK" "OSC_v3p2_1/N2" -299.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -167612 -556 0 0 0 0 0 0 0 0
diff --git a/mag/invmin_magic_v1p1.ext b/mag/invmin_magic_v1p1.ext
index b19d200..3defcd6 100644
--- a/mag/invmin_magic_v1p1.ext
+++ b/mag/invmin_magic_v1p1.ext
@@ -14,8 +14,8 @@
 node "VIN" 1029 705.152 -300 -80 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27100 1540 0 0 20500 820 0 0 0 0 0 0 0 0 0 0 0 0
 node "VDD" 3397 719.938 -300 390 m1 0 0 0 0 131600 1500 0 0 24000 640 24000 640 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39600 800 84600 1300 0 0 0 0 0 0 0 0 0 0
 substrate "VSS" 0 0 -300 80 m1 0 0 0 0 0 0 0 0 24000 640 24000 640 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39600 800 84600 1300 0 0 0 0 0 0 0 0 0 0
-cap "VIN" "VDD" 40.9179
+cap "VDD" "VOUT" 290.99
 cap "VIN" "VOUT" 27.5
-cap "VOUT" "VDD" 290.99
+cap "VDD" "VIN" 40.9179
 device msubckt sky130_fd_pr__nfet_01v8 -20 -20 -19 -19 l=30 w=200 "VSS" "VIN" 60 0 "VSS" 200 0 "VOUT" 200 0
 device msubckt sky130_fd_pr__pfet_01v8 -20 290 -19 291 l=30 w=200 "VDD" "VIN" 60 0 "VDD" 200 0 "VOUT" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_59MFY5.ext b/mag/sky130_fd_pr__nfet_01v8_59MFY5.ext
index 17e955f..1b683b0 100644
--- a/mag/sky130_fd_pr__nfet_01v8_59MFY5.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_59MFY5.ext
@@ -9,7 +9,7 @@
 node "a_n73_n69#" 489 28.2716 -73 -69 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
 node "a_n33_n157#" 513 149.559 -33 -157 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n157#" "a_15_n69#" 17.0735
-cap "a_n33_n157#" "a_n73_n69#" 17.0735
+cap "a_n73_n69#" "a_n33_n157#" 17.0735
+cap "a_15_n69#" "a_n33_n157#" 17.0735
 cap "a_15_n69#" "a_n73_n69#" 341.397
 device msubckt sky130_fd_pr__nfet_01v8 -15 -69 -14 -68 l=30 w=200 "VSUBS" "a_n33_n157#" 60 0 "a_n73_n69#" 200 0 "a_15_n69#" 200 0
diff --git a/mag/sky130_fd_pr__pfet_01v8_MA8JHN.ext b/mag/sky130_fd_pr__pfet_01v8_MA8JHN.ext
index 6ae389e..be60c7c 100644
--- a/mag/sky130_fd_pr__pfet_01v8_MA8JHN.ext
+++ b/mag/sky130_fd_pr__pfet_01v8_MA8JHN.ext
@@ -10,9 +10,9 @@
 node "a_n33_95#" 527 155.225 -33 95 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12066 778 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n198#" 2823 236.748 -109 -198 nw 0 0 0 0 78916 1160 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n109_n198#" "a_n73_n136#" 0.2516
-cap "a_15_n136#" "a_n33_95#" 13.3851
+cap "a_n73_n136#" "a_15_n136#" 341.397
+cap "a_n73_n136#" "w_n109_n198#" 0.2516
+cap "w_n109_n198#" "a_15_n136#" 0.2516
 cap "a_n73_n136#" "a_n33_95#" 13.3851
-cap "a_15_n136#" "w_n109_n198#" 0.2516
-cap "a_15_n136#" "a_n73_n136#" 341.397
+cap "a_n33_95#" "a_15_n136#" 13.3851
 device msubckt sky130_fd_pr__pfet_01v8 -15 -136 -14 -135 l=30 w=200 "w_n109_n198#" "a_n33_95#" 60 0 "a_n73_n136#" 200 0 "a_15_n136#" 200 0
diff --git a/mag/user_analog_project_wrapper.ext b/mag/user_analog_project_wrapper.ext
index c04499f..c2555af 100644
--- a/mag/user_analog_project_wrapper.ext
+++ b/mag/user_analog_project_wrapper.ext
@@ -1,10 +1,11 @@
-timestamp 1641611256
+timestamp 1647922018
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 use SDC_v2p1 SDC_v2p1_0 -1 0 579878 0 1 684648
+use INV_v1p1 INV_v1p1_0 -1 0 553654 0 1 690106
 port "io_analog[4]" 42 329294 702300 334294 704800 m5
 port "io_analog[4]" 42 318994 702300 323994 704800 m5
 port "io_analog[5]" 43 227594 702300 232594 704800 m5
@@ -691,8 +692,8 @@
 port "wb_rst_i" 559 1706 -800 1818 480 m2
 port "wb_clk_i" 558 524 -800 636 480 m2
 port "io_analog[0]" 37 582300 677984 584800 682984 m3
-port "io_analog[2]" 40 465394 702300 470394 704800 m3
 port "io_analog[1]" 39 566594 702300 571594 704800 m3
+port "io_analog[2]" 40 465394 702300 470394 704800 m3
 port "vccd1" 550 582340 639784 584800 644584 m3
 port "vssa1" 554 520594 702340 525394 704800 m3
 node "io_analog[4]" 0 2925 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
@@ -1381,46 +1382,73 @@
 node "wb_rst_i" 1 631.648 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_clk_i" 1 631.648 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "io_analog[0]" 0 14731.5 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19872 564 14617280 50288 0 0 0 0 0 0
-node "io_analog[2]" 2 52442 465394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 42340 1576 25322160 217156 0 0 0 0 0 0
 node "io_analog[1]" 0 18043.5 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19872 564 15494000 64900 0 0 0 0 0 0
+node "m1_551984_690510#" 6 4594.61 551984 690510 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9604 392 51944 1968 1005896 19116 0 0 0 0 0 0
+node "io_analog[2]" 0 49907.8 465394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9604 392 9604 392 24690324 206520 0 0 0 0 0 0
 node "vccd1" 1 34798.6 582340 639784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 744072 4436 6676800 111520 12156000 20320 0 0 0 0 0 0
 node "vssa1" 0 25496.1 520594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 28676 696 10000 400 16872240 98924 0 0 0 0 0 0
+node "li_552240_690422#" 17 2083.99 552240 690422 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10404 408 412692 8296 0 0 0 0 0 0 0 0 0 0
+node "li_552246_691066#" 22 3131.66 552246 691066 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8100 360 16488 720 582120 13116 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "io_analog[6]" "io_analog[6]" 26250
-cap "io_analog[5]" "io_analog[5]" 26250
 cap "io_clamp_high[0]" "io_clamp_low[0]" 525
-cap "io_analog[0]" "vccd1" 167.76
-cap "io_analog[6]" "io_analog[6]" 26250
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "io_clamp_high[1]" "io_analog[5]" 525
-cap "io_analog[4]" "io_clamp_high[0]" 525
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "io_analog[6]" "io_analog[6]" 21250
-cap "io_analog[6]" "io_analog[6]" 21250
 cap "io_clamp_high[2]" "io_analog[6]" 525
-cap "io_analog[4]" "io_clamp_low[0]" 525
+cap "io_analog[5]" "io_clamp_low[1]" 525
+cap "io_analog[4]" "io_analog[4]" 26250
 cap "io_clamp_low[2]" "io_analog[6]" 525
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_clamp_low[1]" "io_analog[5]" 525
-cap "io_analog[4]" "io_analog[4]" 26250
 cap "io_analog[5]" "io_analog[5]" 26250
-cap "io_clamp_high[1]" "io_clamp_low[1]" 525
+cap "io_analog[0]" "vccd1" 167.76
+cap "io_analog[6]" "io_analog[6]" 21250
+cap "io_clamp_high[0]" "io_analog[4]" 525
 cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_clamp_high[2]" "io_clamp_low[2]" 525
-cap "SDC_v2p1_0/DFF_v4p1_0/VDD" "SDC_v2p1_0/DOUT" 2.27374e-13
-cap "SDC_v2p1_0/OSC_v3p2_1/VDD" "SDC_v2p1_0/OSC_v3p2_1/SENS_IN" 588.554
-cap "vssa1" "io_analog[2]" 70.39
+cap "io_clamp_high[1]" "io_clamp_low[1]" 525
+cap "li_552240_690422#" "m1_551984_690510#" 171.55
+cap "io_analog[5]" "io_analog[5]" 21250
+cap "io_analog[5]" "io_analog[5]" 26250
+cap "io_analog[5]" "io_analog[5]" 21250
+cap "li_552246_691066#" "m1_551984_690510#" 125.083
+cap "io_analog[4]" "io_clamp_low[0]" 525
+cap "io_clamp_high[1]" "io_analog[5]" 525
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "io_analog[6]" "io_analog[6]" 21250
+cap "io_analog[6]" "io_analog[6]" 26250
+cap "io_analog[6]" "io_analog[6]" 26250
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "io_clamp_low[2]" "io_clamp_high[2]" 525
+cap "INV_v1p1_0/VDD" "INV_v1p1_0/VOUT" 118.334
+cap "INV_v1p1_0/VIN" "INV_v1p1_0/VDD" 127.42
+cap "INV_v1p1_0/VIN" "INV_v1p1_0/VSS" 127.994
+cap "INV_v1p1_0/VIN" "INV_v1p1_0/VOUT" 16.7225
+cap "INV_v1p1_0/VIN" "INV_v1p1_0/VSS" -74.6992
+cap "INV_v1p1_0/VDD" "INV_v1p1_0/VSS" 1.1
+cap "INV_v1p1_0/VDD" "INV_v1p1_0/VIN" -75.2552
+cap "INV_v1p1_0/VIN" "INV_v1p1_0/VOUT" 5.72254
+cap "SDC_v2p1_0/OSC_v3p2_1/SENS_IN" "SDC_v2p1_0/OSC_v3p2_1/VDD" 588.554
+cap "li_552246_691066#" "SDC_v2p1_0/DFF_v4p1_0/sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" 23.2759
+cap "li_552246_691066#" "SDC_v2p1_0/DFF_v4p1_0/GND" 99.547
+cap "SDC_v2p1_0/DFF_v4p1_0/GND" "m1_551984_690510#" 70.39
+cap "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" "SDC_v2p1_0/OSC_v3p2_0/N2" 23.8724
+cap "SDC_v2p1_0/DFF_v4p1_0/sky130_fd_pr__pfet_01v8_MA8JHN_1/a_n33_95#" "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" 46.5318
 cap "SDC_v2p1_0/OSC_v3p2_0/N2" "SDC_v2p1_0/DOUT" 91.008
-cap "SDC_v2p1_0/DOUT" "SDC_v2p1_0/DFF_v4p1_0/VDD" 158
+cap "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" "SDC_v2p1_0/DFF_v4p1_0/sky130_fd_pr__nfet_01v8_59MFY5_0/a_n33_n157#" 3.16092
+cap "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" "SDC_v2p1_0/DFF_v4p1_0/sky130_fd_pr__nfet_01v8_59MFY5_1/a_n33_n157#" 61.1111
+cap "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" "SDC_v2p1_0/DOUT" 158
 cap "SDC_v2p1_0/OSC_v3p2_0/SENS_IN" "SDC_v2p1_0/OSC_v3p2_0/VDD" 588.554
-merge "SDC_v2p1_0/VSUBS" "VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "SDC_v2p1_0/DFF_v4p1_0/GND" "SDC_v2p1_0/li_21224_6444#" -271.134 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -27696 -204 -192378 -212 0 0 0 0 0 0 0 0 0 0
+merge "SDC_v2p1_0/li_21224_6444#" "INV_v1p1_0/VSS"
+merge "INV_v1p1_0/VSS" "li_552240_690422#"
+merge "li_552240_690422#" "SDC_v2p1_0/VSUBS"
+merge "SDC_v2p1_0/VSUBS" "VSUBS"
 merge "SDC_v2p1_0/OSC_v3p2_0/INVandCAP_v1p1_2/VDD" "SDC_v2p1_0/OSC_v3p2_0/VDD" -758.33 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1648 361200 -2800 0 0 0 0 0 0 0 0
 merge "SDC_v2p1_0/OSC_v3p2_0/VDD" "SDC_v2p1_0/OSC_v3p2_1/INVandCAP_v1p1_2/VDD"
 merge "SDC_v2p1_0/OSC_v3p2_1/INVandCAP_v1p1_2/VDD" "SDC_v2p1_0/OSC_v3p2_1/VDD"
 merge "SDC_v2p1_0/OSC_v3p2_1/VDD" "vccd1"
-merge "SDC_v2p1_0/OSC_v3p2_0/SENS_IN" "io_analog[1]" -7706.51 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -312624 -564 -12505600 -17800 0 0 0 0 0 0
-merge "SDC_v2p1_0/OSC_v3p2_0/INVandCAP_v1p1_0/VSS" "SDC_v2p1_0/m1_22668_9130#" -13365.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68172 -696 60000 -400 -23316586 -30338 0 0 0 0 0 0
+merge "SDC_v2p1_0/OSC_v3p2_0/BUFFMIN_v1p1_0/invmin_magic_v1p1_1/VDD" "SDC_v2p1_0/DFF_v4p1_0/VDD" -590.398 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 60620 -360 -153948 -720 550170 -2602 0 0 0 0 0 0 0 0
+merge "SDC_v2p1_0/DFF_v4p1_0/VDD" "INV_v1p1_0/VDD"
+merge "INV_v1p1_0/VDD" "li_552246_691066#"
+merge "SDC_v2p1_0/OSC_v3p2_0/SENS_IN" "io_analog[1]" -881.515 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -312624 -564 -5600 -2800 0 0 0 0 0 0
+merge "SDC_v2p1_0/OSC_v3p2_0/INVandCAP_v1p1_0/VSS" "SDC_v2p1_0/m1_22668_9130#" -327.218 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68172 -696 60000 -400 299414 -1298 0 0 0 0 0 0
 merge "SDC_v2p1_0/m1_22668_9130#" "vssa1"
-merge "SDC_v2p1_0/DOUT" "io_analog[2]" -7616.58 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 86096 -1576 -12586760 -16566 0 0 0 0 0 0
+merge "SDC_v2p1_0/DOUT" "INV_v1p1_0/VIN" -860.886 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 52912 -216 86096 -1576 -86760 -1566 0 0 0 0 0 0
+merge "INV_v1p1_0/VIN" "m1_551984_690510#"
+merge "INV_v1p1_0/VOUT" "io_analog[2]" -150.776 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -94976 -204 0 0 151164 0 0 0 0 0 0 0
 merge "SDC_v2p1_0/OSC_v3p2_1/SENS_IN" "io_analog[0]" -871.24 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -264852 -564 -42000 -2800 0 0 0 0 0 0
diff --git a/mag/user_analog_project_wrapper.mag.mpw5buf1 b/mag/user_analog_project_wrapper.mag.mpw5buf1
new file mode 100644
index 0000000..25d3fba
--- /dev/null
+++ b/mag/user_analog_project_wrapper.mag.mpw5buf1
@@ -0,0 +1,2281 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647922018
+<< locali >>
+rect 552246 691150 552336 691156
+rect 552246 691072 552252 691150
+rect 552330 691072 552336 691150
+rect 552246 691066 552336 691072
+rect 552240 690512 552342 690524
+rect 552240 690434 552252 690512
+rect 552330 690434 552342 690512
+rect 552240 690422 552342 690434
+<< viali >>
+rect 552252 691072 552330 691150
+rect 552252 690434 552330 690512
+<< metal1 >>
+rect 556106 693890 556320 693900
+rect 556106 693810 556116 693890
+rect 556196 693810 556320 693890
+rect 556106 693766 556320 693810
+rect 572602 691800 573014 692566
+rect 572602 691720 572920 691800
+rect 573000 691720 573014 691800
+rect 552240 691150 552342 691162
+rect 552240 691072 552252 691150
+rect 552330 691072 552342 691150
+rect 552240 691060 552342 691072
+rect 572602 690760 573014 691720
+rect 551434 690666 551532 690676
+rect 551434 690588 551444 690666
+rect 551522 690588 551532 690666
+rect 551434 690578 551532 690588
+rect 551984 690598 552082 690608
+rect 551984 690520 551994 690598
+rect 552072 690520 552082 690598
+rect 551984 690510 552082 690520
+rect 552240 690512 556266 690544
+rect 552240 690434 552252 690512
+rect 552330 690442 556266 690512
+rect 552330 690434 552342 690442
+rect 552240 690422 552342 690434
+<< via1 >>
+rect 556116 693810 556196 693890
+rect 557134 692568 557212 692646
+rect 572920 691720 573000 691800
+rect 552252 691072 552330 691150
+rect 551444 690588 551522 690666
+rect 551994 690520 552072 690598
+<< metal2 >>
+rect 556106 693890 556206 693900
+rect 556106 693810 556116 693890
+rect 556196 693810 556206 693890
+rect 556106 693800 556206 693810
+rect 553476 692646 557218 692652
+rect 553476 692568 557134 692646
+rect 557212 692568 557218 692646
+rect 553476 692562 557218 692568
+rect 553476 691156 553566 692562
+rect 574050 692418 574188 692436
+rect 574050 692338 574070 692418
+rect 574150 692338 574188 692418
+rect 574050 692292 574188 692338
+rect 557412 691941 557470 691958
+rect 557412 691885 557413 691941
+rect 557469 691885 557470 691941
+rect 557412 691861 557470 691885
+rect 557412 691805 557413 691861
+rect 557469 691805 557470 691861
+rect 557412 691781 557470 691805
+rect 557412 691725 557413 691781
+rect 557469 691725 557470 691781
+rect 557412 691701 557470 691725
+rect 572900 691800 579560 691822
+rect 572900 691720 572920 691800
+rect 573000 691720 579560 691800
+rect 572900 691702 579560 691720
+rect 557412 691645 557413 691701
+rect 557469 691645 557470 691701
+rect 557412 691621 557470 691645
+rect 557412 691565 557413 691621
+rect 557469 691565 557470 691621
+rect 557412 691541 557470 691565
+rect 557412 691485 557413 691541
+rect 557469 691485 557470 691541
+rect 557412 691461 557470 691485
+rect 557412 691405 557413 691461
+rect 557469 691405 557470 691461
+rect 557412 691381 557470 691405
+rect 557412 691325 557413 691381
+rect 557469 691325 557470 691381
+rect 557412 691301 557470 691325
+rect 557412 691245 557413 691301
+rect 557469 691245 557470 691301
+rect 557412 691228 557470 691245
+rect 552246 691150 553566 691156
+rect 552246 691072 552252 691150
+rect 552330 691072 553566 691150
+rect 552246 691066 553566 691072
+rect 574050 690990 574188 691034
+rect 574050 690910 574074 690990
+rect 574154 690910 574188 690990
+rect 574050 690890 574188 690910
+rect 551434 690666 551532 690676
+rect 551434 690588 551444 690666
+rect 551522 690588 551532 690666
+rect 551434 690578 551532 690588
+rect 551984 690598 552082 690608
+rect 551984 690520 551994 690598
+rect 552072 690520 552082 690598
+rect 551984 690510 552082 690520
+rect 579440 642822 579560 691702
+rect 579440 642742 579460 642822
+rect 579540 642742 579560 642822
+rect 579440 642722 579560 642742
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 556116 693810 556196 693890
+rect 574070 692338 574150 692418
+rect 557413 691885 557469 691941
+rect 557413 691805 557469 691861
+rect 557413 691725 557469 691781
+rect 557413 691645 557469 691701
+rect 557413 691565 557469 691621
+rect 557413 691485 557469 691541
+rect 557413 691405 557469 691461
+rect 557413 691325 557469 691381
+rect 557413 691245 557469 691301
+rect 574074 690910 574154 690990
+rect 551444 690588 551522 690666
+rect 551994 690520 552072 690598
+rect 579460 642742 579540 642822
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect 467294 692950 467494 702300
+rect 522438 693906 522558 702340
+rect 566594 702300 571594 704800
+rect 569002 701872 569122 702300
+rect 569002 701752 579130 701872
+rect 522438 693890 556206 693906
+rect 522438 693810 556116 693890
+rect 556196 693810 556206 693890
+rect 522438 693786 556206 693810
+rect 467294 692830 551126 692950
+rect 551028 690676 551126 692830
+rect 552476 692830 557482 692950
+rect 551028 690666 551532 690676
+rect 551028 690588 551444 690666
+rect 551522 690588 551532 690666
+rect 552476 690608 552574 692830
+rect 557402 691941 557482 692830
+rect 579006 692436 579126 701752
+rect 574048 692418 579126 692436
+rect 574048 692338 574070 692418
+rect 574150 692338 579126 692418
+rect 574048 692316 579126 692338
+rect 557402 691885 557413 691941
+rect 557469 691885 557482 691941
+rect 557402 691861 557482 691885
+rect 557402 691805 557413 691861
+rect 557469 691805 557482 691861
+rect 557402 691781 557482 691805
+rect 557402 691725 557413 691781
+rect 557469 691725 557482 691781
+rect 557402 691701 557482 691725
+rect 557402 691645 557413 691701
+rect 557469 691645 557482 691701
+rect 557402 691621 557482 691645
+rect 557402 691565 557413 691621
+rect 557469 691565 557482 691621
+rect 557402 691541 557482 691565
+rect 557402 691485 557413 691541
+rect 557469 691485 557482 691541
+rect 557402 691461 557482 691485
+rect 557402 691405 557413 691461
+rect 557469 691405 557482 691461
+rect 557402 691381 557482 691405
+rect 557402 691325 557413 691381
+rect 557469 691325 557482 691381
+rect 557402 691301 557482 691325
+rect 557402 691245 557413 691301
+rect 557469 691245 557482 691301
+rect 557402 691210 557482 691245
+rect 574050 690990 579122 691010
+rect 574050 690910 574074 690990
+rect 574154 690910 579122 690990
+rect 574050 690890 579122 690910
+rect 551028 690578 551532 690588
+rect 551984 690598 552574 690608
+rect 551984 690520 551994 690598
+rect 552072 690520 552574 690598
+rect 551984 690510 552574 690520
+rect -800 680242 1700 685242
+rect 579002 681618 579122 690890
+rect 582300 681618 584800 682984
+rect 579000 681498 584800 681618
+rect 582300 677984 584800 681498
+rect -800 643842 1660 648642
+rect 582340 642842 584800 644584
+rect 579440 642822 584800 642842
+rect 579440 642742 579460 642822
+rect 579540 642742 584800 642822
+rect 579440 642722 584800 642742
+rect 582340 639784 584800 642722
+rect -800 633842 1660 638642
+rect 582340 629784 584800 634584
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect -800 559442 1660 564242
+rect -800 549442 1660 554242
+rect 582340 550562 584800 555362
+rect 582340 540562 584800 545362
+rect -800 511530 480 511642
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect -800 468308 480 468420
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< metal4 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+<< metal5 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+use INV_v1p1  INV_v1p1_0
+timestamp 1641609411
+transform -1 0 553654 0 1 690106
+box 1366 -168 2276 1080
+use SDC_v2p1  SDC_v2p1_0
+timestamp 1641609411
+transform -1 0 579878 0 1 684648
+box 1706 -364 23800 14394
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
+port 1 nsew
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
+port 2 nsew
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
+port 3 nsew
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
+port 4 nsew
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
+port 5 nsew
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
+port 6 nsew
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
+port 7 nsew
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
+port 8 nsew
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
+port 9 nsew
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
+port 10 nsew
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
+port 11 nsew
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
+port 12 nsew
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
+port 13 nsew
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
+port 14 nsew
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
+port 15 nsew
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
+port 16 nsew
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
+port 17 nsew
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
+port 18 nsew
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
+port 19 nsew
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
+port 20 nsew
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
+port 21 nsew
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
+port 22 nsew
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
+port 23 nsew
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
+port 24 nsew
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
+port 25 nsew
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
+port 26 nsew
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
+port 27 nsew
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
+port 28 nsew
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
+port 29 nsew
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
+port 30 nsew
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
+port 31 nsew
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
+port 32 nsew
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
+port 33 nsew
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
+port 34 nsew
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
+port 35 nsew
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
+port 36 nsew
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
+port 37 nsew
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
+port 38 nsew
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
+port 39 nsew
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
+port 40 nsew
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
+port 41 nsew
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
+port 45 nsew
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
+port 46 nsew
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
+port 47 nsew
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
+port 48 nsew
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
+port 49 nsew
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
+port 50 nsew
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
+port 51 nsew
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
+port 52 nsew
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
+port 53 nsew
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
+port 54 nsew
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
+port 55 nsew
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
+port 56 nsew
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
+port 57 nsew
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
+port 58 nsew
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
+port 59 nsew
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
+port 60 nsew
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
+port 61 nsew
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
+port 62 nsew
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
+port 63 nsew
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
+port 64 nsew
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
+port 65 nsew
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
+port 66 nsew
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
+port 67 nsew
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
+port 68 nsew
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
+port 69 nsew
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
+port 70 nsew
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
+port 71 nsew
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
+port 72 nsew
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
+port 73 nsew
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
+port 74 nsew
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
+port 75 nsew
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
+port 76 nsew
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
+port 77 nsew
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
+port 78 nsew
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
+port 79 nsew
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
+port 80 nsew
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
+port 81 nsew
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
+port 82 nsew
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
+port 83 nsew
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
+port 84 nsew
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
+port 85 nsew
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
+port 86 nsew
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
+port 87 nsew
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
+port 88 nsew
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
+port 89 nsew
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
+port 90 nsew
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
+port 91 nsew
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
+port 92 nsew
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
+port 93 nsew
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
+port 94 nsew
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
+port 95 nsew
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
+port 96 nsew
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
+port 97 nsew
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
+port 98 nsew
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
+port 99 nsew
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
+port 100 nsew
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
+port 101 nsew
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
+port 102 nsew
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
+port 103 nsew
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
+port 104 nsew
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
+port 105 nsew
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
+port 106 nsew
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
+port 107 nsew
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
+port 108 nsew
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
+port 109 nsew
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
+port 110 nsew
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
+port 111 nsew
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
+port 112 nsew
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
+port 113 nsew
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
+port 114 nsew
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
+port 115 nsew
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
+port 116 nsew
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
+port 117 nsew
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
+port 118 nsew
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
+port 119 nsew
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
+port 120 nsew
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
+port 121 nsew
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
+port 122 nsew
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
+port 123 nsew
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
+port 124 nsew
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
+port 125 nsew
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
+port 126 nsew
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
+port 127 nsew
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
+port 128 nsew
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
+port 129 nsew
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
+port 130 nsew
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
+port 131 nsew
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
+port 132 nsew
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
+port 133 nsew
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
+port 134 nsew
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
+port 135 nsew
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
+port 136 nsew
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
+port 137 nsew
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
+port 138 nsew
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
+port 139 nsew
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
+port 140 nsew
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
+port 141 nsew
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
+port 142 nsew
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
+port 143 nsew
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
+port 144 nsew
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
+port 145 nsew
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
+port 146 nsew
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
+port 147 nsew
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
+port 148 nsew
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
+port 149 nsew
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
+port 150 nsew
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
+port 151 nsew
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
+port 152 nsew
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
+port 153 nsew
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
+port 154 nsew
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
+port 155 nsew
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
+port 156 nsew
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
+port 157 nsew
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
+port 158 nsew
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
+port 159 nsew
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
+port 160 nsew
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
+port 161 nsew
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
+port 162 nsew
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
+port 163 nsew
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
+port 164 nsew
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
+port 165 nsew
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
+port 166 nsew
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
+port 167 nsew
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
+port 168 nsew
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
+port 169 nsew
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
+port 170 nsew
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
+port 171 nsew
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
+port 172 nsew
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
+port 173 nsew
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
+port 174 nsew
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
+port 175 nsew
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
+port 176 nsew
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
+port 177 nsew
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
+port 178 nsew
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
+port 179 nsew
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
+port 180 nsew
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
+port 181 nsew
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
+port 182 nsew
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
+port 183 nsew
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
+port 184 nsew
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
+port 185 nsew
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
+port 186 nsew
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
+port 187 nsew
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
+port 188 nsew
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
+port 189 nsew
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
+port 190 nsew
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
+port 191 nsew
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
+port 192 nsew
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
+port 193 nsew
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
+port 194 nsew
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
+port 195 nsew
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
+port 196 nsew
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
+port 197 nsew
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
+port 198 nsew
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
+port 199 nsew
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
+port 200 nsew
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
+port 201 nsew
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
+port 202 nsew
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
+port 203 nsew
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
+port 204 nsew
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
+port 205 nsew
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
+port 206 nsew
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
+port 207 nsew
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
+port 208 nsew
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
+port 209 nsew
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
+port 210 nsew
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
+port 211 nsew
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
+port 212 nsew
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
+port 213 nsew
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
+port 214 nsew
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
+port 215 nsew
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
+port 216 nsew
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
+port 217 nsew
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
+port 218 nsew
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
+port 219 nsew
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
+port 220 nsew
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
+port 221 nsew
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
+port 222 nsew
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
+port 223 nsew
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
+port 224 nsew
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
+port 225 nsew
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
+port 226 nsew
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
+port 227 nsew
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
+port 228 nsew
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
+port 229 nsew
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
+port 230 nsew
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
+port 231 nsew
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
+port 232 nsew
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
+port 233 nsew
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
+port 234 nsew
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
+port 235 nsew
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
+port 236 nsew
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
+port 237 nsew
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
+port 238 nsew
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
+port 239 nsew
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
+port 240 nsew
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
+port 241 nsew
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
+port 242 nsew
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
+port 243 nsew
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
+port 244 nsew
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
+port 245 nsew
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
+port 246 nsew
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
+port 247 nsew
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
+port 248 nsew
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
+port 249 nsew
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
+port 250 nsew
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
+port 251 nsew
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
+port 252 nsew
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
+port 253 nsew
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
+port 254 nsew
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
+port 255 nsew
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
+port 256 nsew
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
+port 257 nsew
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
+port 258 nsew
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
+port 259 nsew
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
+port 260 nsew
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
+port 261 nsew
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
+port 262 nsew
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
+port 263 nsew
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
+port 264 nsew
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
+port 265 nsew
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
+port 266 nsew
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
+port 267 nsew
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
+port 268 nsew
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
+port 269 nsew
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
+port 270 nsew
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
+port 271 nsew
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
+port 272 nsew
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
+port 273 nsew
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
+port 274 nsew
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
+port 275 nsew
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
+port 276 nsew
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
+port 277 nsew
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
+port 278 nsew
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
+port 279 nsew
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
+port 280 nsew
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
+port 281 nsew
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
+port 282 nsew
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
+port 283 nsew
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
+port 284 nsew
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
+port 285 nsew
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
+port 286 nsew
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
+port 287 nsew
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
+port 288 nsew
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
+port 289 nsew
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
+port 290 nsew
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
+port 291 nsew
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
+port 292 nsew
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
+port 293 nsew
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
+port 294 nsew
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
+port 295 nsew
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
+port 296 nsew
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
+port 297 nsew
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
+port 298 nsew
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
+port 299 nsew
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
+port 300 nsew
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
+port 301 nsew
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
+port 302 nsew
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
+port 303 nsew
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
+port 304 nsew
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
+port 305 nsew
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
+port 306 nsew
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
+port 307 nsew
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
+port 308 nsew
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
+port 309 nsew
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
+port 310 nsew
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
+port 311 nsew
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
+port 312 nsew
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
+port 313 nsew
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
+port 314 nsew
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
+port 315 nsew
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
+port 316 nsew
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
+port 317 nsew
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
+port 318 nsew
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
+port 319 nsew
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
+port 320 nsew
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
+port 321 nsew
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
+port 322 nsew
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
+port 323 nsew
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
+port 324 nsew
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
+port 325 nsew
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
+port 326 nsew
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
+port 327 nsew
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
+port 328 nsew
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
+port 329 nsew
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
+port 330 nsew
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
+port 331 nsew
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
+port 332 nsew
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
+port 333 nsew
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
+port 334 nsew
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
+port 335 nsew
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
+port 336 nsew
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
+port 337 nsew
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
+port 338 nsew
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
+port 339 nsew
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
+port 340 nsew
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
+port 341 nsew
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
+port 342 nsew
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
+port 343 nsew
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
+port 344 nsew
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
+port 345 nsew
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
+port 346 nsew
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
+port 347 nsew
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
+port 348 nsew
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
+port 349 nsew
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
+port 350 nsew
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
+port 351 nsew
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
+port 352 nsew
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
+port 353 nsew
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
+port 354 nsew
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
+port 355 nsew
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
+port 356 nsew
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
+port 357 nsew
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
+port 358 nsew
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
+port 359 nsew
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
+port 360 nsew
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
+port 361 nsew
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
+port 362 nsew
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
+port 363 nsew
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
+port 364 nsew
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
+port 365 nsew
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
+port 366 nsew
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
+port 367 nsew
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
+port 368 nsew
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
+port 369 nsew
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
+port 370 nsew
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
+port 371 nsew
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
+port 372 nsew
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
+port 373 nsew
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
+port 374 nsew
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
+port 375 nsew
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
+port 376 nsew
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
+port 377 nsew
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
+port 378 nsew
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
+port 379 nsew
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
+port 380 nsew
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
+port 381 nsew
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
+port 382 nsew
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
+port 383 nsew
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
+port 384 nsew
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
+port 385 nsew
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
+port 386 nsew
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
+port 387 nsew
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
+port 388 nsew
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
+port 389 nsew
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
+port 390 nsew
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
+port 391 nsew
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
+port 392 nsew
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
+port 393 nsew
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
+port 394 nsew
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
+port 395 nsew
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
+port 396 nsew
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
+port 397 nsew
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
+port 398 nsew
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
+port 399 nsew
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
+port 400 nsew
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
+port 401 nsew
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
+port 402 nsew
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
+port 403 nsew
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
+port 404 nsew
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
+port 405 nsew
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
+port 406 nsew
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
+port 407 nsew
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
+port 408 nsew
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
+port 409 nsew
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
+port 410 nsew
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
+port 411 nsew
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
+port 412 nsew
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
+port 413 nsew
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
+port 414 nsew
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
+port 415 nsew
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
+port 416 nsew
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
+port 417 nsew
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
+port 418 nsew
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
+port 419 nsew
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
+port 420 nsew
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
+port 421 nsew
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
+port 422 nsew
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
+port 423 nsew
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
+port 424 nsew
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
+port 425 nsew
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
+port 426 nsew
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
+port 427 nsew
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
+port 428 nsew
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
+port 429 nsew
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
+port 430 nsew
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
+port 431 nsew
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
+port 432 nsew
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
+port 433 nsew
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
+port 434 nsew
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
+port 435 nsew
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
+port 436 nsew
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
+port 437 nsew
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
+port 438 nsew
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
+port 439 nsew
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
+port 440 nsew
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
+port 441 nsew
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
+port 442 nsew
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
+port 443 nsew
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
+port 444 nsew
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
+port 445 nsew
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
+port 446 nsew
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
+port 447 nsew
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
+port 448 nsew
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
+port 449 nsew
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
+port 450 nsew
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
+port 451 nsew
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
+port 452 nsew
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
+port 453 nsew
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
+port 454 nsew
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
+port 455 nsew
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
+port 456 nsew
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
+port 457 nsew
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
+port 458 nsew
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
+port 459 nsew
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
+port 460 nsew
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
+port 461 nsew
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
+port 462 nsew
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
+port 463 nsew
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
+port 464 nsew
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
+port 465 nsew
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
+port 466 nsew
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
+port 467 nsew
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
+port 468 nsew
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
+port 469 nsew
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
+port 470 nsew
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
+port 471 nsew
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
+port 472 nsew
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
+port 473 nsew
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
+port 474 nsew
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
+port 475 nsew
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
+port 476 nsew
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
+port 477 nsew
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
+port 478 nsew
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
+port 479 nsew
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
+port 480 nsew
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
+port 481 nsew
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
+port 482 nsew
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
+port 483 nsew
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
+port 484 nsew
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
+port 485 nsew
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
+port 486 nsew
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
+port 487 nsew
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
+port 488 nsew
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
+port 489 nsew
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
+port 490 nsew
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
+port 491 nsew
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
+port 492 nsew
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
+port 493 nsew
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
+port 494 nsew
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
+port 495 nsew
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
+port 496 nsew
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
+port 497 nsew
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
+port 498 nsew
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
+port 499 nsew
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
+port 500 nsew
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
+port 501 nsew
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
+port 502 nsew
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
+port 503 nsew
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
+port 504 nsew
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
+port 505 nsew
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
+port 506 nsew
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
+port 507 nsew
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
+port 508 nsew
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
+port 509 nsew
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
+port 510 nsew
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
+port 511 nsew
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
+port 512 nsew
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
+port 513 nsew
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
+port 514 nsew
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
+port 515 nsew
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
+port 516 nsew
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
+port 517 nsew
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
+port 518 nsew
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
+port 519 nsew
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
+port 520 nsew
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
+port 521 nsew
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
+port 522 nsew
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
+port 523 nsew
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
+port 524 nsew
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
+port 525 nsew
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
+port 526 nsew
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
+port 527 nsew
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
+port 528 nsew
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
+port 529 nsew
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
+port 530 nsew
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
+port 531 nsew
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
+port 532 nsew
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
+port 533 nsew
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
+port 534 nsew
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
+port 535 nsew
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
+port 536 nsew
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
+port 537 nsew
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
+port 538 nsew
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
+port 539 nsew
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
+port 540 nsew
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
+port 541 nsew
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
+port 542 nsew
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
+port 543 nsew
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
+port 544 nsew
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
+port 545 nsew
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
+port 546 nsew
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
+port 547 nsew
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
+port 548 nsew
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
+port 549 nsew
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
+port 551 nsew
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
+port 551 nsew
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
+port 553 nsew
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
+port 553 nsew
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
+port 554 nsew
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
+port 554 nsew
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
+port 554 nsew
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
+port 554 nsew
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
+port 555 nsew
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
+port 555 nsew
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
+port 556 nsew
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
+port 556 nsew
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
+port 557 nsew
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
+port 557 nsew
+flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
+port 558 nsew
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
+port 559 nsew
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
+port 560 nsew
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
+port 561 nsew
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
+port 562 nsew
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
+port 563 nsew
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
+port 564 nsew
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
+port 565 nsew
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
+port 566 nsew
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
+port 567 nsew
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
+port 568 nsew
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
+port 569 nsew
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
+port 570 nsew
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
+port 571 nsew
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
+port 572 nsew
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
+port 573 nsew
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
+port 574 nsew
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
+port 575 nsew
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
+port 576 nsew
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
+port 577 nsew
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
+port 578 nsew
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
+port 579 nsew
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
+port 580 nsew
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
+port 581 nsew
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
+port 582 nsew
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
+port 583 nsew
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
+port 584 nsew
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
+port 585 nsew
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
+port 586 nsew
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
+port 587 nsew
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
+port 588 nsew
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
+port 589 nsew
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
+port 590 nsew
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
+port 591 nsew
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
+port 592 nsew
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
+port 593 nsew
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
+port 594 nsew
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
+port 595 nsew
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
+port 596 nsew
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
+port 597 nsew
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
+port 598 nsew
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
+port 599 nsew
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
+port 600 nsew
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
+port 601 nsew
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
+port 602 nsew
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
+port 603 nsew
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
+port 604 nsew
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
+port 605 nsew
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
+port 606 nsew
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
+port 607 nsew
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
+port 608 nsew
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
+port 609 nsew
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
+port 610 nsew
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
+port 611 nsew
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
+port 612 nsew
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
+port 613 nsew
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
+port 614 nsew
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
+port 615 nsew
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
+port 616 nsew
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
+port 617 nsew
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
+port 618 nsew
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
+port 619 nsew
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
+port 620 nsew
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
+port 621 nsew
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
+port 622 nsew
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
+port 623 nsew
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
+port 624 nsew
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
+port 625 nsew
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
+port 626 nsew
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
+port 627 nsew
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
+port 628 nsew
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
+port 629 nsew
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
+port 630 nsew
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
+port 631 nsew
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
+port 632 nsew
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
+port 633 nsew
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
+port 634 nsew
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
+port 635 nsew
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
+port 636 nsew
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
+port 637 nsew
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
+port 638 nsew
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
+port 639 nsew
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
+port 640 nsew
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
+port 641 nsew
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
+port 642 nsew
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
+port 643 nsew
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
+port 644 nsew
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
+port 645 nsew
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
+port 646 nsew
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
+port 647 nsew
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
+port 648 nsew
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
+port 649 nsew
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
+port 650 nsew
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
+port 651 nsew
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
+port 652 nsew
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
+port 653 nsew
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
+port 654 nsew
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
+port 655 nsew
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
+port 656 nsew
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
+port 657 nsew
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
+port 658 nsew
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
+port 659 nsew
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
+port 660 nsew
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
+port 661 nsew
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
+port 662 nsew
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
+port 663 nsew
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 57519a2..f5578a4 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,15 +1,15 @@
 * NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
 
 .subckt INVMIN_v1p1 VIN VOUT VDD VSS
-X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=6e+11p pd=3.2e+06u as=6e+11p ps=3.2e+06u w=1e+06u l=150000u
+X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=6e+11p pd=3.2e+06u as=6e+11p ps=3.2e+06u w=1e+06u l=150000u
 .ends
 
 .subckt PASSGATE_v1p2 VIN VOUT CTR VDD VSS
 XINVMIN_v1p1_0 CTR INVMIN_v1p1_0/VOUT VDD VSS INVMIN_v1p1
-X0 VIN CTR VOUT VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X0 VIN CTR VOUT VSS sky130_fd_pr__nfet_01v8 ad=6.2e+11p pd=5.24e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
 X1 VOUT CTR VIN VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X2 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=1.28e+12p pd=1.056e+07u as=9.9e+11p ps=7.98e+06u w=1e+06u l=150000u
 X3 VOUT INVMIN_v1p1_0/VOUT VIN VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X4 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X5 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
@@ -18,8 +18,8 @@
 .ends
 
 .subckt invmin_magic_v1p1 VIN VOUT VDD VSS
-X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=6e+11p pd=3.2e+06u as=6e+11p ps=3.2e+06u w=1e+06u l=150000u
+X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=6e+11p pd=3.2e+06u as=6e+11p ps=3.2e+06u w=1e+06u l=150000u
 .ends
 
 .subckt BUFFMIN_v1p1 VIN VOUT VDD VSS
@@ -47,8 +47,8 @@
 .ends
 
 .subckt INV_v1p1 VIN VOUT VDD VSS
-X0 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X1 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X0 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=6.2e+11p pd=5.24e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=1.28e+12p pd=1.056e+07u as=9.9e+11p ps=7.98e+06u w=1e+06u l=150000u
 X2 VSS VIN VOUT VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X3 VDD VIN VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X4 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
@@ -62,7 +62,7 @@
 XINV_v1p1_0 INV_v1p1_0/VIN VOUT VDD VSS INV_v1p1
 .ends
 
-.subckt OSC_v3p2 VSS SENS_IN N1 CON_CV N2 VDD
+.subckt OSC_v3p2 SENS_IN N1 CON_CV N2 VDD VSS
 XBUFFMIN_v1p1_0 BUFFMIN_v1p1_0/VIN N2 VDD VSS BUFFMIN_v1p1
 XINVandCAP_v1p1_0 SENS_IN VDD VSS BUFFMIN_v1p1_0/VIN CON_CV INVandCAP_v1p1
 XINVandCAP_v1p1_1 BUFFMIN_v1p1_0/VIN VDD VSS N1 BUFFMIN_v1p1_0/VIN INVandCAP_v1p1
@@ -70,43 +70,38 @@
 .ends
 
 .subckt sky130_fd_pr__pfet_01v8_MA8JHN a_15_n136# a_n33_95# a_n73_n136# w_n109_n198#
-X0 a_15_n136# a_n33_95# a_n73_n136# w_n109_n198# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X0 a_15_n136# a_n33_95# a_n73_n136# w_n109_n198# sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
 .ends
 
-.subckt sky130_fd_pr__nfet_01v8_59MFY5 a_n73_n69# a_n33_n157# a_15_n69# GND
-X0 a_15_n69# a_n33_n157# a_n73_n69# w_n99_n95# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.subckt sky130_fd_pr__nfet_01v8_59MFY5 a_n73_n69# a_n33_n157# a_15_n69# VSUBS
+X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
 .ends
 
-.subckt sky130_fd_pr__nfet_01v8_6H9P4D a_n73_n100# a_15_n100# a_n15_n126# GND
-X0 a_15_n100# a_n15_n126# a_n73_n100# w_n99_n126# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.subckt sky130_fd_pr__nfet_01v8_6H9P4D a_n73_n100# a_15_n100# a_n15_n126# VSUBS
+X0 a_15_n100# a_n15_n126# a_n73_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
 .ends
 
 .subckt DFF_v4p1 VDD GND CLK IN ND D
 Xsky130_fd_pr__pfet_01v8_MA8JHN_0 VDD IN m1_776_62# VDD sky130_fd_pr__pfet_01v8_MA8JHN
-Xsky130_fd_pr__pfet_01v8_MA8JHN_1 m1_776_62# CLK m1_476_n356# VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_1 m1_776_62# CLK a_n506_n42# VDD sky130_fd_pr__pfet_01v8_MA8JHN
 Xsky130_fd_pr__pfet_01v8_MA8JHN_2 D ND VDD VDD sky130_fd_pr__pfet_01v8_MA8JHN
 Xsky130_fd_pr__pfet_01v8_MA8JHN_3 VDD D ND VDD sky130_fd_pr__pfet_01v8_MA8JHN
-Xsky130_fd_pr__pfet_01v8_MA8JHN_5 m1_n564_n40# CLK sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#
-+ VDD sky130_fd_pr__pfet_01v8_MA8JHN
-Xsky130_fd_pr__pfet_01v8_MA8JHN_4 sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136# sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#
-+ sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n73_n136# sky130_fd_pr__pfet_01v8_MA8JHN_4/w_n109_n198#
-+ sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_4 m1_n424_62# a_n506_n42# VDD VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_5 m1_n564_n40# CLK m1_n424_62# VDD sky130_fd_pr__pfet_01v8_MA8JHN
 Xsky130_fd_pr__nfet_01v8_59MFY5_0 m1_576_n268# CLK GND GND sky130_fd_pr__nfet_01v8_59MFY5
-Xsky130_fd_pr__nfet_01v8_59MFY5_1 D m1_476_n356# m1_576_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
-Xsky130_fd_pr__nfet_01v8_6H9P4D_0 GND m1_476_n356# IN GND sky130_fd_pr__nfet_01v8_6H9P4D
-Xsky130_fd_pr__nfet_01v8_59MFY5_2 m1_n224_n268# m1_n564_n40# ND GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_6H9P4D_0 GND a_n506_n42# IN GND sky130_fd_pr__nfet_01v8_6H9P4D
+Xsky130_fd_pr__nfet_01v8_59MFY5_1 D a_n506_n42# m1_576_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
 Xsky130_fd_pr__nfet_01v8_6H9P4D_1 GND D ND GND sky130_fd_pr__nfet_01v8_6H9P4D
-Xsky130_fd_pr__nfet_01v8_59MFY5_3 sky130_fd_pr__nfet_01v8_59MFY5_3/a_n73_n69# CLK
-+ m1_n224_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_59MFY5_2 m1_n224_n268# m1_n564_n40# ND GND sky130_fd_pr__nfet_01v8_59MFY5
 Xsky130_fd_pr__nfet_01v8_6H9P4D_2 ND GND D GND sky130_fd_pr__nfet_01v8_6H9P4D
-Xsky130_fd_pr__nfet_01v8_6H9P4D_3 sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100# sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#
-+ sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126# GND sky130_fd_pr__nfet_01v8_6H9P4D
+Xsky130_fd_pr__nfet_01v8_59MFY5_3 GND CLK m1_n224_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_6H9P4D_3 m1_n564_n40# GND a_n506_n42# GND sky130_fd_pr__nfet_01v8_6H9P4D
 .ends
 
-.subckt SDC_v2p1 VSS DOUT SENS_IN REF_IN VDD
+.subckt SDC_v2p1 DOUT SENS_IN REF_IN VSS VDD
 XPASSGATE_v1p2_0 SENS_IN OSC_v3p2_0/CON_CV DOUT VDD VSS PASSGATE_v1p2
-XOSC_v3p2_0 VSS SENS_IN OSC_v3p2_0/N1 OSC_v3p2_0/CON_CV OSC_v3p2_0/N2 VDD OSC_v3p2
-XOSC_v3p2_1 VSS REF_IN OSC_v3p2_1/N1 REF_IN OSC_v3p2_1/N2 VDD OSC_v3p2
+XOSC_v3p2_0 SENS_IN OSC_v3p2_0/N1 OSC_v3p2_0/CON_CV OSC_v3p2_0/N2 VDD VSS OSC_v3p2
+XOSC_v3p2_1 REF_IN OSC_v3p2_1/N1 REF_IN OSC_v3p2_1/N2 VDD VSS OSC_v3p2
 XDFF_v4p1_0 VDD VSS OSC_v3p2_1/N2 OSC_v3p2_0/N2 DFF_v4p1_0/ND DOUT DFF_v4p1
 .ends
 
@@ -216,6 +211,7 @@
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
-XSDC_v2p1_0 vssa1 io_analog[2] io_analog[1] io_analog[0] vccd1 SDC_v2p1
+XSDC_v2p1_0 INV_v1p1_0/VIN io_analog[1] io_analog[0] vssa1 vccd1 SDC_v2p1
+XINV_v1p1_0 INV_v1p1_0/VIN io_analog[2] vccd1 vssa1 INV_v1p1
 .ends
 
diff --git a/netgen/user_analog_project_wrapper.spice.mpw5orig b/netgen/user_analog_project_wrapper.spice.mpw5orig
new file mode 100644
index 0000000..57519a2
--- /dev/null
+++ b/netgen/user_analog_project_wrapper.spice.mpw5orig
@@ -0,0 +1,221 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt INVMIN_v1p1 VIN VOUT VDD VSS
+X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt PASSGATE_v1p2 VIN VOUT CTR VDD VSS
+XINVMIN_v1p1_0 CTR INVMIN_v1p1_0/VOUT VDD VSS INVMIN_v1p1
+X0 VIN CTR VOUT VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1 VOUT CTR VIN VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 VOUT INVMIN_v1p1_0/VOUT VIN VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X5 VIN INVMIN_v1p1_0/VOUT VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X6 VOUT INVMIN_v1p1_0/VOUT VIN VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 VOUT INVMIN_v1p1_0/VOUT VIN VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt invmin_magic_v1p1 VIN VOUT VDD VSS
+X0 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt BUFFMIN_v1p1 VIN VOUT VDD VSS
+Xinvmin_magic_v1p1_0 VIN invmin_magic_v1p1_1/VIN VDD VSS invmin_magic_v1p1
+Xinvmin_magic_v1p1_1 invmin_magic_v1p1_1/VIN VOUT VDD VSS invmin_magic_v1p1
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_2_4SGG6N m4_n2231_n2320# c2_n2131_n2220#
+X0 c2_n2131_n2220# m4_n2231_n2320# sky130_fd_pr__cap_mim_m3_2 l=2.22e+07u w=1.88e+07u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_2_7PBNAZ m4_n671_n2320# c2_n571_n2220#
+X0 c2_n571_n2220# m4_n671_n2320# sky130_fd_pr__cap_mim_m3_2 l=2.22e+07u w=3.2e+06u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_9K4XRG m3_n456_n2320# c1_n356_n2220#
+X0 c1_n356_n2220# m3_n456_n2320# sky130_fd_pr__cap_mim_m3_1 l=2.22e+07u w=3.2e+06u
+.ends
+
+.subckt CAPOSC_v1p1 BOT TOP_V TOP_B
+Xsky130_fd_pr__cap_mim_m3_2_4SGG6N_0 BOT TOP_B sky130_fd_pr__cap_mim_m3_2_4SGG6N
+Xsky130_fd_pr__cap_mim_m3_2_7PBNAZ_0 BOT TOP_V sky130_fd_pr__cap_mim_m3_2_7PBNAZ
+Xsky130_fd_pr__cap_mim_m3_1_9K4XRG_0 BOT TOP_V sky130_fd_pr__cap_mim_m3_1_9K4XRG
+X0 TOP_B BOT sky130_fd_pr__cap_mim_m3_1 l=2.22e+07u w=1.88e+07u
+.ends
+
+.subckt INV_v1p1 VIN VOUT VDD VSS
+X0 VOUT VIN VSS VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VSS VIN VOUT VSS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 VDD VIN VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X5 VOUT VIN VDD VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X6 VDD VIN VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 VDD VIN VOUT VDD sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt INVandCAP_v1p1 VOUT VDD VSS INV_v1p1_0/VIN CAPOSC_v1p1_0/TOP_V
+XCAPOSC_v1p1_0 VSS CAPOSC_v1p1_0/TOP_V VOUT CAPOSC_v1p1
+XINV_v1p1_0 INV_v1p1_0/VIN VOUT VDD VSS INV_v1p1
+.ends
+
+.subckt OSC_v3p2 VSS SENS_IN N1 CON_CV N2 VDD
+XBUFFMIN_v1p1_0 BUFFMIN_v1p1_0/VIN N2 VDD VSS BUFFMIN_v1p1
+XINVandCAP_v1p1_0 SENS_IN VDD VSS BUFFMIN_v1p1_0/VIN CON_CV INVandCAP_v1p1
+XINVandCAP_v1p1_1 BUFFMIN_v1p1_0/VIN VDD VSS N1 BUFFMIN_v1p1_0/VIN INVandCAP_v1p1
+XINVandCAP_v1p1_2 N1 VDD VSS SENS_IN N1 INVandCAP_v1p1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MA8JHN a_15_n136# a_n33_95# a_n73_n136# w_n109_n198#
+X0 a_15_n136# a_n33_95# a_n73_n136# w_n109_n198# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_59MFY5 a_n73_n69# a_n33_n157# a_15_n69# GND
+X0 a_15_n69# a_n33_n157# a_n73_n69# w_n99_n95# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_6H9P4D a_n73_n100# a_15_n100# a_n15_n126# GND
+X0 a_15_n100# a_n15_n126# a_n73_n100# w_n99_n126# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt DFF_v4p1 VDD GND CLK IN ND D
+Xsky130_fd_pr__pfet_01v8_MA8JHN_0 VDD IN m1_776_62# VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_1 m1_776_62# CLK m1_476_n356# VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_2 D ND VDD VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_3 VDD D ND VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_5 m1_n564_n40# CLK sky130_fd_pr__pfet_01v8_MA8JHN_5/a_n73_n136#
++ VDD sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__pfet_01v8_MA8JHN_4 sky130_fd_pr__pfet_01v8_MA8JHN_4/a_15_n136# sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n33_95#
++ sky130_fd_pr__pfet_01v8_MA8JHN_4/a_n73_n136# sky130_fd_pr__pfet_01v8_MA8JHN_4/w_n109_n198#
++ sky130_fd_pr__pfet_01v8_MA8JHN
+Xsky130_fd_pr__nfet_01v8_59MFY5_0 m1_576_n268# CLK GND GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_59MFY5_1 D m1_476_n356# m1_576_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_6H9P4D_0 GND m1_476_n356# IN GND sky130_fd_pr__nfet_01v8_6H9P4D
+Xsky130_fd_pr__nfet_01v8_59MFY5_2 m1_n224_n268# m1_n564_n40# ND GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_6H9P4D_1 GND D ND GND sky130_fd_pr__nfet_01v8_6H9P4D
+Xsky130_fd_pr__nfet_01v8_59MFY5_3 sky130_fd_pr__nfet_01v8_59MFY5_3/a_n73_n69# CLK
++ m1_n224_n268# GND sky130_fd_pr__nfet_01v8_59MFY5
+Xsky130_fd_pr__nfet_01v8_6H9P4D_2 ND GND D GND sky130_fd_pr__nfet_01v8_6H9P4D
+Xsky130_fd_pr__nfet_01v8_6H9P4D_3 sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n73_n100# sky130_fd_pr__nfet_01v8_6H9P4D_3/a_15_n100#
++ sky130_fd_pr__nfet_01v8_6H9P4D_3/a_n15_n126# GND sky130_fd_pr__nfet_01v8_6H9P4D
+.ends
+
+.subckt SDC_v2p1 VSS DOUT SENS_IN REF_IN VDD
+XPASSGATE_v1p2_0 SENS_IN OSC_v3p2_0/CON_CV DOUT VDD VSS PASSGATE_v1p2
+XOSC_v3p2_0 VSS SENS_IN OSC_v3p2_0/N1 OSC_v3p2_0/CON_CV OSC_v3p2_0/N2 VDD OSC_v3p2
+XOSC_v3p2_1 VSS REF_IN OSC_v3p2_1/N1 REF_IN OSC_v3p2_1/N2 VDD OSC_v3p2
+XDFF_v4p1_0 VDD VSS OSC_v3p2_1/N2 OSC_v3p2_0/N2 DFF_v4p1_0/ND DOUT DFF_v4p1
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[4] io_analog[5] io_analog[6] io_analog[7]
++ io_analog[8] io_analog[9] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i
+XSDC_v2p1_0 vssa1 io_analog[2] io_analog[1] io_analog[0] vccd1 SDC_v2p1
+.ends
+