MPW5, poligons removed manually by Jeff
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 0550fdb..19fa8c4 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds.bakmpw5 b/gds/user_analog_project_wrapper.gds.bakmpw5
new file mode 100644
index 0000000..0550fdb
--- /dev/null
+++ b/gds/user_analog_project_wrapper.gds.bakmpw5
Binary files differ
diff --git a/xschem/INV3X_v1p1.sch b/xschem/INV3X_v1p1.sch
new file mode 100644
index 0000000..b463585
--- /dev/null
+++ b/xschem/INV3X_v1p1.sch
@@ -0,0 +1,53 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 170 20 210 20 { lab=VIN}
+N 170 20 170 130 { lab=VIN}
+N 170 130 210 130 { lab=VIN}
+N 250 50 250 100 { lab=VOUT}
+N 250 -60 250 -10 { lab=VDD}
+N 110 70 170 70 { lab=VIN}
+N 250 20 280 20 { lab=VDD}
+N 280 -30 280 20 { lab=VDD}
+N 250 -30 280 -30 { lab=VDD}
+N 250 130 280 130 { lab=VSS}
+N 280 130 280 180 { lab=VSS}
+N 250 180 280 180 { lab=VSS}
+N 250 160 250 180 { lab=VSS}
+N 250 180 250 210 { lab=VSS}
+N 250 70 310 70 { lab=VOUT}
+C {sky130_fd_pr/nfet_01v8.sym} 230 130 0 0 {name=M1
+L=0.15
+W=2
+nf=2
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 230 20 0 0 {name=M2
+L=0.15
+W=6
+nf=6
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/ipin.sym} 110 70 0 0 {name=p1 lab=VIN}
+C {devices/iopin.sym} 250 -60 3 0 {name=p2 lab=VDD}
+C {devices/iopin.sym} 250 210 1 0 {name=p4 lab=VSS}
+C {devices/opin.sym} 310 70 0 0 {name=p5 lab=VOUT}
diff --git a/xschem/INV3X_v1p1.sym b/xschem/INV3X_v1p1.sym
new file mode 100644
index 0000000..c0889ab
--- /dev/null
+++ b/xschem/INV3X_v1p1.sym
@@ -0,0 +1,25 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=X1"}
+V {}
+S {}
+E {}
+L 4 40 -0 60 -0 {}
+L 4 105 0 120 0 {}
+L 4 60 -20 60 20 {}
+L 4 60 20 90 -0 {}
+L 4 60 -20 90 0 {}
+L 4 75 -30 75 -10 {}
+L 4 75 10 75 30 {}
+B 5 37.5 -2.5 42.5 2.5 {name=VIN
+dir=in}
+B 5 117.5 -2.5 122.5 2.5 {name=VOUT
+dir=out}
+B 5 72.5 -32.5 77.5 -27.5 {name=VDD
+dir=inout}
+B 5 72.5 27.5 77.5 32.5 {name=VSS
+dir=inout}
+A 4 97.5 0 7.071067811865476 315 360 {}
+T {@name} 80 10 0 0 0.2 0.2 {}
diff --git a/xschem/INV_v1p1.sch b/xschem/INV_v1p1.sch
new file mode 100644
index 0000000..afd17b4
--- /dev/null
+++ b/xschem/INV_v1p1.sch
@@ -0,0 +1,53 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 170 20 210 20 { lab=VIN}
+N 170 20 170 130 { lab=VIN}
+N 170 130 210 130 { lab=VIN}
+N 250 50 250 100 { lab=VOUT}
+N 250 -60 250 -10 { lab=VDD}
+N 110 70 170 70 { lab=VIN}
+N 250 20 280 20 { lab=VDD}
+N 280 -30 280 20 { lab=VDD}
+N 250 -30 280 -30 { lab=VDD}
+N 250 130 280 130 { lab=VSS}
+N 280 130 280 180 { lab=VSS}
+N 250 180 280 180 { lab=VSS}
+N 250 160 250 180 { lab=VSS}
+N 250 180 250 210 { lab=VSS}
+N 250 70 310 70 { lab=VOUT}
+C {sky130_fd_pr/nfet_01v8.sym} 230 130 0 0 {name=M1
+L=0.15
+W=2
+nf=2
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 230 20 0 0 {name=M2
+L=0.15
+W=6
+nf=6
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/ipin.sym} 110 70 0 0 {name=p1 lab=VIN}
+C {devices/iopin.sym} 250 -60 3 0 {name=p2 lab=VDD}
+C {devices/iopin.sym} 250 210 1 0 {name=p4 lab=VSS}
+C {devices/opin.sym} 310 70 0 0 {name=p5 lab=VOUT}
diff --git a/xschem/INV_v1p1.sym b/xschem/INV_v1p1.sym
new file mode 100644
index 0000000..c0889ab
--- /dev/null
+++ b/xschem/INV_v1p1.sym
@@ -0,0 +1,25 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=X1"}
+V {}
+S {}
+E {}
+L 4 40 -0 60 -0 {}
+L 4 105 0 120 0 {}
+L 4 60 -20 60 20 {}
+L 4 60 20 90 -0 {}
+L 4 60 -20 90 0 {}
+L 4 75 -30 75 -10 {}
+L 4 75 10 75 30 {}
+B 5 37.5 -2.5 42.5 2.5 {name=VIN
+dir=in}
+B 5 117.5 -2.5 122.5 2.5 {name=VOUT
+dir=out}
+B 5 72.5 -32.5 77.5 -27.5 {name=VDD
+dir=inout}
+B 5 72.5 27.5 77.5 32.5 {name=VSS
+dir=inout}
+A 4 97.5 0 7.071067811865476 315 360 {}
+T {@name} 80 10 0 0 0.2 0.2 {}
diff --git a/xschem/test_SDC_CINsweep_v6p1.sch b/xschem/test_SDC_CINsweep_v6p1.sch
new file mode 100644
index 0000000..99dea53
--- /dev/null
+++ b/xschem/test_SDC_CINsweep_v6p1.sch
@@ -0,0 +1,63 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 210 60 210 80 { lab=GND}
+N 210 -50 210 0 { lab=VDD}
+N 210 280 210 300 { lab=GND}
+N 210 170 210 220 { lab=VSS}
+N 460 150 460 190 { lab=VSS}
+N 460 70 460 90 { lab=SENS_IN}
+N 430 70 460 70 { lab=SENS_IN}
+N 460 70 570 70 { lab=SENS_IN}
+N 1265 0 1265 40 { lab=VDD}
+N 1125 40 1185 40 { lab=VDD}
+N 1185 40 1265 40 { lab=VDD}
+N 1205 -40 1205 0 { lab=VSS}
+N 1125 0 1205 0 { lab=VSS}
+N 1125 260 1205 260 { lab=noConn[7:0],DOUT,SENS_IN,REF_IN}
+N 1430 130 1430 170 { lab=VSS}
+N 1430 50 1430 70 { lab=DOUT}
+N 1400 50 1430 50 { lab=DOUT}
+C {devices/vsource.sym} 210 30 0 0 {name=V1 value=VDD}
+C {devices/gnd.sym} 210 80 0 0 {name=l2 lab=GND}
+C {devices/code_shown.sym} 200 -400 0 0 {name=SPICE only_toplevel=false value=".lib /home/jorge/Documents/Postdoc/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.param VDD = 1.8
+.ic v(SENS_IN) = 0
+.ic v(REF_IN) = 1.8
+.control
+*compose vin_var start=1.9p stop=2.11p step=0.02p
+compose vin_var start=0p stop=0.31p step=0.02p
+foreach val $&vin_var
+  alter C_SENS $val
+  tran 0.05n 6u
+  run
+end
+*plot tran1.v(N2) tran2.v(N2) tran3.v(N2) tran4.v(N2) tran5.v(N2) tran6.v(N2) tran7.v(N2) tran8.v(N2) tran9.v(N2) tran10.v(N2) tran11.v(N2)
+*wrdata ringosc_CINsweep_v2p1_Creal.txt tran1.v(N2) tran2.v(N2) tran3.v(N2) tran4.v(N2) tran5.v(N2) tran6.v(N2) tran7.v(N2) tran8.v(N2) tran9.v(N2) tran10.v(N2) tran11.v(N2)
+wrdata /home/jorge/Documents/Postdoc/sky130_work_jm/SDC_SKY130_V1/SDC_CINsweep_v6p1.txt tran1.v(DOUT) tran2.v(DOUT) tran3.v(DOUT) tran4.v(DOUT) tran5.v(DOUT) tran6.v(DOUT) tran7.v(DOUT) tran8.v(DOUT) tran9.v(DOUT) tran10.v(DOUT) tran11.v(DOUT) tran12.v(DOUT) tran13.v(DOUT) tran14.v(DOUT) tran15.v(DOUT) tran16.v(DOUT)
+.endc"}
+C {devices/capa.sym} 460 120 0 0 {name=C_SENS
+m=1
+value=0p
+footprint=1206
+device="ceramic capacitor"}
+C {devices/lab_pin.sym} 430 70 0 0 {name=l5 sig_type=std_logic lab=SENS_IN}
+C {devices/lab_pin.sym} 210 -50 0 0 {name=l6 sig_type=std_logic lab=VDD}
+C {devices/vsource.sym} 210 250 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 210 300 0 0 {name=l23 lab=GND}
+C {devices/lab_pin.sym} 210 170 0 0 {name=l24 sig_type=std_logic lab=VSS}
+C {devices/lab_pin.sym} 460 190 0 0 {name=l8 sig_type=std_logic lab=VSS}
+C {/home/jorge/Documents/Postdoc/github/caravel_user_project_analog/xschem/user_analog_project_wrapper.sym} 975 140 0 0 {name=x1}
+C {devices/lab_pin.sym} 1265 0 0 0 {name=l1 sig_type=std_logic lab=VDD}
+C {devices/lab_pin.sym} 1205 -40 0 0 {name=l3 sig_type=std_logic lab=VSS}
+C {devices/lab_pin.sym} 1205 260 2 0 {name=l4 sig_type=std_logic lab=noConn[7:0],DOUT,SENS_IN,REF_IN}
+C {devices/capa.sym} 1430 100 0 0 {name=C_LOAD
+m=1
+value=10p
+footprint=1206
+device="ceramic capacitor"}
+C {devices/lab_pin.sym} 1400 50 0 0 {name=l9 sig_type=std_logic lab=DOUT}
+C {devices/lab_pin.sym} 1430 170 0 0 {name=l10 sig_type=std_logic lab=VSS}
diff --git a/xschem/test_SDC_CINsweep_v7p1_mpw5.sch b/xschem/test_SDC_CINsweep_v7p1_mpw5.sch
new file mode 100644
index 0000000..c90b726
--- /dev/null
+++ b/xschem/test_SDC_CINsweep_v7p1_mpw5.sch
@@ -0,0 +1,63 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 210 60 210 80 { lab=GND}
+N 210 -50 210 0 { lab=VDD}
+N 210 280 210 300 { lab=GND}
+N 210 170 210 220 { lab=VSS}
+N 460 150 460 190 { lab=VSS}
+N 460 70 460 90 { lab=SENS_IN}
+N 430 70 460 70 { lab=SENS_IN}
+N 460 70 570 70 { lab=SENS_IN}
+N 1265 0 1265 40 { lab=VDD}
+N 1125 40 1185 40 { lab=VDD}
+N 1185 40 1265 40 { lab=VDD}
+N 1205 -40 1205 0 { lab=VSS}
+N 1125 0 1205 0 { lab=VSS}
+N 1125 260 1205 260 { lab=noConn[7:0],DOUT,SENS_IN,REF_IN}
+N 1430 130 1430 170 { lab=VSS}
+N 1430 50 1430 70 { lab=DOUT}
+N 1400 50 1430 50 { lab=DOUT}
+C {devices/vsource.sym} 210 30 0 0 {name=V1 value=VDD}
+C {devices/gnd.sym} 210 80 0 0 {name=l2 lab=GND}
+C {devices/code_shown.sym} 200 -400 0 0 {name=SPICE only_toplevel=false value=".lib /home/jorge/Documents/Postdoc/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.param VDD = 1.8
+.ic v(SENS_IN) = 0
+.ic v(REF_IN) = 1.8
+.control
+*compose vin_var start=1.9p stop=2.11p step=0.02p
+compose vin_var start=0p stop=0.31p step=0.02p
+foreach val $&vin_var
+  alter C_SENS $val
+  tran 0.05n 6u
+  run
+end
+*plot tran1.v(N2) tran2.v(N2) tran3.v(N2) tran4.v(N2) tran5.v(N2) tran6.v(N2) tran7.v(N2) tran8.v(N2) tran9.v(N2) tran10.v(N2) tran11.v(N2)
+*wrdata ringosc_CINsweep_v2p1_Creal.txt tran1.v(N2) tran2.v(N2) tran3.v(N2) tran4.v(N2) tran5.v(N2) tran6.v(N2) tran7.v(N2) tran8.v(N2) tran9.v(N2) tran10.v(N2) tran11.v(N2)
+wrdata /home/jorge/Documents/Postdoc/sky130_work_jm/SDC_SKY130_V1/SDC_CINsweep_v7p1_mpw5.txt tran1.v(DOUT) tran2.v(DOUT) tran3.v(DOUT) tran4.v(DOUT) tran5.v(DOUT) tran6.v(DOUT) tran7.v(DOUT) tran8.v(DOUT) tran9.v(DOUT) tran10.v(DOUT) tran11.v(DOUT) tran12.v(DOUT) tran13.v(DOUT) tran14.v(DOUT) tran15.v(DOUT) tran16.v(DOUT)
+.endc"}
+C {devices/capa.sym} 460 120 0 0 {name=C_SENS
+m=1
+value=0p
+footprint=1206
+device="ceramic capacitor"}
+C {devices/lab_pin.sym} 430 70 0 0 {name=l5 sig_type=std_logic lab=SENS_IN}
+C {devices/lab_pin.sym} 210 -50 0 0 {name=l6 sig_type=std_logic lab=VDD}
+C {devices/vsource.sym} 210 250 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 210 300 0 0 {name=l23 lab=GND}
+C {devices/lab_pin.sym} 210 170 0 0 {name=l24 sig_type=std_logic lab=VSS}
+C {devices/lab_pin.sym} 460 190 0 0 {name=l8 sig_type=std_logic lab=VSS}
+C {/home/jorge/Documents/Postdoc/github/caravel_user_project_analog/xschem/user_analog_project_wrapper.sym} 975 140 0 0 {name=x1}
+C {devices/lab_pin.sym} 1265 0 0 0 {name=l1 sig_type=std_logic lab=VDD}
+C {devices/lab_pin.sym} 1205 -40 0 0 {name=l3 sig_type=std_logic lab=VSS}
+C {devices/lab_pin.sym} 1205 260 2 0 {name=l4 sig_type=std_logic lab=noConn[7:0],DOUT,SENS_IN,REF_IN}
+C {devices/capa.sym} 1430 100 0 0 {name=C_LOAD
+m=1
+value=10p
+footprint=1206
+device="ceramic capacitor"}
+C {devices/lab_pin.sym} 1400 50 0 0 {name=l9 sig_type=std_logic lab=DOUT}
+C {devices/lab_pin.sym} 1430 170 0 0 {name=l10 sig_type=std_logic lab=VSS}
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index ae8cfea..400f00d 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -48,10 +48,18 @@
 N 4040 -430 4110 -430 { lab=vccd1}
 N 4040 -120 4040 -70 { lab=vssa1}
 N 3950 -70 4040 -70 { lab=vssa1}
-N 4180 -240 4340 -240 { lab=io_analog[2]}
-N 4340 -350 4340 -240 { lab=io_analog[2]}
+N 4180 -240 4340 -240 { lab=#net1}
+N 4520 -350 4520 -240 { lab=io_analog[2]}
 N 3780 -260 3880 -260 { lab=io_analog[1]}
 N 3780 -140 3880 -140 { lab=io_analog[0]}
+N 4420 -240 4430 -240 { lab=#net2}
+N 4510 -240 4520 -240 { lab=io_analog[2]}
+N 4340 -300 4465 -300 { lab=vccd1}
+N 4465 -300 4465 -270 { lab=vccd1}
+N 4375 -300 4375 -270 { lab=vccd1}
+N 4340 -180 4465 -180 { lab=vssa1}
+N 4465 -210 4465 -180 { lab=vssa1}
+N 4375 -210 4375 -180 { lab=vssa1}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -156,7 +164,11 @@
 C {devices/lab_pin.sym} 4130 940 0 0 {name=l32 sig_type=std_logic lab=vssd1}
 C {devices/lab_pin.sym} 4110 -430 0 1 {name=l33 sig_type=std_logic lab=vccd1}
 C {devices/lab_pin.sym} 3950 -70 0 0 {name=l34 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4340 -350 0 0 {name=l35 sig_type=std_logic lab=io_analog[2]}
+C {devices/lab_pin.sym} 4520 -350 0 0 {name=l35 sig_type=std_logic lab=io_analog[2]}
 C {devices/lab_pin.sym} 3780 -260 0 0 {name=l1 sig_type=std_logic lab=io_analog[1]}
 C {devices/lab_pin.sym} 3780 -140 0 0 {name=l2 sig_type=std_logic lab=io_analog[0]}
 C {/home/jorge/Documents/Postdoc/github/caravel_user_project_analog/xschem/SDC_v2p1.sym} 3820 -280 0 0 {name=X1}
+C {/home/jorge/Documents/Postdoc/github/caravel_user_project_analog/xschem/INV_v1p1.sym} 4300 -240 0 0 {name=XBUFFINV1}
+C {/home/jorge/Documents/Postdoc/github/caravel_user_project_analog/xschem/INV3X_v1p1.sym} 4390 -240 0 0 {name=XBUFFINV2}
+C {devices/lab_pin.sym} 4340 -300 2 1 {name=l3 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 4340 -180 0 0 {name=l4 sig_type=std_logic lab=vssa1}