Timing clean up
diff --git a/def/glbl_cfg.def.gz b/def/glbl_cfg.def.gz
index 64273b7..b64c7e5 100644
--- a/def/glbl_cfg.def.gz
+++ b/def/glbl_cfg.def.gz
Binary files differ
diff --git a/def/mbist1.def.gz b/def/mbist1.def.gz
index 7478cdf..886fb8f 100644
--- a/def/mbist1.def.gz
+++ b/def/mbist1.def.gz
Binary files differ
diff --git a/def/mbist2.def.gz b/def/mbist2.def.gz
index a0b7f08..ccf8b80 100644
--- a/def/mbist2.def.gz
+++ b/def/mbist2.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 92bedc1..f79a7cf 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index 4648f7c..a00bce9 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/def/wb_interconnect.def.gz b/def/wb_interconnect.def.gz
index fe27631..3d16a03 100644
--- a/def/wb_interconnect.def.gz
+++ b/def/wb_interconnect.def.gz
Binary files differ
diff --git a/gds/glbl_cfg.gds.gz b/gds/glbl_cfg.gds.gz
index df8ed96..19c8ac0 100644
--- a/gds/glbl_cfg.gds.gz
+++ b/gds/glbl_cfg.gds.gz
Binary files differ
diff --git a/gds/mbist1.gds.gz b/gds/mbist1.gds.gz
index 5bbc3d9..ac20579 100644
--- a/gds/mbist1.gds.gz
+++ b/gds/mbist1.gds.gz
Binary files differ
diff --git a/gds/mbist2.gds.gz b/gds/mbist2.gds.gz
index c13452a..5591d49 100644
--- a/gds/mbist2.gds.gz
+++ b/gds/mbist2.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 24d6100..308fd75 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 2d83354..8b9dcf2 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/gds/wb_interconnect.gds.gz b/gds/wb_interconnect.gds.gz
index abfe25f..3c7a923 100644
--- a/gds/wb_interconnect.gds.gz
+++ b/gds/wb_interconnect.gds.gz
Binary files differ
diff --git a/lef/glbl_cfg.lef.gz b/lef/glbl_cfg.lef.gz
index 5265ee8..75cbaba 100644
--- a/lef/glbl_cfg.lef.gz
+++ b/lef/glbl_cfg.lef.gz
Binary files differ
diff --git a/lef/mbist1.lef.gz b/lef/mbist1.lef.gz
index f57de45..879ff84 100644
--- a/lef/mbist1.lef.gz
+++ b/lef/mbist1.lef.gz
Binary files differ
diff --git a/lef/mbist2.lef.gz b/lef/mbist2.lef.gz
index 3514fec..fd0ac55 100644
--- a/lef/mbist2.lef.gz
+++ b/lef/mbist2.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 756195a..14f201b 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index dfe055c..3488e1d 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/lef/wb_interconnect.lef.gz b/lef/wb_interconnect.lef.gz
index f0c99ce..66e2750 100644
--- a/lef/wb_interconnect.lef.gz
+++ b/lef/wb_interconnect.lef.gz
Binary files differ
diff --git a/mag/glbl_cfg.mag.gz b/mag/glbl_cfg.mag.gz
index c389eb3..287cb92 100644
--- a/mag/glbl_cfg.mag.gz
+++ b/mag/glbl_cfg.mag.gz
Binary files differ
diff --git a/mag/mbist1.mag.gz b/mag/mbist1.mag.gz
index 4058406..c6b5397 100644
--- a/mag/mbist1.mag.gz
+++ b/mag/mbist1.mag.gz
Binary files differ
diff --git a/mag/mbist2.mag.gz b/mag/mbist2.mag.gz
index cc09b31..9105b1c 100644
--- a/mag/mbist2.mag.gz
+++ b/mag/mbist2.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 9263d0f..0649a43 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index 47c5918..feb2ad7 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/mag/wb_interconnect.mag.gz b/mag/wb_interconnect.mag.gz
index e8b0796..bdd0354 100644
--- a/mag/wb_interconnect.mag.gz
+++ b/mag/wb_interconnect.mag.gz
Binary files differ
diff --git a/maglef/glbl_cfg.mag.gz b/maglef/glbl_cfg.mag.gz
index 8bef5aa..19ea27f 100644
--- a/maglef/glbl_cfg.mag.gz
+++ b/maglef/glbl_cfg.mag.gz
Binary files differ
diff --git a/maglef/mbist1.mag.gz b/maglef/mbist1.mag.gz
index dedbb07..bd3c34b 100644
--- a/maglef/mbist1.mag.gz
+++ b/maglef/mbist1.mag.gz
Binary files differ
diff --git a/maglef/mbist2.mag.gz b/maglef/mbist2.mag.gz
index 78f8525..7ee8c39 100644
--- a/maglef/mbist2.mag.gz
+++ b/maglef/mbist2.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 816245c..7564c58 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index c295dfd..bbd2d2c 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/maglef/wb_interconnect.mag.gz b/maglef/wb_interconnect.mag.gz
index 21362f5..d0679f0 100644
--- a/maglef/wb_interconnect.mag.gz
+++ b/maglef/wb_interconnect.mag.gz
Binary files differ
diff --git a/openlane/glbl_cfg/base.sdc b/openlane/glbl_cfg/base.sdc
index b9b1472..041c8cf 100644
--- a/openlane/glbl_cfg/base.sdc
+++ b/openlane/glbl_cfg/base.sdc
@@ -395,6 +395,17 @@
set_output_delay -min 1.0000 -clock [get_clocks {mclk}] -add_delay [get_ports {user_irq[0]}]
set_output_delay -min 1.0000 -clock [get_clocks {mclk}] -add_delay [get_ports {user_irq[1]}]
set_output_delay -min 1.0000 -clock [get_clocks {mclk}] -add_delay [get_ports {user_irq[2]}]
+
+# Set max delay for clock skew
+set_max_delay 3.5 -from [get_ports {wbd_clk_int}]
+set_max_delay 2.5 -from wbd_clk_int -to wbd_clk_glbl
+
+set_case_analysis 0 [get_ports {cfg_cska_glbl[0]}]
+set_case_analysis 0 [get_ports {cfg_cska_glbl[1]}]
+set_case_analysis 0 [get_ports {cfg_cska_glbl[2]}]
+set_case_analysis 0 [get_ports {cfg_cska_glbl[3]}]
+
+
###############################################################################
# Environment
###############################################################################
diff --git a/openlane/glbl_cfg/config.tcl b/openlane/glbl_cfg/config.tcl
index f3ea63e..e18e61c 100755
--- a/openlane/glbl_cfg/config.tcl
+++ b/openlane/glbl_cfg/config.tcl
@@ -87,6 +87,8 @@
set ::env(DIODE_INSERTION_STRATEGY) 4
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "1"
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
set ::env(QUIT_ON_MAGIC_DRC) "0"
set ::env(QUIT_ON_LVS_ERROR) "0"
diff --git a/openlane/glbl_cfg/interactive.tcl b/openlane/glbl_cfg/interactive.tcl
new file mode 100644
index 0000000..f792438
--- /dev/null
+++ b/openlane/glbl_cfg/interactive.tcl
@@ -0,0 +1,513 @@
+#!/usr/bin/tclsh
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+# Copyright 2020 Efabless Corporation
+# Copyright 2020 Sylvain Munaut
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+package require openlane;
+
+
+proc run_resizer_design {args} {
+ if { $::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) == 1} {
+ puts_info "Running Resizer Design Optimizations..."
+ TIMER::timer_start
+ set ::env(SAVE_DEF) [index_file $::env(resizer_tmp_file_tag).def 0]
+ set ::env(SAVE_SDC) [index_file $::env(resizer_tmp_file_tag).sdc 0]
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_resizer.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(resizer_log_file_tag)_design_optimization.log 0]
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(resizer_log_file_tag)_design_optimization_runtime.txt 0]
+
+ write_verilog $::env(yosys_result_file_tag)_optimized.v
+ set_netlist $::env(yosys_result_file_tag)_optimized.v
+
+ if { $::env(LEC_ENABLE) && [file exists $::env(PREV_NETLIST)] } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ set output_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta 0]
+ set runtime_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta_runtime.txt 0]
+ run_sta -placement_parasitics -output_log $output_log -runtime_log $runtime_log
+ } else {
+ puts_info "Skipping Resizer Timing Optimizations."
+ }
+}
+
+
+proc run_placement {args} {
+ puts_info "Running Placement..."
+# |----------------------------------------------------|
+# |---------------- 3. PLACEMENT ------------------|
+# |----------------------------------------------------|
+ set ::env(CURRENT_STAGE) placement
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set old_pl_target_density $::env(PL_TARGET_DENSITY)
+ set ::env(PL_TARGET_DENSITY) $::env(PL_TARGET_DENSITY_CELLS)
+ }
+
+ if { $::env(PL_RANDOM_GLB_PLACEMENT) } {
+ # useful for very tiny designs
+ random_global_placement
+ } else {
+ global_placement_or
+ }
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set ::env(PL_TARGET_DENSITY) $old_pl_target_density
+ }
+
+ run_resizer_design
+ detailed_placement_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+}
+
+
+proc run_placement_step {args} {
+ # set pdndef_dirname [file dirname $::env(pdn_tmp_file_tag).def]
+ # set pdndef [lindex [glob $pdndef_dirname/*pdn*] 0]
+ # set_def $pdndef
+ puts "\[INFO\]: Running Placement Step"
+ if { ! [ info exists ::env(PLACEMENT_CURRENT_DEF) ] } {
+ set ::env(PLACEMENT_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(PLACEMENT_CURRENT_DEF)
+ }
+
+ run_placement
+}
+
+
+proc run_cts {args} {
+ if { ! [info exists ::env(CLOCK_PORT)] && ! [info exists ::env(CLOCK_NET)] } {
+ puts_info "::env(CLOCK_PORT) is not set"
+ puts_warn "Skipping CTS..."
+ set ::env(CLOCK_TREE_SYNTH) 0
+ }
+
+ if {$::env(CLOCK_TREE_SYNTH) && !$::env(RUN_SIMPLE_CTS)} {
+ puts_info "Running TritonCTS..."
+ set ::env(CURRENT_STAGE) cts
+ TIMER::timer_start
+
+ if { ! [info exists ::env(CLOCK_NET)] } {
+ set ::env(CLOCK_NET) $::env(CLOCK_PORT)
+ }
+
+ set ::env(SAVE_DEF) $::env(cts_result_file_tag).def
+ set ::env(SAVE_SDC) $::env(cts_result_file_tag).sdc
+ set report_tag_holder $::env(cts_report_file_tag)
+ set ::env(cts_report_file_tag) [ index_file $::env(cts_report_file_tag) ]
+ # trim the lib to exclude cells with drc errors
+ if { ! [info exists ::env(LIB_CTS) ] } {
+ set ::env(LIB_CTS) $::env(TMP_DIR)/cts.lib
+ trim_lib -input $::env(LIB_SYNTH_COMPLETE) -output $::env(LIB_CTS) -drc_exclude_only
+ }
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_cts.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(cts_log_file_tag).log 0]
+ check_cts_clock_nets
+ set ::env(cts_report_file_tag) $report_tag_holder
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(cts_log_file_tag)_runtime.txt 0]
+
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+ write_verilog $::env(yosys_result_file_tag)_cts.v
+ set_netlist $::env(yosys_result_file_tag)_cts.v
+ if { $::env(LEC_ENABLE) } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ } elseif { $::env(RUN_SIMPLE_CTS) } {
+ exec echo "Simple CTS was run earlier." >> [index_file $::env(cts_log_file_tag).log]
+ } else {
+ exec echo "SKIPPED!" >> [index_file $::env(cts_log_file_tag).log]
+ }
+
+}
+
+
+proc run_cts_step {args} {
+ # set_def $::env(opendp_result_file_tag).def
+ puts "\[INFO\]: Running CTS"
+ if { ! [ info exists ::env(CTS_CURRENT_DEF) ] } {
+ set ::env(CTS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(CTS_CURRENT_DEF)
+ }
+
+ run_cts
+ run_resizer_timing
+}
+
+proc run_routing_step {args} {
+ # set resizerdef_dirname [file dirname $::env(resizer_tmp_file_tag)_timing.def]
+ # set resizerdef [lindex [glob $resizerdef_dirname/*resizer*] 0]
+ # set_def $resizerdef
+ puts "\[INFO\]: Running Routing"
+ if { ! [ info exists ::env(ROUTING_CURRENT_DEF) ] } {
+ set ::env(ROUTING_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ROUTING_CURRENT_DEF)
+ }
+ run_routing
+}
+
+proc run_diode_insertion_2_5_step {args} {
+ puts "\[INFO\]: Running Diode Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(DIODE_INSERTION_CURRENT_DEF) ] } {
+ set ::env(DIODE_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DIODE_INSERTION_CURRENT_DEF)
+ }
+ if { ($::env(DIODE_INSERTION_STRATEGY) == 2) || ($::env(DIODE_INSERTION_STRATEGY) == 5) } {
+ run_antenna_check
+ heal_antenna_violators; # modifies the routed DEF
+ }
+
+}
+
+proc run_power_pins_insertion_step {args} {
+ puts "\[INFO\]:Running Power Pin Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(POWER_PINS_INSERTION_CURRENT_DEF) ] } {
+ set ::env(POWER_PINS_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(POWER_PINS_INSERTION_CURRENT_DEF)
+ }
+ if { $::env(LVS_INSERT_POWER_PINS) } {
+ write_powered_verilog
+ set_netlist $::env(lvs_result_file_tag).powered.v
+ }
+
+}
+
+proc run_lvs_step {{ lvs_enabled 1 }} {
+ # set_def $::env(tritonRoute_result_file_tag).def
+ puts "\[INFO\]:Running LVS Step"
+ if { ! [ info exists ::env(LVS_CURRENT_DEF) ] } {
+ set ::env(LVS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(LVS_CURRENT_DEF)
+ }
+ if { $lvs_enabled } {
+ run_magic_spice_export
+ run_lvs; # requires run_magic_spice_export
+ }
+
+}
+
+proc run_drc_step {{ drc_enabled 1 }} {
+ puts "\[INFO\]:Running DRC"
+ if { ! [ info exists ::env(DRC_CURRENT_DEF) ] } {
+ set ::env(DRC_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DRC_CURRENT_DEF)
+ }
+ if { $drc_enabled } {
+ run_magic_drc
+ run_klayout_drc
+ }
+}
+
+proc run_antenna_check_step {{ antenna_check_enabled 1 }} {
+ puts "\[INFO\]: Running Antenna checl"
+ if { ! [ info exists ::env(ANTENNA_CHECK_CURRENT_DEF) ] } {
+ set ::env(ANTENNA_CHECK_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ANTENNA_CHECK_CURRENT_DEF)
+ }
+ if { $antenna_check_enabled } {
+ run_antenna_check
+ }
+}
+
+proc gen_pdn_new {args} {
+ puts_info "Generating PDN..."
+ TIMER::timer_start
+
+ set ::env(SAVE_DEF) [index_file $::env(pdn_tmp_file_tag).def]
+ set ::env(PGA_RPT_FILE) [index_file $::env(pdn_report_file_tag).pga.rpt]
+
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_pdn.tcl \
+ |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(pdn_log_file_tag).log 0]
+
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(pdn_log_file_tag)_runtime.txt 0]
+
+ quit_on_unconnected_pdn_nodes
+
+ set_def $::env(SAVE_DEF)
+}
+
+proc run_power_grid_generation_new {args} {
+ if { [info exists ::env(VDD_NETS)] || [info exists ::env(GND_NETS)] } {
+ # they both must exist and be equal in length
+ # current assumption: they cannot have a common ground
+ if { ! [info exists ::env(VDD_NETS)] || ! [info exists ::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must *both* either be defined or undefined"
+ return -code error
+ }
+ # standard cell power and ground nets are assumed to be the first net
+ set ::env(VDD_PIN) [lindex $::env(VDD_NETS) 0]
+ set ::env(GND_PIN) [lindex $::env(GND_NETS) 0]
+ } elseif { [info exists ::env(SYNTH_USE_PG_PINS_DEFINES)] } {
+ set ::env(VDD_NETS) [list]
+ set ::env(GND_NETS) [list]
+ # get the pins that are in $yosys_tmp_file_tag.pg_define.v
+ # that are not in $yosys_result_file_tag.v
+ #
+ set full_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_tmp_file_tag).pg_define.v]
+ puts_info $full_pins
+
+ set non_pg_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_result_file_tag).v]
+ puts_info $non_pg_pins
+
+ # assumes the pins are ordered correctly (e.g., vdd1, vss1, vcc1, vss1, ...)
+ foreach {vdd gnd} $full_pins {
+ if { $vdd ne "" && $vdd ni $non_pg_pins } {
+ lappend ::env(VDD_NETS) $vdd
+ }
+ if { $gnd ne "" && $gnd ni $non_pg_pins } {
+ lappend ::env(GND_NETS) $gnd
+ }
+ }
+ } else {
+ set ::env(VDD_NETS) $::env(VDD_PIN)
+ set ::env(GND_NETS) $::env(GND_PIN)
+ }
+
+ puts_info "Power planning the following nets"
+ puts_info "Power: $::env(VDD_NETS)"
+ puts_info "Ground: $::env(GND_NETS)"
+
+ if { [llength $::env(VDD_NETS)] != [llength $::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must be of equal lengths"
+ return -code error
+ }
+
+ # internal macros power connections
+ if {[info exists ::env(FP_PDN_MACRO_HOOKS)]} {
+ set macro_hooks [dict create]
+ set pdn_hooks [split $::env(FP_PDN_MACRO_HOOKS) ","]
+ foreach pdn_hook $pdn_hooks {
+ set instance_name [lindex $pdn_hook 0]
+ set power_net [lindex $pdn_hook 1]
+ set ground_net [lindex $pdn_hook 2]
+ dict append macro_hooks $instance_name [subst {$power_net $ground_net}]
+ }
+
+ set power_net_indx [lsearch $::env(VDD_NETS) $power_net]
+ set ground_net_indx [lsearch $::env(GND_NETS) $ground_net]
+
+ # make sure that the specified power domains exist.
+ if { $power_net_indx == -1 || $ground_net_indx == -1 || $power_net_indx != $ground_net_indx } {
+ puts_err "Can't find $power_net and $ground_net domain. \
+ Make sure that both exist in $::env(VDD_NETS) and $::env(GND_NETS)."
+ }
+ }
+
+ # generate multiple power grids per pair of (VDD,GND)
+ # offseted by WIDTH + SPACING
+ foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) {
+ set ::env(VDD_NET) $vdd
+ set ::env(GND_NET) $gnd
+ puts "\[INFO\]: Processing Power Nets: $vdd and $gnd."
+
+ # internal macros power connections
+ set ::env(FP_PDN_MACROS) ""
+ if { $::env(FP_PDN_ENABLE_MACROS_GRID) == 1 } {
+ # if macros connections to power are explicitly set
+ # default behavoir macro pins will be connected to the first power domain
+ if { [info exists ::env(FP_PDN_MACRO_HOOKS)] } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+ foreach {instance_name hooks} $macro_hooks {
+ set power [lindex $hooks 0]
+ set ground [lindex $hooks 1]
+ if { $power == $::env(VDD_NET) && $ground == $::env(GND_NET) } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 1
+ puts_info "Connecting $instance_name to $power and $ground nets."
+ lappend ::env(FP_PDN_MACROS) $instance_name
+ }
+ }
+ }
+ puts "\[INFO\]: FP_PDN_MACROS: $::env(FP_PDN_MACROS) ."
+ } else {
+ puts_warn "All internal macros will not be connected to power."
+ }
+
+ gen_pdn_new
+
+ set ::env(FP_PDN_ENABLE_RAILS) 0
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+
+ # allow failure until open_pdks is up to date...
+ catch {set ::env(FP_PDN_VOFFSET) [expr $::env(FP_PDN_VOFFSET)+$::env(FP_PDN_VWIDTH)+$::env(FP_PDN_VSPACING)]}
+ catch {set ::env(FP_PDN_HOFFSET) [expr $::env(FP_PDN_HOFFSET)+$::env(FP_PDN_HWIDTH)+$::env(FP_PDN_HSPACING)]}
+
+ catch {set ::env(FP_PDN_CORE_RING_VOFFSET) \
+ [expr $::env(FP_PDN_CORE_RING_VOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_VWIDTH)\
+ +max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ catch {set ::env(FP_PDN_CORE_RING_HOFFSET) [expr $::env(FP_PDN_CORE_RING_HOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_HWIDTH)+\
+ max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ }
+ set ::env(FP_PDN_ENABLE_RAILS) 1
+}
+
+proc run_floorplan_new {args} {
+ puts_info "Running Floorplanning..."
+ # |----------------------------------------------------|
+ # |---------------- 2. FLOORPLAN ------------------|
+ # |----------------------------------------------------|
+ #
+ # intial fp
+ init_floorplan
+
+
+ # place io
+ if { [info exists ::env(FP_PIN_ORDER_CFG)] } {
+ place_io_ol
+ } else {
+ if { [info exists ::env(FP_CONTEXT_DEF)] && [info exists ::env(FP_CONTEXT_LEF)] } {
+ place_io
+ global_placement_or
+ place_contextualized_io \
+ -lef $::env(FP_CONTEXT_LEF) \
+ -def $::env(FP_CONTEXT_DEF)
+ } else {
+ place_io
+ }
+ }
+
+ apply_def_template
+
+ if { [info exist ::env(EXTRA_LEFS)] } {
+ if { [info exist ::env(MACRO_PLACEMENT_CFG)] } {
+ file copy -force $::env(MACRO_PLACEMENT_CFG) $::env(TMP_DIR)/macro_placement.cfg
+ manual_macro_placement f
+ } else {
+ global_placement_or
+ basic_macro_placement
+ }
+ }
+
+ # tapcell
+ tap_decap_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ # power grid generation
+ run_power_grid_generation_new
+}
+
+
+proc run_flow {args} {
+ set script_dir [file dirname [file normalize [info script]]]
+
+ set options {
+ {-design required}
+ {-save_path optional}
+ {-no_lvs optional}
+ {-no_drc optional}
+ {-no_antennacheck optional}
+ }
+ set flags {-save}
+ parse_key_args "run_flow" args arg_values $options flags_map $flags -no_consume
+
+ prep {*}$args
+
+ set LVS_ENABLED 1
+ set DRC_ENABLED 1
+ set ANTENNACHECK_ENABLED 1
+
+ set steps [dict create "synthesis" {run_synthesis "" } \
+ "floorplan" {run_floorplan ""} \
+ "placement" {run_placement_step ""} \
+ "cts" {run_cts_step ""} \
+ "routing" {run_routing_step ""} \
+ "diode_insertion" {run_diode_insertion_2_5_step ""} \
+ "power_pins_insertion" {run_power_pins_insertion_step ""} \
+ "gds_magic" {run_magic ""} \
+ "gds_drc_klayout" {run_klayout ""} \
+ "gds_xor_klayout" {run_klayout_gds_xor ""} \
+ "lvs" "run_lvs_step $LVS_ENABLED" \
+ "drc" "run_drc_step $DRC_ENABLED" \
+ "antenna_check" "run_antenna_check_step $ANTENNACHECK_ENABLED" \
+ "cvc" {run_lef_cvc}
+ ]
+
+ set_if_unset arg_values(-to) "cvc";
+
+ if { [info exists ::env(CURRENT_STEP) ] } {
+ puts "\[INFO\]:Picking up where last execution left off"
+ puts [format "\[INFO\]:Current stage is %s " $::env(CURRENT_STEP)]
+ } else {
+ set ::env(CURRENT_STEP) "synthesis";
+ }
+ set_if_unset arg_values(-from) $::env(CURRENT_STEP);
+ set exe 0;
+ dict for {step_name step_exe} $steps {
+ if { [ string equal $arg_values(-from) $step_name ] } {
+ set exe 1;
+ }
+
+ if { $exe } {
+ # For when it fails
+ set ::env(CURRENT_STEP) $step_name
+ [lindex $step_exe 0] [lindex $step_exe 1] ;
+ }
+
+ if { [ string equal $arg_values(-to) $step_name ] } {
+ set exe 0:
+ break;
+ }
+
+ }
+
+ # for when it resumes
+ set steps_as_list [dict keys $steps]
+ set next_idx [expr [lsearch $steps_as_list $::env(CURRENT_STEP)] + 1]
+ set ::env(CURRENT_STEP) [lindex $steps_as_list $next_idx]
+
+ if { [info exists flags_map(-save) ] } {
+ if { ! [info exists arg_values(-save_path)] } {
+ set arg_values(-save_path) ""
+ }
+ save_views -lef_path $::env(magic_result_file_tag).lef \
+ -def_path $::env(CURRENT_DEF) \
+ -gds_path $::env(magic_result_file_tag).gds \
+ -mag_path $::env(magic_result_file_tag).mag \
+ -maglef_path $::env(magic_result_file_tag).lef.mag \
+ -spice_path $::env(magic_result_file_tag).spice \
+ -spef_path $::env(CURRENT_SPEF) \
+ -verilog_path $::env(CURRENT_NETLIST) \
+ -save_path $arg_values(-save_path) \
+ -tag $::env(RUN_TAG)
+ }
+
+
+ calc_total_runtime
+ save_state
+ generate_final_summary_report
+
+ check_timing_violations
+
+ puts_success "Flow Completed Without Fatal Errors."
+
+}
+
+run_flow {*}$argv
diff --git a/openlane/mbist1/base.sdc b/openlane/mbist1/base.sdc
index 4689f62..5af1849 100644
--- a/openlane/mbist1/base.sdc
+++ b/openlane/mbist1/base.sdc
@@ -104,6 +104,13 @@
set_output_delay -min -0.5 -clock [get_clocks {bist_mem_clk_b}] -add_delay [get_ports {mem_web_b}]
set_output_delay -min -0.5 -clock [get_clocks {bist_mem_clk_b}] -add_delay [get_ports {mem_addr_b[*]}]
+
+# Set max delay for clock skew
+
+set_max_delay 3.5 -from [get_ports {wbd_clk_int}]
+set_max_delay 2 -to [get_ports {wbd_clk_mbist}]
+set_max_delay 3.5 -from wbd_clk_int -to wbd_clk_mbist
+
###############################################################################
# Environment
###############################################################################
diff --git a/openlane/mbist1/config.tcl b/openlane/mbist1/config.tcl
index 472b336..b9b2f13 100755
--- a/openlane/mbist1/config.tcl
+++ b/openlane/mbist1/config.tcl
@@ -26,7 +26,7 @@
# Timing configuration
set ::env(CLOCK_PERIOD) "8"
-set ::env(CLOCK_PORT) "wb_clk_i u_mem_sel.u_cts_mem_clk_a/X u_mem_sel.u_cts_mem_clk_a/X"
+set ::env(CLOCK_PORT) "u_cts_wb_clk_b1/X u_cts_wb_clk_b2/X u_mem_sel.u_cts_mem_clk_a/X u_mem_sel.u_cts_mem_clk_a/X"
set ::env(SYNTH_MAX_FANOUT) 4
@@ -107,6 +107,6 @@
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
-set ::env(QUIT_ON_MAGIC_DRC) "0"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
set ::env(QUIT_ON_LVS_ERROR) "0"
set ::env(QUIT_ON_SLEW_VIOLATIONS) "0"
diff --git a/openlane/mbist2/base.sdc b/openlane/mbist2/base.sdc
index 4689f62..f62bc04 100644
--- a/openlane/mbist2/base.sdc
+++ b/openlane/mbist2/base.sdc
@@ -104,6 +104,11 @@
set_output_delay -min -0.5 -clock [get_clocks {bist_mem_clk_b}] -add_delay [get_ports {mem_web_b}]
set_output_delay -min -0.5 -clock [get_clocks {bist_mem_clk_b}] -add_delay [get_ports {mem_addr_b[*]}]
+# Set max delay for clock skew
+set_max_delay 3.5 -from [get_ports {wbd_clk_int}]
+set_max_delay 2 -to [get_ports {wbd_clk_mbist}]
+set_max_delay 3.5 -from wbd_clk_int -to wbd_clk_mbist
+
###############################################################################
# Environment
###############################################################################
diff --git a/openlane/mbist2/config.tcl b/openlane/mbist2/config.tcl
index 178f02a..534ec62 100755
--- a/openlane/mbist2/config.tcl
+++ b/openlane/mbist2/config.tcl
@@ -26,7 +26,7 @@
# Timing configuration
set ::env(CLOCK_PERIOD) "8"
-set ::env(CLOCK_PORT) "wb_clk_i u_mem_sel.u_cts_mem_clk_a/X u_mem_sel.u_cts_mem_clk_a/X"
+set ::env(CLOCK_PORT) "u_cts_wb_clk_b1/X u_cts_wb_clk_b2/X u_mem_sel.u_cts_mem_clk_a/X u_mem_sel.u_cts_mem_clk_a/X"
set ::env(SYNTH_MAX_FANOUT) 4
@@ -107,6 +107,6 @@
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
-set ::env(QUIT_ON_MAGIC_DRC) "0"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
set ::env(QUIT_ON_LVS_ERROR) "0"
set ::env(QUIT_ON_SLEW_VIOLATIONS) "0"
diff --git a/openlane/user_project_wrapper/base.sdc b/openlane/user_project_wrapper/base.sdc
index 9e367c7..689e7e8 100644
--- a/openlane/user_project_wrapper/base.sdc
+++ b/openlane/user_project_wrapper/base.sdc
@@ -69,147 +69,17 @@
set_input_delay 2.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wb_rst_i}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[0]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[10]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[11]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[12]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[13]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[14]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[15]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[16]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[17]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[18]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[19]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[1]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[20]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[21]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[22]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[23]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[24]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[25]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[26]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[27]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[28]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[29]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[2]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[30]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[31]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[3]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[4]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[5]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[6]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[7]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[8]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[9]}]
+set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[*]}]
set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_cyc_i}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[0]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[10]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[11]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[12]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[13]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[14]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[15]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[16]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[17]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[18]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[19]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[1]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[20]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[21]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[22]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[23]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[24]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[25]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[26]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[27]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[28]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[29]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[2]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[30]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[31]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[3]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[4]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[5]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[6]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[7]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[8]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[9]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[0]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[1]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[2]}]
-set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[3]}]
+set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[*]}]
+set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[*]}]
set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_we_i}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[0]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[10]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[11]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[12]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[13]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[14]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[15]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[16]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[17]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[18]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[19]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[1]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[20]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[21]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[22]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[23]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[24]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[25]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[26]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[27]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[28]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[29]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[2]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[30]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[31]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[3]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[4]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[5]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[6]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[7]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[8]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[9]}]
+set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_adr_i[*]}]
set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_cyc_i}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[0]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[10]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[11]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[12]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[13]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[14]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[15]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[16]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[17]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[18]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[19]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[1]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[20]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[21]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[22]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[23]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[24]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[25]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[26]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[27]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[28]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[29]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[2]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[30]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[31]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[3]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[4]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[5]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[6]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[7]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[8]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[9]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[0]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[1]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[2]}]
-set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[3]}]
+set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_dat_i[*]}]
+set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_sel_i[*]}]
set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbs_we_i}]
@@ -952,40 +822,75 @@
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
## Case analysis
-set_case_analysis 1 [get_pins {u_intercon/cfg_cska_wi[0]}]
-set_case_analysis 1 [get_pins {u_intercon/cfg_cska_wi[1]}]
+set_case_analysis 0 [get_pins {u_intercon/cfg_cska_wi[0]}]
+set_case_analysis 0 [get_pins {u_intercon/cfg_cska_wi[1]}]
set_case_analysis 0 [get_pins {u_intercon/cfg_cska_wi[2]}]
set_case_analysis 1 [get_pins {u_intercon/cfg_cska_wi[3]}]
-set_case_analysis 0 [get_pins {u_glbl/cfg_cska_glbl[0]}]
-set_case_analysis 0 [get_pins {u_glbl/cfg_cska_glbl[1]}]
-set_case_analysis 0 [get_pins {u_glbl/cfg_cska_glbl[2]}]
-set_case_analysis 1 [get_pins {u_glbl/cfg_cska_glbl[3]}]
+set_case_analysis 1 [get_pins {u_glbl/cfg_cska_glbl[0]}]
+set_case_analysis 1 [get_pins {u_glbl/cfg_cska_glbl[1]}]
+set_case_analysis 1 [get_pins {u_glbl/cfg_cska_glbl[2]}]
+set_case_analysis 0 [get_pins {u_glbl/cfg_cska_glbl[3]}]
-set_case_analysis 0 [get_pins {u_mbist1/cfg_cska_mbist[0]}]
-set_case_analysis 0 [get_pins {u_mbist1/cfg_cska_mbist[1]}]
-set_case_analysis 0 [get_pins {u_mbist1/cfg_cska_mbist[2]}]
-set_case_analysis 1 [get_pins {u_mbist1/cfg_cska_mbist[3]}]
+set_case_analysis 1 [get_pins {u_mbist1/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist1/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist1/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist1/cfg_cska_mbist[3]}]
-set_case_analysis 0 [get_pins {u_mbist2/cfg_cska_mbist[0]}]
-set_case_analysis 0 [get_pins {u_mbist2/cfg_cska_mbist[1]}]
-set_case_analysis 0 [get_pins {u_mbist2/cfg_cska_mbist[2]}]
-set_case_analysis 1 [get_pins {u_mbist2/cfg_cska_mbist[3]}]
+set_case_analysis 1 [get_pins {u_mbist2/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist2/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist2/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist2/cfg_cska_mbist[3]}]
-set_case_analysis 0 [get_pins {u_mbist3/cfg_cska_mbist[0]}]
-set_case_analysis 0 [get_pins {u_mbist3/cfg_cska_mbist[1]}]
-set_case_analysis 0 [get_pins {u_mbist3/cfg_cska_mbist[2]}]
-set_case_analysis 1 [get_pins {u_mbist3/cfg_cska_mbist[3]}]
+set_case_analysis 1 [get_pins {u_mbist3/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist3/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist3/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist3/cfg_cska_mbist[3]}]
-set_case_analysis 0 [get_pins {u_mbist4/cfg_cska_mbist[0]}]
-set_case_analysis 0 [get_pins {u_mbist4/cfg_cska_mbist[1]}]
-set_case_analysis 0 [get_pins {u_mbist4/cfg_cska_mbist[2]}]
-set_case_analysis 1 [get_pins {u_mbist4/cfg_cska_mbist[3]}]
+set_case_analysis 1 [get_pins {u_mbist4/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist4/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist4/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist4/cfg_cska_mbist[3]}]
-set_case_analysis 1 [get_pins {u_wb_host/cfg_cska_wh[0]}]
-set_case_analysis 0 [get_pins {u_wb_host/cfg_cska_wh[1]}]
+set_case_analysis 1 [get_pins {u_mbist5/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist5/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist5/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist5/cfg_cska_mbist[3]}]
+
+set_case_analysis 1 [get_pins {u_mbist6/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist6/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist6/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist6/cfg_cska_mbist[3]}]
+
+set_case_analysis 1 [get_pins {u_mbist7/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist7/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist7/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist7/cfg_cska_mbist[3]}]
+
+set_case_analysis 1 [get_pins {u_mbist8/cfg_cska_mbist[0]}]
+set_case_analysis 1 [get_pins {u_mbist8/cfg_cska_mbist[1]}]
+set_case_analysis 1 [get_pins {u_mbist8/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {u_mbist8/cfg_cska_mbist[3]}]
+
+set_case_analysis 0 [get_pins {u_wb_host/cfg_cska_wh[0]}]
+set_case_analysis 1 [get_pins {u_wb_host/cfg_cska_wh[1]}]
set_case_analysis 1 [get_pins {u_wb_host/cfg_cska_wh[2]}]
set_case_analysis 0 [get_pins {u_wb_host/cfg_cska_wh[3]}]
#disable clock gating check at static clock select pins
set_false_path -through [get_pins u_wb_host/u_wbs_clk_sel.u_mux/S]
+
+#Strobe is registered inside the wb_host before generating chip select
+# So wbm_adr_i wbm_we_i wbm_sel_i wbm_dat_i are having 2 cycle setup
+
+set_multicycle_path -setup -from [get_ports {wbs_adr_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbs_cyc_i}] 2
+set_multicycle_path -setup -from [get_ports {wbs_dat_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbs_sel_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbs_we_i}] 2
+
+set_multicycle_path -hold -from [get_ports {wbs_adr_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbs_cyc_i}] 2
+set_multicycle_path -hold -from [get_ports {wbs_dat_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbs_sel_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbs_we_i}] 2
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index b5ca3fb..1d56895 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -8,6 +8,7 @@
###############################################################################
create_clock -name wbm_clk_i -period 10.0000 [get_ports {wbm_clk_i}]
create_clock -name mem_clk -period 10.0000 [get_ports {mem_clk}]
+
set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.1500
set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.2000
set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.1500
@@ -27,151 +28,44 @@
set_clock_groups -name async_clock -asynchronous \
-group [get_clocks {mem_clk}]\
-group [get_clocks {wbm_clk_i}] -comment {Async Clock group}
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[0]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[10]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[11]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[12]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[13]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[14]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[15]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[16]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[17]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[18]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[19]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[1]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[20]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[21]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[22]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[23]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[24]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[25]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[26]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[27]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[28]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[29]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[2]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[30]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[31]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[3]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[4]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[5]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[6]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[7]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[8]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[9]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_cyc_i}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[0]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[10]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[11]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[12]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[13]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[14]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[15]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[16]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[17]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[18]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[19]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[1]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[20]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[21]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[22]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[23]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[24]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[25]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[26]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[27]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[28]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[29]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[2]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[30]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[31]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[3]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[4]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[5]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[6]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[7]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[8]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[9]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_rst_i}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[0]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[1]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[2]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[3]}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_stb_i}]
-set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_we_i}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[0]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[10]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[11]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[12]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[13]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[14]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[15]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[16]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[17]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[18]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[19]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[1]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[20]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[21]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[22]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[23]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[24]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[25]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[26]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[27]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[28]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[29]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[2]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[30]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[31]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[3]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[4]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[5]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[6]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[7]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[8]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[9]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_cyc_i}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[0]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[10]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[11]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[12]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[13]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[14]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[15]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[16]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[17]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[18]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[19]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[1]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[20]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[21]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[22]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[23]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[24]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[25]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[26]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[27]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[28]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[29]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[2]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[30]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[31]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[3]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[4]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[5]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[6]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[7]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[8]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[9]}]
+set_case_analysis 0 [get_ports {cfg_cska_wh[0]}]
+set_case_analysis 0 [get_ports {cfg_cska_wh[1]}]
+set_case_analysis 0 [get_ports {cfg_cska_wh[2]}]
+set_case_analysis 0 [get_ports {cfg_cska_wh[3]}]
+
+#disable clock gating check at static clock select pins
+set_false_path -through [get_pins u_wbs_clk_sel.u_mux/S]
+
+#Static Signal Clock Skew adjustment
+set_false_path -from [get_ports {cfg_cska_wh[0]}]
+set_false_path -from [get_ports {cfg_cska_wh[1]}]
+set_false_path -from [get_ports {cfg_cska_wh[2]}]
+set_false_path -from [get_ports {cfg_cska_wh[3]}]
+set_max_delay 3.5 -from [get_ports {wbd_clk_int}]
+set_max_delay 2 -to [get_ports {wbd_clk_wh}]
+set_max_delay 3.5 -from wbd_clk_int -to wbd_clk_mbist
+
+#Strobe is registered inside the wb_host before generating chip select
+# So wbm_adr_i wbm_we_i wbm_sel_i wbm_dat_i are having 2 cycle setup
+set_multicycle_path -setup -from [get_ports {wbm_adr_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbm_cyc_i}] 2
+set_multicycle_path -setup -from [get_ports {wbm_dat_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbm_sel_i[*]}] 2
+set_multicycle_path -setup -from [get_ports {wbm_we_i}] 2
+
+set_multicycle_path -hold -from [get_ports {wbm_adr_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbm_cyc_i}] 2
+set_multicycle_path -hold -from [get_ports {wbm_dat_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbm_sel_i[*]}] 2
+set_multicycle_path -hold -from [get_ports {wbm_we_i}] 2
+
+#
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_rst_i}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_stb_i}]
+
set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_rst_i}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[0]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[1]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[2]}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[3]}]
set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_stb_i}]
-set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_we_i}]
set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_ack_o}]
set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[0]}]
@@ -352,6 +246,7 @@
set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[2]}]
set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[1]}]
set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[0]}]
+
###############################################################################
# Environment
###############################################################################
@@ -780,3 +675,5 @@
###############################################################################
# Design Rules
###############################################################################
+
+
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index bd4757d..d131ae9 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -86,7 +86,10 @@
set ::env(DIODE_INSERTION_STRATEGY) 4
-
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "1"
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "1"
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) "1"
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
set ::env(QUIT_ON_MAGIC_DRC) "1"
set ::env(QUIT_ON_LVS_ERROR) "0"
diff --git a/openlane/wb_host/interactive.tcl b/openlane/wb_host/interactive.tcl
new file mode 100644
index 0000000..f792438
--- /dev/null
+++ b/openlane/wb_host/interactive.tcl
@@ -0,0 +1,513 @@
+#!/usr/bin/tclsh
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+# Copyright 2020 Efabless Corporation
+# Copyright 2020 Sylvain Munaut
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+package require openlane;
+
+
+proc run_resizer_design {args} {
+ if { $::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) == 1} {
+ puts_info "Running Resizer Design Optimizations..."
+ TIMER::timer_start
+ set ::env(SAVE_DEF) [index_file $::env(resizer_tmp_file_tag).def 0]
+ set ::env(SAVE_SDC) [index_file $::env(resizer_tmp_file_tag).sdc 0]
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_resizer.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(resizer_log_file_tag)_design_optimization.log 0]
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(resizer_log_file_tag)_design_optimization_runtime.txt 0]
+
+ write_verilog $::env(yosys_result_file_tag)_optimized.v
+ set_netlist $::env(yosys_result_file_tag)_optimized.v
+
+ if { $::env(LEC_ENABLE) && [file exists $::env(PREV_NETLIST)] } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ set output_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta 0]
+ set runtime_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta_runtime.txt 0]
+ run_sta -placement_parasitics -output_log $output_log -runtime_log $runtime_log
+ } else {
+ puts_info "Skipping Resizer Timing Optimizations."
+ }
+}
+
+
+proc run_placement {args} {
+ puts_info "Running Placement..."
+# |----------------------------------------------------|
+# |---------------- 3. PLACEMENT ------------------|
+# |----------------------------------------------------|
+ set ::env(CURRENT_STAGE) placement
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set old_pl_target_density $::env(PL_TARGET_DENSITY)
+ set ::env(PL_TARGET_DENSITY) $::env(PL_TARGET_DENSITY_CELLS)
+ }
+
+ if { $::env(PL_RANDOM_GLB_PLACEMENT) } {
+ # useful for very tiny designs
+ random_global_placement
+ } else {
+ global_placement_or
+ }
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set ::env(PL_TARGET_DENSITY) $old_pl_target_density
+ }
+
+ run_resizer_design
+ detailed_placement_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+}
+
+
+proc run_placement_step {args} {
+ # set pdndef_dirname [file dirname $::env(pdn_tmp_file_tag).def]
+ # set pdndef [lindex [glob $pdndef_dirname/*pdn*] 0]
+ # set_def $pdndef
+ puts "\[INFO\]: Running Placement Step"
+ if { ! [ info exists ::env(PLACEMENT_CURRENT_DEF) ] } {
+ set ::env(PLACEMENT_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(PLACEMENT_CURRENT_DEF)
+ }
+
+ run_placement
+}
+
+
+proc run_cts {args} {
+ if { ! [info exists ::env(CLOCK_PORT)] && ! [info exists ::env(CLOCK_NET)] } {
+ puts_info "::env(CLOCK_PORT) is not set"
+ puts_warn "Skipping CTS..."
+ set ::env(CLOCK_TREE_SYNTH) 0
+ }
+
+ if {$::env(CLOCK_TREE_SYNTH) && !$::env(RUN_SIMPLE_CTS)} {
+ puts_info "Running TritonCTS..."
+ set ::env(CURRENT_STAGE) cts
+ TIMER::timer_start
+
+ if { ! [info exists ::env(CLOCK_NET)] } {
+ set ::env(CLOCK_NET) $::env(CLOCK_PORT)
+ }
+
+ set ::env(SAVE_DEF) $::env(cts_result_file_tag).def
+ set ::env(SAVE_SDC) $::env(cts_result_file_tag).sdc
+ set report_tag_holder $::env(cts_report_file_tag)
+ set ::env(cts_report_file_tag) [ index_file $::env(cts_report_file_tag) ]
+ # trim the lib to exclude cells with drc errors
+ if { ! [info exists ::env(LIB_CTS) ] } {
+ set ::env(LIB_CTS) $::env(TMP_DIR)/cts.lib
+ trim_lib -input $::env(LIB_SYNTH_COMPLETE) -output $::env(LIB_CTS) -drc_exclude_only
+ }
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_cts.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(cts_log_file_tag).log 0]
+ check_cts_clock_nets
+ set ::env(cts_report_file_tag) $report_tag_holder
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(cts_log_file_tag)_runtime.txt 0]
+
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+ write_verilog $::env(yosys_result_file_tag)_cts.v
+ set_netlist $::env(yosys_result_file_tag)_cts.v
+ if { $::env(LEC_ENABLE) } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ } elseif { $::env(RUN_SIMPLE_CTS) } {
+ exec echo "Simple CTS was run earlier." >> [index_file $::env(cts_log_file_tag).log]
+ } else {
+ exec echo "SKIPPED!" >> [index_file $::env(cts_log_file_tag).log]
+ }
+
+}
+
+
+proc run_cts_step {args} {
+ # set_def $::env(opendp_result_file_tag).def
+ puts "\[INFO\]: Running CTS"
+ if { ! [ info exists ::env(CTS_CURRENT_DEF) ] } {
+ set ::env(CTS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(CTS_CURRENT_DEF)
+ }
+
+ run_cts
+ run_resizer_timing
+}
+
+proc run_routing_step {args} {
+ # set resizerdef_dirname [file dirname $::env(resizer_tmp_file_tag)_timing.def]
+ # set resizerdef [lindex [glob $resizerdef_dirname/*resizer*] 0]
+ # set_def $resizerdef
+ puts "\[INFO\]: Running Routing"
+ if { ! [ info exists ::env(ROUTING_CURRENT_DEF) ] } {
+ set ::env(ROUTING_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ROUTING_CURRENT_DEF)
+ }
+ run_routing
+}
+
+proc run_diode_insertion_2_5_step {args} {
+ puts "\[INFO\]: Running Diode Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(DIODE_INSERTION_CURRENT_DEF) ] } {
+ set ::env(DIODE_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DIODE_INSERTION_CURRENT_DEF)
+ }
+ if { ($::env(DIODE_INSERTION_STRATEGY) == 2) || ($::env(DIODE_INSERTION_STRATEGY) == 5) } {
+ run_antenna_check
+ heal_antenna_violators; # modifies the routed DEF
+ }
+
+}
+
+proc run_power_pins_insertion_step {args} {
+ puts "\[INFO\]:Running Power Pin Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(POWER_PINS_INSERTION_CURRENT_DEF) ] } {
+ set ::env(POWER_PINS_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(POWER_PINS_INSERTION_CURRENT_DEF)
+ }
+ if { $::env(LVS_INSERT_POWER_PINS) } {
+ write_powered_verilog
+ set_netlist $::env(lvs_result_file_tag).powered.v
+ }
+
+}
+
+proc run_lvs_step {{ lvs_enabled 1 }} {
+ # set_def $::env(tritonRoute_result_file_tag).def
+ puts "\[INFO\]:Running LVS Step"
+ if { ! [ info exists ::env(LVS_CURRENT_DEF) ] } {
+ set ::env(LVS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(LVS_CURRENT_DEF)
+ }
+ if { $lvs_enabled } {
+ run_magic_spice_export
+ run_lvs; # requires run_magic_spice_export
+ }
+
+}
+
+proc run_drc_step {{ drc_enabled 1 }} {
+ puts "\[INFO\]:Running DRC"
+ if { ! [ info exists ::env(DRC_CURRENT_DEF) ] } {
+ set ::env(DRC_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DRC_CURRENT_DEF)
+ }
+ if { $drc_enabled } {
+ run_magic_drc
+ run_klayout_drc
+ }
+}
+
+proc run_antenna_check_step {{ antenna_check_enabled 1 }} {
+ puts "\[INFO\]: Running Antenna checl"
+ if { ! [ info exists ::env(ANTENNA_CHECK_CURRENT_DEF) ] } {
+ set ::env(ANTENNA_CHECK_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ANTENNA_CHECK_CURRENT_DEF)
+ }
+ if { $antenna_check_enabled } {
+ run_antenna_check
+ }
+}
+
+proc gen_pdn_new {args} {
+ puts_info "Generating PDN..."
+ TIMER::timer_start
+
+ set ::env(SAVE_DEF) [index_file $::env(pdn_tmp_file_tag).def]
+ set ::env(PGA_RPT_FILE) [index_file $::env(pdn_report_file_tag).pga.rpt]
+
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_pdn.tcl \
+ |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(pdn_log_file_tag).log 0]
+
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(pdn_log_file_tag)_runtime.txt 0]
+
+ quit_on_unconnected_pdn_nodes
+
+ set_def $::env(SAVE_DEF)
+}
+
+proc run_power_grid_generation_new {args} {
+ if { [info exists ::env(VDD_NETS)] || [info exists ::env(GND_NETS)] } {
+ # they both must exist and be equal in length
+ # current assumption: they cannot have a common ground
+ if { ! [info exists ::env(VDD_NETS)] || ! [info exists ::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must *both* either be defined or undefined"
+ return -code error
+ }
+ # standard cell power and ground nets are assumed to be the first net
+ set ::env(VDD_PIN) [lindex $::env(VDD_NETS) 0]
+ set ::env(GND_PIN) [lindex $::env(GND_NETS) 0]
+ } elseif { [info exists ::env(SYNTH_USE_PG_PINS_DEFINES)] } {
+ set ::env(VDD_NETS) [list]
+ set ::env(GND_NETS) [list]
+ # get the pins that are in $yosys_tmp_file_tag.pg_define.v
+ # that are not in $yosys_result_file_tag.v
+ #
+ set full_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_tmp_file_tag).pg_define.v]
+ puts_info $full_pins
+
+ set non_pg_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_result_file_tag).v]
+ puts_info $non_pg_pins
+
+ # assumes the pins are ordered correctly (e.g., vdd1, vss1, vcc1, vss1, ...)
+ foreach {vdd gnd} $full_pins {
+ if { $vdd ne "" && $vdd ni $non_pg_pins } {
+ lappend ::env(VDD_NETS) $vdd
+ }
+ if { $gnd ne "" && $gnd ni $non_pg_pins } {
+ lappend ::env(GND_NETS) $gnd
+ }
+ }
+ } else {
+ set ::env(VDD_NETS) $::env(VDD_PIN)
+ set ::env(GND_NETS) $::env(GND_PIN)
+ }
+
+ puts_info "Power planning the following nets"
+ puts_info "Power: $::env(VDD_NETS)"
+ puts_info "Ground: $::env(GND_NETS)"
+
+ if { [llength $::env(VDD_NETS)] != [llength $::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must be of equal lengths"
+ return -code error
+ }
+
+ # internal macros power connections
+ if {[info exists ::env(FP_PDN_MACRO_HOOKS)]} {
+ set macro_hooks [dict create]
+ set pdn_hooks [split $::env(FP_PDN_MACRO_HOOKS) ","]
+ foreach pdn_hook $pdn_hooks {
+ set instance_name [lindex $pdn_hook 0]
+ set power_net [lindex $pdn_hook 1]
+ set ground_net [lindex $pdn_hook 2]
+ dict append macro_hooks $instance_name [subst {$power_net $ground_net}]
+ }
+
+ set power_net_indx [lsearch $::env(VDD_NETS) $power_net]
+ set ground_net_indx [lsearch $::env(GND_NETS) $ground_net]
+
+ # make sure that the specified power domains exist.
+ if { $power_net_indx == -1 || $ground_net_indx == -1 || $power_net_indx != $ground_net_indx } {
+ puts_err "Can't find $power_net and $ground_net domain. \
+ Make sure that both exist in $::env(VDD_NETS) and $::env(GND_NETS)."
+ }
+ }
+
+ # generate multiple power grids per pair of (VDD,GND)
+ # offseted by WIDTH + SPACING
+ foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) {
+ set ::env(VDD_NET) $vdd
+ set ::env(GND_NET) $gnd
+ puts "\[INFO\]: Processing Power Nets: $vdd and $gnd."
+
+ # internal macros power connections
+ set ::env(FP_PDN_MACROS) ""
+ if { $::env(FP_PDN_ENABLE_MACROS_GRID) == 1 } {
+ # if macros connections to power are explicitly set
+ # default behavoir macro pins will be connected to the first power domain
+ if { [info exists ::env(FP_PDN_MACRO_HOOKS)] } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+ foreach {instance_name hooks} $macro_hooks {
+ set power [lindex $hooks 0]
+ set ground [lindex $hooks 1]
+ if { $power == $::env(VDD_NET) && $ground == $::env(GND_NET) } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 1
+ puts_info "Connecting $instance_name to $power and $ground nets."
+ lappend ::env(FP_PDN_MACROS) $instance_name
+ }
+ }
+ }
+ puts "\[INFO\]: FP_PDN_MACROS: $::env(FP_PDN_MACROS) ."
+ } else {
+ puts_warn "All internal macros will not be connected to power."
+ }
+
+ gen_pdn_new
+
+ set ::env(FP_PDN_ENABLE_RAILS) 0
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+
+ # allow failure until open_pdks is up to date...
+ catch {set ::env(FP_PDN_VOFFSET) [expr $::env(FP_PDN_VOFFSET)+$::env(FP_PDN_VWIDTH)+$::env(FP_PDN_VSPACING)]}
+ catch {set ::env(FP_PDN_HOFFSET) [expr $::env(FP_PDN_HOFFSET)+$::env(FP_PDN_HWIDTH)+$::env(FP_PDN_HSPACING)]}
+
+ catch {set ::env(FP_PDN_CORE_RING_VOFFSET) \
+ [expr $::env(FP_PDN_CORE_RING_VOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_VWIDTH)\
+ +max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ catch {set ::env(FP_PDN_CORE_RING_HOFFSET) [expr $::env(FP_PDN_CORE_RING_HOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_HWIDTH)+\
+ max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ }
+ set ::env(FP_PDN_ENABLE_RAILS) 1
+}
+
+proc run_floorplan_new {args} {
+ puts_info "Running Floorplanning..."
+ # |----------------------------------------------------|
+ # |---------------- 2. FLOORPLAN ------------------|
+ # |----------------------------------------------------|
+ #
+ # intial fp
+ init_floorplan
+
+
+ # place io
+ if { [info exists ::env(FP_PIN_ORDER_CFG)] } {
+ place_io_ol
+ } else {
+ if { [info exists ::env(FP_CONTEXT_DEF)] && [info exists ::env(FP_CONTEXT_LEF)] } {
+ place_io
+ global_placement_or
+ place_contextualized_io \
+ -lef $::env(FP_CONTEXT_LEF) \
+ -def $::env(FP_CONTEXT_DEF)
+ } else {
+ place_io
+ }
+ }
+
+ apply_def_template
+
+ if { [info exist ::env(EXTRA_LEFS)] } {
+ if { [info exist ::env(MACRO_PLACEMENT_CFG)] } {
+ file copy -force $::env(MACRO_PLACEMENT_CFG) $::env(TMP_DIR)/macro_placement.cfg
+ manual_macro_placement f
+ } else {
+ global_placement_or
+ basic_macro_placement
+ }
+ }
+
+ # tapcell
+ tap_decap_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ # power grid generation
+ run_power_grid_generation_new
+}
+
+
+proc run_flow {args} {
+ set script_dir [file dirname [file normalize [info script]]]
+
+ set options {
+ {-design required}
+ {-save_path optional}
+ {-no_lvs optional}
+ {-no_drc optional}
+ {-no_antennacheck optional}
+ }
+ set flags {-save}
+ parse_key_args "run_flow" args arg_values $options flags_map $flags -no_consume
+
+ prep {*}$args
+
+ set LVS_ENABLED 1
+ set DRC_ENABLED 1
+ set ANTENNACHECK_ENABLED 1
+
+ set steps [dict create "synthesis" {run_synthesis "" } \
+ "floorplan" {run_floorplan ""} \
+ "placement" {run_placement_step ""} \
+ "cts" {run_cts_step ""} \
+ "routing" {run_routing_step ""} \
+ "diode_insertion" {run_diode_insertion_2_5_step ""} \
+ "power_pins_insertion" {run_power_pins_insertion_step ""} \
+ "gds_magic" {run_magic ""} \
+ "gds_drc_klayout" {run_klayout ""} \
+ "gds_xor_klayout" {run_klayout_gds_xor ""} \
+ "lvs" "run_lvs_step $LVS_ENABLED" \
+ "drc" "run_drc_step $DRC_ENABLED" \
+ "antenna_check" "run_antenna_check_step $ANTENNACHECK_ENABLED" \
+ "cvc" {run_lef_cvc}
+ ]
+
+ set_if_unset arg_values(-to) "cvc";
+
+ if { [info exists ::env(CURRENT_STEP) ] } {
+ puts "\[INFO\]:Picking up where last execution left off"
+ puts [format "\[INFO\]:Current stage is %s " $::env(CURRENT_STEP)]
+ } else {
+ set ::env(CURRENT_STEP) "synthesis";
+ }
+ set_if_unset arg_values(-from) $::env(CURRENT_STEP);
+ set exe 0;
+ dict for {step_name step_exe} $steps {
+ if { [ string equal $arg_values(-from) $step_name ] } {
+ set exe 1;
+ }
+
+ if { $exe } {
+ # For when it fails
+ set ::env(CURRENT_STEP) $step_name
+ [lindex $step_exe 0] [lindex $step_exe 1] ;
+ }
+
+ if { [ string equal $arg_values(-to) $step_name ] } {
+ set exe 0:
+ break;
+ }
+
+ }
+
+ # for when it resumes
+ set steps_as_list [dict keys $steps]
+ set next_idx [expr [lsearch $steps_as_list $::env(CURRENT_STEP)] + 1]
+ set ::env(CURRENT_STEP) [lindex $steps_as_list $next_idx]
+
+ if { [info exists flags_map(-save) ] } {
+ if { ! [info exists arg_values(-save_path)] } {
+ set arg_values(-save_path) ""
+ }
+ save_views -lef_path $::env(magic_result_file_tag).lef \
+ -def_path $::env(CURRENT_DEF) \
+ -gds_path $::env(magic_result_file_tag).gds \
+ -mag_path $::env(magic_result_file_tag).mag \
+ -maglef_path $::env(magic_result_file_tag).lef.mag \
+ -spice_path $::env(magic_result_file_tag).spice \
+ -spef_path $::env(CURRENT_SPEF) \
+ -verilog_path $::env(CURRENT_NETLIST) \
+ -save_path $arg_values(-save_path) \
+ -tag $::env(RUN_TAG)
+ }
+
+
+ calc_total_runtime
+ save_state
+ generate_final_summary_report
+
+ check_timing_violations
+
+ puts_success "Flow Completed Without Fatal Errors."
+
+}
+
+run_flow {*}$argv
diff --git a/openlane/wb_interconnect/base.sdc b/openlane/wb_interconnect/base.sdc
index dafacea..b3b380c 100644
--- a/openlane/wb_interconnect/base.sdc
+++ b/openlane/wb_interconnect/base.sdc
@@ -16,13 +16,22 @@
set_clock_uncertainty -fall_from [get_clocks {clk_i}] -fall_to [get_clocks {clk_i}] -hold 0.1000
set_clock_uncertainty -fall_from [get_clocks {clk_i}] -fall_to [get_clocks {clk_i}] -setup 0.2000
+set_case_analysis 0 [get_ports {cfg_cska_wi[0]}]
+set_case_analysis 0 [get_ports {cfg_cska_wi[1]}]
+set_case_analysis 0 [get_ports {cfg_cska_wi[2]}]
+set_case_analysis 0 [get_ports {cfg_cska_wi[3]}]
+
#Static Signal Clock Skew adjustment
set_false_path -from [get_ports {cfg_cska_wi[0]}]
set_false_path -from [get_ports {cfg_cska_wi[1]}]
set_false_path -from [get_ports {cfg_cska_wi[2]}]
set_false_path -from [get_ports {cfg_cska_wi[3]}]
-set_max_delay 2 -from [get_ports {wbd_clk_int}]
+
+# Set max delay for clock skew
+set_max_delay 3.5 -from [get_ports {wbd_clk_int}]
set_max_delay 2 -to [get_ports {wbd_clk_wi}]
+set_max_delay 3.5 -from wbd_clk_int -to wbd_clk_wi
+##
set_input_delay -max 2.0000 -clock [get_clocks {clk_i}] -add_delay [get_ports {rst_n}]
set_input_delay -max 6.0000 -clock [get_clocks {clk_i}] -add_delay [get_ports {m0_wbd_adr_i[*]}]
diff --git a/openlane/wb_interconnect/config.tcl b/openlane/wb_interconnect/config.tcl
index 00441ab..57c4e51 100755
--- a/openlane/wb_interconnect/config.tcl
+++ b/openlane/wb_interconnect/config.tcl
@@ -96,5 +96,5 @@
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "0"
set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
-set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "1"
diff --git a/openlane/wb_interconnect/interactive.tcl b/openlane/wb_interconnect/interactive.tcl
new file mode 100644
index 0000000..75d2173
--- /dev/null
+++ b/openlane/wb_interconnect/interactive.tcl
@@ -0,0 +1,513 @@
+#!/usr/bin/tclsh
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+# Copyright 2020 Efabless Corporation
+# Copyright 2020 Sylvain Munaut
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+package require openlane;
+
+
+proc run_resizer_design {args} {
+ if { $::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) == 1} {
+ puts_info "Running Resizer Design Optimizations..."
+ TIMER::timer_start
+ set ::env(SAVE_DEF) [index_file $::env(resizer_tmp_file_tag).def 0]
+ set ::env(SAVE_SDC) [index_file $::env(resizer_tmp_file_tag).sdc 0]
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_resizer.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(resizer_log_file_tag)_design_optimization.log 0]
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(resizer_log_file_tag)_design_optimization_runtime.txt 0]
+
+ write_verilog $::env(yosys_result_file_tag)_optimized.v
+ set_netlist $::env(yosys_result_file_tag)_optimized.v
+
+ if { $::env(LEC_ENABLE) && [file exists $::env(PREV_NETLIST)] } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ set output_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta 0]
+ set runtime_log [index_file $::env(resizer_log_file_tag)_design_optimization_sta_runtime.txt 0]
+ run_sta -placement_parasitics -output_log $output_log -runtime_log $runtime_log
+ } else {
+ puts_info "Skipping Resizer Timing Optimizations."
+ }
+}
+
+
+proc run_placement {args} {
+ puts_info "Running Placement..."
+# |----------------------------------------------------|
+# |---------------- 3. PLACEMENT ------------------|
+# |----------------------------------------------------|
+ set ::env(CURRENT_STAGE) placement
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set old_pl_target_density $::env(PL_TARGET_DENSITY)
+ set ::env(PL_TARGET_DENSITY) $::env(PL_TARGET_DENSITY_CELLS)
+ }
+
+ if { $::env(PL_RANDOM_GLB_PLACEMENT) } {
+ # useful for very tiny designs
+ random_global_placement
+ } else {
+ global_placement_or
+ }
+
+ if { [info exists ::env(PL_TARGET_DENSITY_CELLS)] } {
+ set ::env(PL_TARGET_DENSITY) $old_pl_target_density
+ }
+
+ run_resizer_design
+ detailed_placement_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+}
+
+
+proc run_placement_step {args} {
+ # set pdndef_dirname [file dirname $::env(pdn_tmp_file_tag).def]
+ # set pdndef [lindex [glob $pdndef_dirname/*pdn*] 0]
+ # set_def $pdndef
+ puts "\[INFO\]: Running Placement Step"
+ if { ! [ info exists ::env(PLACEMENT_CURRENT_DEF) ] } {
+ set ::env(PLACEMENT_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(PLACEMENT_CURRENT_DEF)
+ }
+
+ run_placement
+}
+
+
+proc run_cts {args} {
+ if { ! [info exists ::env(CLOCK_PORT)] && ! [info exists ::env(CLOCK_NET)] } {
+ puts_info "::env(CLOCK_PORT) is not set"
+ puts_warn "Skipping CTS..."
+ set ::env(CLOCK_TREE_SYNTH) 0
+ }
+
+ if {$::env(CLOCK_TREE_SYNTH) && !$::env(RUN_SIMPLE_CTS)} {
+ puts_info "Running TritonCTS..."
+ set ::env(CURRENT_STAGE) cts
+ TIMER::timer_start
+
+ if { ! [info exists ::env(CLOCK_NET)] } {
+ set ::env(CLOCK_NET) $::env(CLOCK_PORT)
+ }
+
+ set ::env(SAVE_DEF) $::env(cts_result_file_tag).def
+ set ::env(SAVE_SDC) $::env(cts_result_file_tag).sdc
+ set report_tag_holder $::env(cts_report_file_tag)
+ set ::env(cts_report_file_tag) [ index_file $::env(cts_report_file_tag) ]
+ # trim the lib to exclude cells with drc errors
+ if { ! [info exists ::env(LIB_CTS) ] } {
+ set ::env(LIB_CTS) $::env(TMP_DIR)/cts.lib
+ trim_lib -input $::env(LIB_SYNTH_COMPLETE) -output $::env(LIB_CTS) -drc_exclude_only
+ }
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_cts.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(cts_log_file_tag).log 0]
+ check_cts_clock_nets
+ set ::env(cts_report_file_tag) $report_tag_holder
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(cts_log_file_tag)_runtime.txt 0]
+
+ set_def $::env(SAVE_DEF)
+ set ::env(CURRENT_SDC) $::env(SAVE_SDC)
+ write_verilog $::env(yosys_result_file_tag)_cts.v
+ set_netlist $::env(yosys_result_file_tag)_cts.v
+ if { $::env(LEC_ENABLE) } {
+ logic_equiv_check -rhs $::env(PREV_NETLIST) -lhs $::env(CURRENT_NETLIST)
+ }
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ } elseif { $::env(RUN_SIMPLE_CTS) } {
+ exec echo "Simple CTS was run earlier." >> [index_file $::env(cts_log_file_tag).log]
+ } else {
+ exec echo "SKIPPED!" >> [index_file $::env(cts_log_file_tag).log]
+ }
+
+}
+
+
+proc run_cts_step {args} {
+ # set_def $::env(opendp_result_file_tag).def
+ puts "\[INFO\]: Running CTS"
+ if { ! [ info exists ::env(CTS_CURRENT_DEF) ] } {
+ set ::env(CTS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(CTS_CURRENT_DEF)
+ }
+
+ run_cts
+ run_resizer_timing
+}
+
+proc run_routing_step {args} {
+ # set resizerdef_dirname [file dirname $::env(resizer_tmp_file_tag)_timing.def]
+ # set resizerdef [lindex [glob $resizerdef_dirname/*resizer*] 0]
+ # set_def $resizerdef
+ puts "\[INFO\]: Running Routing"
+ if { ! [ info exists ::env(ROUTING_CURRENT_DEF) ] } {
+ set ::env(ROUTING_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ROUTING_CURRENT_DEF)
+ }
+ run_routing
+}
+
+proc run_diode_insertion_2_5_step {args} {
+ puts "\[INFO\]: Running Diode Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(DIODE_INSERTION_CURRENT_DEF) ] } {
+ set ::env(DIODE_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DIODE_INSERTION_CURRENT_DEF)
+ }
+ if { ($::env(DIODE_INSERTION_STRATEGY) == 2) || ($::env(DIODE_INSERTION_STRATEGY) == 5) } {
+ run_antenna_check
+ heal_antenna_violators; # modifies the routed DEF
+ }
+
+}
+
+proc run_power_pins_insertion_step {args} {
+ puts "\[INFO\]:Running Power Pin Insertion"
+ # set_def $::env(tritonRoute_result_file_tag).def
+ if { ! [ info exists ::env(POWER_PINS_INSERTION_CURRENT_DEF) ] } {
+ set ::env(POWER_PINS_INSERTION_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(POWER_PINS_INSERTION_CURRENT_DEF)
+ }
+ if { $::env(LVS_INSERT_POWER_PINS) } {
+ write_powered_verilog
+ set_netlist $::env(lvs_result_file_tag).powered.v
+ }
+
+}
+
+proc run_lvs_step {{ lvs_enabled 1 }} {
+ # set_def $::env(tritonRoute_result_file_tag).def
+ puts "\[INFO\]:Running LVS Step"
+ if { ! [ info exists ::env(LVS_CURRENT_DEF) ] } {
+ set ::env(LVS_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(LVS_CURRENT_DEF)
+ }
+ if { $lvs_enabled } {
+ run_magic_spice_export
+ run_lvs; # requires run_magic_spice_export
+ }
+
+}
+
+proc run_drc_step {{ drc_enabled 1 }} {
+ puts "\[INFO\]:Running DRC"
+ if { ! [ info exists ::env(DRC_CURRENT_DEF) ] } {
+ set ::env(DRC_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(DRC_CURRENT_DEF)
+ }
+ if { $drc_enabled } {
+ run_magic_drc
+ run_klayout_drc
+ }
+}
+
+proc run_antenna_check_step {{ antenna_check_enabled 1 }} {
+ puts "\[INFO\]: Running Antenna checl"
+ if { ! [ info exists ::env(ANTENNA_CHECK_CURRENT_DEF) ] } {
+ set ::env(ANTENNA_CHECK_CURRENT_DEF) $::env(CURRENT_DEF)
+ } else {
+ set ::env(CURRENT_DEF) $::env(ANTENNA_CHECK_CURRENT_DEF)
+ }
+ if { $antenna_check_enabled } {
+ run_antenna_check
+ }
+}
+
+proc gen_pdn_new {args} {
+ puts_info "Generating PDN..."
+ TIMER::timer_start
+
+ set ::env(SAVE_DEF) [index_file $::env(pdn_tmp_file_tag).def]
+ set ::env(PGA_RPT_FILE) [index_file $::env(pdn_report_file_tag).pga.rpt]
+
+ try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_pdn.tcl \
+ |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(pdn_log_file_tag).log 0]
+
+
+ TIMER::timer_stop
+ exec echo "[TIMER::get_runtime]" >> [index_file $::env(pdn_log_file_tag)_runtime.txt 0]
+
+ quit_on_unconnected_pdn_nodes
+
+ set_def $::env(SAVE_DEF)
+}
+
+proc run_power_grid_generation_new {args} {
+ if { [info exists ::env(VDD_NETS)] || [info exists ::env(GND_NETS)] } {
+ # they both must exist and be equal in length
+ # current assumption: they cannot have a common ground
+ if { ! [info exists ::env(VDD_NETS)] || ! [info exists ::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must *both* either be defined or undefined"
+ return -code error
+ }
+ # standard cell power and ground nets are assumed to be the first net
+ set ::env(VDD_PIN) [lindex $::env(VDD_NETS) 0]
+ set ::env(GND_PIN) [lindex $::env(GND_NETS) 0]
+ } elseif { [info exists ::env(SYNTH_USE_PG_PINS_DEFINES)] } {
+ set ::env(VDD_NETS) [list]
+ set ::env(GND_NETS) [list]
+ # get the pins that are in $yosys_tmp_file_tag.pg_define.v
+ # that are not in $yosys_result_file_tag.v
+ #
+ set full_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_tmp_file_tag).pg_define.v]
+ puts_info $full_pins
+
+ set non_pg_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_result_file_tag).v]
+ puts_info $non_pg_pins
+
+ # assumes the pins are ordered correctly (e.g., vdd1, vss1, vcc1, vss1, ...)
+ foreach {vdd gnd} $full_pins {
+ if { $vdd ne "" && $vdd ni $non_pg_pins } {
+ lappend ::env(VDD_NETS) $vdd
+ }
+ if { $gnd ne "" && $gnd ni $non_pg_pins } {
+ lappend ::env(GND_NETS) $gnd
+ }
+ }
+ } else {
+ set ::env(VDD_NETS) $::env(VDD_PIN)
+ set ::env(GND_NETS) $::env(GND_PIN)
+ }
+
+ puts_info "Power planning the following nets"
+ puts_info "Power: $::env(VDD_NETS)"
+ puts_info "Ground: $::env(GND_NETS)"
+
+ if { [llength $::env(VDD_NETS)] != [llength $::env(GND_NETS)] } {
+ puts_err "VDD_NETS and GND_NETS must be of equal lengths"
+ return -code error
+ }
+
+ # internal macros power connections
+ if {[info exists ::env(FP_PDN_MACRO_HOOKS)]} {
+ set macro_hooks [dict create]
+ set pdn_hooks [split $::env(FP_PDN_MACRO_HOOKS) ","]
+ foreach pdn_hook $pdn_hooks {
+ set instance_name [lindex $pdn_hook 0]
+ set power_net [lindex $pdn_hook 1]
+ set ground_net [lindex $pdn_hook 2]
+ dict append macro_hooks $instance_name [subst {$power_net $ground_net}]
+ }
+
+ set power_net_indx [lsearch $::env(VDD_NETS) $power_net]
+ set ground_net_indx [lsearch $::env(GND_NETS) $ground_net]
+
+ # make sure that the specified power domains exist.
+ if { $power_net_indx == -1 || $ground_net_indx == -1 || $power_net_indx != $ground_net_indx } {
+ puts_err "Can't find $power_net and $ground_net domain. \
+ Make sure that both exist in $::env(VDD_NETS) and $::env(GND_NETS)."
+ }
+ }
+
+ # generate multiple power grids per pair of (VDD,GND)
+ # offseted by WIDTH + SPACING
+ foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) {
+ set ::env(VDD_NET) $vdd
+ set ::env(GND_NET) $gnd
+ puts "\[INFO\]: Processing Power Nets: $vdd and $gnd."
+
+ # internal macros power connections
+ set ::env(FP_PDN_MACROS) ""
+ if { $::env(FP_PDN_ENABLE_MACROS_GRID) == 1 } {
+ # if macros connections to power are explicitly set
+ # default behavoir macro pins will be connected to the first power domain
+ if { [info exists ::env(FP_PDN_MACRO_HOOKS)] } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+ foreach {instance_name hooks} $macro_hooks {
+ set power [lindex $hooks 0]
+ set ground [lindex $hooks 1]
+ if { $power == $::env(VDD_NET) && $ground == $::env(GND_NET) } {
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 1
+ puts_info "Connecting $instance_name to $power and $ground nets."
+ lappend ::env(FP_PDN_MACROS) $instance_name
+ }
+ }
+ }
+ puts "\[INFO\]: FP_PDN_MACROS: $::env(FP_PDN_MACROS) ."
+ } else {
+ puts_warn "All internal macros will not be connected to power."
+ }
+
+ gen_pdn_new
+
+ set ::env(FP_PDN_ENABLE_RAILS) 0
+ set ::env(FP_PDN_ENABLE_MACROS_GRID) 0
+
+ # allow failure until open_pdks is up to date...
+ catch {set ::env(FP_PDN_VOFFSET) [expr $::env(FP_PDN_VOFFSET)+$::env(FP_PDN_VWIDTH)+$::env(FP_PDN_VSPACING)]}
+ catch {set ::env(FP_PDN_HOFFSET) [expr $::env(FP_PDN_HOFFSET)+$::env(FP_PDN_HWIDTH)+$::env(FP_PDN_HSPACING)]}
+
+ catch {set ::env(FP_PDN_CORE_RING_VOFFSET) \
+ [expr $::env(FP_PDN_CORE_RING_VOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_VWIDTH)\
+ +max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ catch {set ::env(FP_PDN_CORE_RING_HOFFSET) [expr $::env(FP_PDN_CORE_RING_HOFFSET)\
+ +2*($::env(FP_PDN_CORE_RING_HWIDTH)+\
+ max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+ }
+ set ::env(FP_PDN_ENABLE_RAILS) 1
+}
+
+proc run_floorplan_new {args} {
+ puts_info "Running Floorplanning..."
+ # |----------------------------------------------------|
+ # |---------------- 2. FLOORPLAN ------------------|
+ # |----------------------------------------------------|
+ #
+ # intial fp
+ init_floorplan
+
+
+ # place io
+ if { [info exists ::env(FP_PIN_ORDER_CFG)] } {
+ place_io_ol
+ } else {
+ if { [info exists ::env(FP_CONTEXT_DEF)] && [info exists ::env(FP_CONTEXT_LEF)] } {
+ place_io
+ global_placement_or
+ place_contextualized_io \
+ -lef $::env(FP_CONTEXT_LEF) \
+ -def $::env(FP_CONTEXT_DEF)
+ } else {
+ place_io
+ }
+ }
+
+ apply_def_template
+
+ if { [info exist ::env(EXTRA_LEFS)] } {
+ if { [info exist ::env(MACRO_PLACEMENT_CFG)] } {
+ file copy -force $::env(MACRO_PLACEMENT_CFG) $::env(TMP_DIR)/macro_placement.cfg
+ manual_macro_placement f
+ } else {
+ global_placement_or
+ basic_macro_placement
+ }
+ }
+
+ # tapcell
+ tap_decap_or
+ scrot_klayout -layout $::env(CURRENT_DEF)
+ # power grid generation
+ run_power_grid_generation_new
+}
+
+
+proc run_flow {args} {
+ set script_dir [file dirname [file normalize [info script]]]
+
+ set options {
+ {-design required}
+ {-save_path optional}
+ {-no_lvs optional}
+ {-no_drc optional}
+ {-no_antennacheck optional}
+ }
+ set flags {-save}
+ parse_key_args "run_flow" args arg_values $options flags_map $flags -no_consume
+
+ prep {*}$args
+
+ set LVS_ENABLED 1
+ set DRC_ENABLED 1
+ set ANTENNACHECK_ENABLED 1
+
+ set steps [dict create "synthesis" {run_synthesis "" } \
+ "floorplan" {run_floorplan ""} \
+ "placement" {run_placement_step ""} \
+ "cts" {run_cts_step ""}\
+ "routing" {run_routing_step ""} \
+ "diode_insertion" {run_diode_insertion_2_5_step ""} \
+ "power_pins_insertion" {run_power_pins_insertion_step ""} \
+ "gds_magic" {run_magic ""} \
+ "gds_drc_klayout" {run_klayout ""} \
+ "gds_xor_klayout" {run_klayout_gds_xor ""} \
+ "lvs" "run_lvs_step $LVS_ENABLED" \
+ "drc" "run_drc_step $DRC_ENABLED" \
+ "antenna_check" "run_antenna_check_step $ANTENNACHECK_ENABLED" \
+ "cvc" {run_lef_cvc}
+ ]
+
+ set_if_unset arg_values(-to) "cvc";
+
+ if { [info exists ::env(CURRENT_STEP) ] } {
+ puts "\[INFO\]:Picking up where last execution left off"
+ puts [format "\[INFO\]:Current stage is %s " $::env(CURRENT_STEP)]
+ } else {
+ set ::env(CURRENT_STEP) "synthesis";
+ }
+ set_if_unset arg_values(-from) $::env(CURRENT_STEP);
+ set exe 0;
+ dict for {step_name step_exe} $steps {
+ if { [ string equal $arg_values(-from) $step_name ] } {
+ set exe 1;
+ }
+
+ if { $exe } {
+ # For when it fails
+ set ::env(CURRENT_STEP) $step_name
+ [lindex $step_exe 0] [lindex $step_exe 1] ;
+ }
+
+ if { [ string equal $arg_values(-to) $step_name ] } {
+ set exe 0:
+ break;
+ }
+
+ }
+
+ # for when it resumes
+ set steps_as_list [dict keys $steps]
+ set next_idx [expr [lsearch $steps_as_list $::env(CURRENT_STEP)] + 1]
+ set ::env(CURRENT_STEP) [lindex $steps_as_list $next_idx]
+
+ if { [info exists flags_map(-save) ] } {
+ if { ! [info exists arg_values(-save_path)] } {
+ set arg_values(-save_path) ""
+ }
+ save_views -lef_path $::env(magic_result_file_tag).lef \
+ -def_path $::env(CURRENT_DEF) \
+ -gds_path $::env(magic_result_file_tag).gds \
+ -mag_path $::env(magic_result_file_tag).mag \
+ -maglef_path $::env(magic_result_file_tag).lef.mag \
+ -spice_path $::env(magic_result_file_tag).spice \
+ -spef_path $::env(CURRENT_SPEF) \
+ -verilog_path $::env(CURRENT_NETLIST) \
+ -save_path $arg_values(-save_path) \
+ -tag $::env(RUN_TAG)
+ }
+
+
+ calc_total_runtime
+ save_state
+ generate_final_summary_report
+
+ check_timing_violations
+
+ puts_success "Flow Completed Without Fatal Errors."
+
+}
+
+run_flow {*}$argv
diff --git a/signoff/glbl_cfg/final_summary_report.csv b/signoff/glbl_cfg/final_summary_report.csv
index 0c1076e..7851de4 100644
--- a/signoff/glbl_cfg/final_summary_report.csv
+++ b/signoff/glbl_cfg/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/glbl_cfg,glbl_cfg,glbl_cfg,flow_completed,0h7m36s,-1,27520.0,0.075,13760.0,18.54,546.96,1032,0,0,0,0,0,0,0,1,0,-1,-1,64750,11416,0.0,-0.19,-1,0.0,-1,0.0,-4.03,-1,0.0,-1,39710177.0,17.55,19.52,18.96,2.57,0.34,-1,1232,2033,691,1460,0,0,0,845,0,0,0,0,0,0,0,4,376,301,11,204,936,0,1140,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.35,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/glbl_cfg,glbl_cfg,glbl_cfg,flow_completed,0h3m3s,-1,27520.0,0.075,13760.0,18.54,548.0,1032,0,0,0,0,0,0,0,1,0,-1,-1,56151,10516,0.0,-0.12,-1,0.0,-1,0.0,-1.88,-1,0.0,-1,39754311.0,18.66,16.92,17.44,0.59,0.64,-1,1232,2033,691,1460,0,0,0,845,0,0,0,0,0,0,0,4,376,301,11,204,936,0,1140,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.35,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/mbist1/final_summary_report.csv b/signoff/mbist1/final_summary_report.csv
index 2bd0b95..7015ff4 100644
--- a/signoff/mbist1/final_summary_report.csv
+++ b/signoff/mbist1/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/mbist1,mbist_top1,mbist1,flow_completed,0h15m32s,-1,49800.0,0.05,24900.0,30.87,563.61,1245,0,0,0,0,0,0,-1,3,0,0,-1,95383,15483,-2.97,-3.44,-1,-3.41,-1,-95.43,-106.81,-1,-138.97,-1,47403505.0,27.64,40.66,39.69,10.95,8.18,-1,1172,2528,314,1638,0,0,0,1155,0,0,0,0,0,0,0,4,232,261,16,166,595,0,761,111.11111111111111,9,8,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/mbist1,mbist_top1,mbist1,flow_completed,0h4m29s,-1,49880.0,0.05,24940.0,30.94,558.31,1247,0,0,0,0,0,0,-1,4,0,0,-1,83685,14714,-2.97,-3.41,-1,-2.6,-1,-96.02,-106.16,-1,-131.79,-1,47658599.0,30.05,39.73,33.11,10.19,0.63,-1,1174,2530,316,1640,0,0,0,1157,0,0,0,0,0,0,0,4,232,261,16,166,595,0,761,111.11111111111111,9,8,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/mbist2/final_summary_report.csv b/signoff/mbist2/final_summary_report.csv
index 09ca34d..4b5c0da 100644
--- a/signoff/mbist2/final_summary_report.csv
+++ b/signoff/mbist2/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/mbist2,mbist_top2,mbist2,flow_completed,0h13m59s,-1,47000.0,0.05,23500.0,29.05,609.76,1175,0,0,0,0,0,0,-1,4,0,0,-1,83606,14309,-2.55,-3.04,-1,-3.4,-1,-85.27,-96.08,-1,-128.47,-1,44403781.0,26.82,39.65,33.43,10.07,0.74,-1,1119,2442,314,1605,0,0,0,1088,0,0,0,0,0,0,0,4,219,245,16,166,595,0,761,111.11111111111111,9,8,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/mbist2,mbist_top2,mbist2,flow_completed,0h7m33s,-1,47080.0,0.05,23540.0,29.11,567.91,1177,0,0,0,0,0,0,-1,3,0,0,-1,76485,13696,-2.55,-3.03,-1,-2.65,-1,-85.85,-95.97,-1,-119.43,-1,44779452.0,21.37,37.73,31.03,7.67,0.39,-1,1121,2444,316,1607,0,0,0,1090,0,0,0,0,0,0,0,4,219,245,16,166,595,0,761,111.11111111111111,9,8,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 667db54..bd81758 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h52m44s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,523.12,19,0,0,0,0,0,0,-1,0,0,-1,-1,1251077,10473,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.05,3.5,2.86,0.05,0.0,-1,258,2549,258,2549,0,0,0,19,0,0,0,0,0,0,0,4,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h30m6s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,521.65,19,0,0,0,0,0,0,-1,0,0,-1,-1,1251333,10523,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.05,3.5,2.86,0.06,0.0,-1,267,2558,267,2558,0,0,0,19,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 50df24e..9cd2bbf 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h12m57s,-1,45300.0,0.12,22650.0,26.77,591.13,2718,0,0,0,0,0,0,0,3,0,0,-1,169616,25838,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,124202469.0,4.18,36.43,27.8,6.17,0.34,-1,1165,3352,658,2843,0,0,0,1301,0,0,0,0,0,0,0,4,709,844,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h4m25s,-1,45283.33333333334,0.12,22641.66666666667,26.77,586.19,2717,0,0,0,0,0,0,0,0,0,0,-1,139380,23095,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,110369956.0,4.18,31.74,22.49,3.07,0.06,-1,1168,3355,661,2846,0,0,0,1304,0,0,0,0,0,0,0,4,709,844,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/wb_interconnect/final_summary_report.csv b/signoff/wb_interconnect/final_summary_report.csv
index 7be7c77..716a76f 100644
--- a/signoff/wb_interconnect/final_summary_report.csv
+++ b/signoff/wb_interconnect/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_interconnect,wb_interconnect,wb_interconnect,flow_completed,0h12m24s,-1,6504.545454545455,0.44,3252.2727272727275,2.64,659.24,1431,0,-1,-1,-1,-1,0,0,1,0,-1,-1,637813,17920,-1.97,0.0,-1,-0.8,-1,-1.97,0.0,-1,-0.8,-1,566472611.0,14.14,14.39,50.44,0.34,6.79,-1,813,3393,199,2779,0,0,0,1188,0,0,0,0,0,0,0,4,371,512,9,1600,5614,0,7214,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.5,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_interconnect,wb_interconnect,wb_interconnect,flow_completed,0h9m26s,-1,6504.545454545455,0.44,3252.2727272727275,2.64,655.85,1431,0,-1,-1,-1,-1,0,0,1,0,-1,-1,639191,20434,0.0,0.0,-1,-0.1,-1,0.0,0.0,-1,-0.14,-1,568792000.0,17.1,13.76,49.4,0.54,10.44,-1,813,3393,199,2779,0,0,0,1188,0,0,0,0,0,0,0,4,371,512,9,1600,5614,0,7214,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.5,0.0,sky130_fd_sc_hd,4,4
diff --git a/spi/lvs/glbl_cfg.spice.gz b/spi/lvs/glbl_cfg.spice.gz
index 1dc469d..5367c3d 100644
--- a/spi/lvs/glbl_cfg.spice.gz
+++ b/spi/lvs/glbl_cfg.spice.gz
Binary files differ
diff --git a/spi/lvs/mbist1.spice.gz b/spi/lvs/mbist1.spice.gz
index 0cdb53a..e06145d 100644
--- a/spi/lvs/mbist1.spice.gz
+++ b/spi/lvs/mbist1.spice.gz
Binary files differ
diff --git a/spi/lvs/mbist2.spice.gz b/spi/lvs/mbist2.spice.gz
index 9ff1ba0..e8ae721 100644
--- a/spi/lvs/mbist2.spice.gz
+++ b/spi/lvs/mbist2.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index db51f8a..a589d02 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index da339be..0198cbc 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_interconnect.spice.gz b/spi/lvs/wb_interconnect.spice.gz
index 26adb34..d92c55b 100644
--- a/spi/lvs/wb_interconnect.spice.gz
+++ b/spi/lvs/wb_interconnect.spice.gz
Binary files differ
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
index e249499..be1500e 100644
--- a/verilog/dv/user_basic/user_basic_tb.v
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -310,6 +310,22 @@
force u_top.u_wb_host.u_wbs_clk_sel.u_mux.VGND =VSS;
force u_top.u_wb_host.u_wbs_clk_sel.u_mux.VNB = VSS;
+ force u_top.u_wb_host.u_delay1_stb0.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay1_stb0.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay1_stb0.VGND =VSS;
+ force u_top.u_wb_host.u_delay1_stb0.VNB = VSS;
+
+ force u_top.u_wb_host.u_delay2_stb1.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb1.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb1.VGND =VSS;
+ force u_top.u_wb_host.u_delay2_stb1.VNB = VSS;
+
+ force u_top.u_wb_host.u_delay2_stb2.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb2.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb2.VGND =VSS;
+ force u_top.u_wb_host.u_delay2_stb2.VNB = VSS;
+
+
end
`endif
diff --git a/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v b/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
index adb64a2..d930efa 100644
--- a/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
+++ b/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
@@ -471,7 +471,31 @@
force u_top.u_wb_host.u_wbs_clk_sel.u_mux.VGND =VSS;
force u_top.u_wb_host.u_wbs_clk_sel.u_mux.VNB = VSS;
+ force u_top.u_wb_host.u_delay1_stb0.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay1_stb0.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay1_stb0.VGND =VSS;
+ force u_top.u_wb_host.u_delay1_stb0.VNB = VSS;
+
+ force u_top.u_wb_host.u_delay2_stb1.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb1.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb1.VGND =VSS;
+ force u_top.u_wb_host.u_delay2_stb1.VNB = VSS;
+
+ force u_top.u_wb_host.u_delay2_stb2.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb2.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_delay2_stb2.VGND =VSS;
+ force u_top.u_wb_host.u_delay2_stb2.VNB = VSS;
// MBIST1
+ force u_top.u_mbist1.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist1.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist1.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist1.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist1.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist1.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist1.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist1.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist1.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist1.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist1.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -493,6 +517,16 @@
force u_top.u_mbist1.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST2
+ force u_top.u_mbist2.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist2.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist2.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist2.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist2.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist2.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist2.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist2.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist2.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist2.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist2.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -514,6 +548,16 @@
force u_top.u_mbist2.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST3
+ force u_top.u_mbist3.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist3.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist3.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist3.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist3.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist3.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist3.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist3.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist3.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist3.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist3.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -535,6 +579,16 @@
force u_top.u_mbist3.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST4
+ force u_top.u_mbist4.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist4.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist4.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist4.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist4.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist4.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist4.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist4.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist4.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist4.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist4.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -556,6 +610,16 @@
force u_top.u_mbist4.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST5
+ force u_top.u_mbist5.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist5.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist5.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist5.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist5.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist5.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist5.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist5.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist5.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist5.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist5.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -577,6 +641,16 @@
force u_top.u_mbist5.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST6
+ force u_top.u_mbist6.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist6.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist6.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist6.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist6.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist6.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist6.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist6.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist6.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist6.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist6.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -598,6 +672,16 @@
force u_top.u_mbist6.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST7
+ force u_top.u_mbist7.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist7.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist7.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist7.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist7.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist7.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist7.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist7.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist7.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist7.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist7.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
@@ -619,6 +703,16 @@
force u_top.u_mbist7.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
// MBIST8
+ force u_top.u_mbist8.u_cts_wb_clk_b1.VPWR =USER_VDD1V8;
+ force u_top.u_mbist8.u_cts_wb_clk_b1.VPB =USER_VDD1V8;
+ force u_top.u_mbist8.u_cts_wb_clk_b1.VGND =VSS;
+ force u_top.u_mbist8.u_cts_wb_clk_b1.VNB = VSS;
+
+ force u_top.u_mbist8.u_cts_wb_clk_b2.VPWR =USER_VDD1V8;
+ force u_top.u_mbist8.u_cts_wb_clk_b2.VPB =USER_VDD1V8;
+ force u_top.u_mbist8.u_cts_wb_clk_b2.VGND =VSS;
+ force u_top.u_mbist8.u_cts_wb_clk_b2.VNB = VSS;
+
force u_top.u_mbist8.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
force u_top.u_mbist8.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
force u_top.u_mbist8.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
diff --git a/verilog/dv/wb_port/wb_port_tb.v b/verilog/dv/wb_port/wb_port_tb.v
index c02797d..ca9d21d 100644
--- a/verilog/dv/wb_port/wb_port_tb.v
+++ b/verilog/dv/wb_port/wb_port_tb.v
@@ -172,6 +172,21 @@
force uut.mprj.u_wb_host.u_wbs_clk_sel.u_mux.VGND =VSS;
force uut.mprj.u_wb_host.u_wbs_clk_sel.u_mux.VNB = VSS;
+ force uut.mprj.u_wb_host.u_delay1_stb0.VPWR =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay1_stb0.VPB =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay1_stb0.VGND =VSS;
+ force uut.mprj.u_wb_host.u_delay1_stb0.VNB = VSS;
+
+ force uut.mprj.u_wb_host.u_delay2_stb1.VPWR =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay2_stb1.VPB =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay2_stb1.VGND =VSS;
+ force uut.mprj.u_wb_host.u_delay2_stb1.VNB = VSS;
+
+ force uut.mprj.u_wb_host.u_delay2_stb2.VPWR =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay2_stb2.VPB =USER_VDD1V8;
+ force uut.mprj.u_wb_host.u_delay2_stb2.VGND =VSS;
+ force uut.mprj.u_wb_host.u_delay2_stb2.VNB = VSS;
+
end
`endif
endmodule
diff --git a/verilog/gl/glbl_cfg.v b/verilog/gl/glbl_cfg.v
index 21f2c9b..56dc489 100644
--- a/verilog/gl/glbl_cfg.v
+++ b/verilog/gl/glbl_cfg.v
@@ -814,7 +814,6 @@
wire clknet_1_1_0_mclk;
wire clknet_leaf_0_mclk;
wire clknet_leaf_10_mclk;
- wire clknet_leaf_11_mclk;
wire clknet_leaf_12_mclk;
wire clknet_leaf_13_mclk;
wire clknet_leaf_14_mclk;
@@ -827,7 +826,6 @@
wire clknet_leaf_20_mclk;
wire clknet_leaf_21_mclk;
wire clknet_leaf_22_mclk;
- wire clknet_leaf_23_mclk;
wire clknet_leaf_2_mclk;
wire clknet_leaf_3_mclk;
wire clknet_leaf_4_mclk;
@@ -838,110 +836,15 @@
wire clknet_leaf_9_mclk;
wire net1;
wire net10;
- wire net100;
- wire net101;
- wire net102;
- wire net103;
- wire net104;
- wire net105;
- wire net106;
- wire net107;
- wire net108;
- wire net109;
wire net11;
- wire net110;
- wire net111;
- wire net112;
- wire net113;
- wire net114;
- wire net115;
- wire net116;
- wire net117;
- wire net118;
- wire net119;
wire net12;
- wire net120;
- wire net121;
- wire net122;
- wire net123;
- wire net124;
- wire net125;
- wire net126;
- wire net127;
- wire net128;
- wire net129;
wire net13;
- wire net130;
- wire net131;
- wire net132;
- wire net133;
- wire net134;
- wire net135;
- wire net136;
- wire net137;
- wire net138;
- wire net139;
wire net14;
- wire net140;
- wire net141;
- wire net142;
- wire net143;
- wire net144;
- wire net145;
- wire net146;
- wire net147;
- wire net148;
- wire net149;
wire net15;
- wire net150;
- wire net151;
- wire net152;
- wire net153;
- wire net154;
- wire net155;
- wire net156;
- wire net157;
- wire net158;
- wire net159;
wire net16;
- wire net160;
- wire net161;
- wire net162;
- wire net163;
- wire net164;
- wire net165;
- wire net166;
- wire net167;
- wire net168;
- wire net169;
wire net17;
- wire net170;
- wire net171;
- wire net172;
- wire net173;
- wire net174;
- wire net175;
- wire net176;
- wire net177;
- wire net178;
- wire net179;
wire net18;
- wire net180;
- wire net181;
- wire net182;
- wire net183;
- wire net184;
- wire net185;
- wire net186;
- wire net187;
- wire net188;
- wire net189;
wire net19;
- wire net190;
- wire net191;
- wire net192;
- wire net193;
- wire net194;
wire net2;
wire net20;
wire net21;
@@ -1006,30 +909,8 @@
wire net75;
wire net76;
wire net77;
- wire net78;
- wire net79;
wire net8;
- wire net80;
- wire net81;
- wire net82;
- wire net83;
- wire net84;
- wire net85;
- wire net86;
- wire net87;
- wire net88;
- wire net89;
wire net9;
- wire net90;
- wire net91;
- wire net92;
- wire net93;
- wire net94;
- wire net95;
- wire net96;
- wire net97;
- wire net98;
- wire net99;
wire \u_bist_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ;
wire \u_bist_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ;
wire \u_bist_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ;
@@ -1241,6 +1122,41 @@
wire wb_req;
wire wb_req_d;
+ sky130_fd_sc_hd__diode_2 ANTENNA__0748__A (.DIODE(reg_be[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0749__A (.DIODE(reg_cs),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0751__A (.DIODE(reg_wr),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0753__A (.DIODE(reg_addr[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0755__B (.DIODE(reg_addr[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0755__C (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0755__D (.DIODE(reg_addr[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__0757__A (.DIODE(_0589_),
.VGND(vssd1),
.VNB(vssd1),
@@ -1251,32 +1167,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0761__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0761__B1 (.DIODE(reg_wdata[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0762__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0762__B1 (.DIODE(reg_wdata[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0763__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0763__B1 (.DIODE(reg_wdata[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0764__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0764__B1 (.DIODE(reg_wdata[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0767__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0767__B1 (.DIODE(reg_wdata[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0768__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0768__B1 (.DIODE(reg_wdata[30]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0769__A (.DIODE(reg_be[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1291,7 +1212,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0774__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0774__B1 (.DIODE(reg_wdata[15]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0775__A (.DIODE(reg_be[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1301,32 +1227,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0780__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0780__B1 (.DIODE(reg_wdata[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0781__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0781__B1 (.DIODE(reg_wdata[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0782__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0782__B1 (.DIODE(reg_wdata[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0786__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0783__B1 (.DIODE(reg_wdata[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0787__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0786__B1 (.DIODE(reg_wdata[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0788__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0787__B1 (.DIODE(reg_wdata[21]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0788__B1 (.DIODE(reg_wdata[22]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0789__A (.DIODE(reg_be[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1341,47 +1277,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0794__B1 (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0791__A (.DIODE(_0617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0795__B1 (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0792__A (.DIODE(_0617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0796__B1 (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0794__B1 (.DIODE(reg_wdata[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0797__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0795__B1 (.DIODE(reg_wdata[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0800__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0796__B1 (.DIODE(reg_wdata[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0801__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0797__B1 (.DIODE(reg_wdata[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0802__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0800__B1 (.DIODE(reg_wdata[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0803__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0801__B1 (.DIODE(reg_wdata[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0805__C (.DIODE(_0623_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0802__B1 (.DIODE(reg_wdata[13]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0803__B1 (.DIODE(reg_wdata[14]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0804__A (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0804__B (.DIODE(reg_addr[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0804__C (.DIODE(reg_addr[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0804__D (.DIODE(reg_addr[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1396,42 +1357,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0810__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0810__B1 (.DIODE(reg_wdata[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0811__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0811__B1 (.DIODE(reg_wdata[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0812__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0812__B1 (.DIODE(reg_wdata[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0813__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0813__B1 (.DIODE(reg_wdata[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0816__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0814__A (.DIODE(_0617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0817__B1 (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0816__B1 (.DIODE(reg_wdata[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0818__B1 (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0817__B1 (.DIODE(reg_wdata[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0819__B1 (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0818__B1 (.DIODE(reg_wdata[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0819__B1 (.DIODE(reg_wdata[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1441,42 +1407,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0824__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0824__B1 (.DIODE(reg_wdata[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0825__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0825__B1 (.DIODE(reg_wdata[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0826__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0826__B1 (.DIODE(reg_wdata[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0827__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0827__B1 (.DIODE(reg_wdata[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0830__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0830__B1 (.DIODE(reg_wdata[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0831__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0831__B1 (.DIODE(reg_wdata[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0832__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0832__B1 (.DIODE(reg_wdata[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0833__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0833__B1 (.DIODE(reg_wdata[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1491,7 +1457,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0838__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0838__B1 (.DIODE(reg_wdata[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1501,32 +1467,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0839__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0839__B1 (.DIODE(reg_wdata[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0840__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0840__B1 (.DIODE(reg_wdata[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0841__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0841__B1 (.DIODE(reg_wdata[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0845__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0844__A1 (.DIODE(\u_reg0_be2.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0846__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0844__B1 (.DIODE(reg_wdata[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0847__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0845__B1 (.DIODE(reg_wdata[20]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0846__B1 (.DIODE(reg_wdata[21]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0847__B1 (.DIODE(reg_wdata[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1541,42 +1517,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0852__B1 (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0852__B1 (.DIODE(reg_wdata[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0853__B1 (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0853__B1 (.DIODE(reg_wdata[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0854__B1 (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0854__B1 (.DIODE(reg_wdata[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0855__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0855__B1 (.DIODE(reg_wdata[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0858__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0858__B1 (.DIODE(reg_wdata[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0859__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0859__B1 (.DIODE(reg_wdata[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0860__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0860__B1 (.DIODE(reg_wdata[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0861__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0861__B1 (.DIODE(reg_wdata[14]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0862__A (.DIODE(reg_addr[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0863__A (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0863__B (.DIODE(reg_addr[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1591,47 +1582,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0866__A (.DIODE(_0652_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0867__A (.DIODE(_0652_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__0868__A (.DIODE(_0654_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0869__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0869__B1 (.DIODE(reg_wdata[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0870__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0870__B1 (.DIODE(reg_wdata[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0871__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0871__B1 (.DIODE(reg_wdata[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0872__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0872__B1 (.DIODE(reg_wdata[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0875__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0875__B1 (.DIODE(reg_wdata[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0876__B1 (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0876__B1 (.DIODE(reg_wdata[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0877__B1 (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0877__B1 (.DIODE(reg_wdata[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0878__B1 (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0878__B1 (.DIODE(reg_wdata[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1641,6 +1642,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0882__A (.DIODE(_0660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__0884__B (.DIODE(_0662_),
.VGND(vssd1),
.VNB(vssd1),
@@ -1656,12 +1662,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0914__A (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0908__A (.DIODE(reg_addr[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0916__A (.DIODE(_0687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0909__C (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0910__C (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0911__A (.DIODE(reg_addr[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0912__A2 (.DIODE(reg_wr),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0914__A (.DIODE(reg_wdata[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1681,7 +1707,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0973__B (.DIODE(net153),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0973__B (.DIODE(net41),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1721,92 +1747,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1004__A (.DIODE(_0724_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1006__A (.DIODE(_0713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1011__A (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1012__B1 (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1012__B1 (.DIODE(net157),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1014__B1 (.DIODE(net44),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1014__B1 (.DIODE(net156),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1015__B1 (.DIODE(net43),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1015__B1 (.DIODE(net155),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1016__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1016__B1 (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1018__B1 (.DIODE(net72),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1017__A (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1020__B1 (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1018__B1 (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1021__B1 (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1020__B1 (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1022__B1 (.DIODE(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1021__B1 (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1024__B1 (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1022__B1 (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1026__B1 (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1023__A (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1027__B1 (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1024__B1 (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1028__B1 (.DIODE(net53),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1026__B1 (.DIODE(net179),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1027__B1 (.DIODE(net176),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1028__B1 (.DIODE(net165),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1029__B1 (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1029__B1 (.DIODE(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1831,6 +1837,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1033__A (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1033__B (.DIODE(reg_addr[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1033__C (.DIODE(reg_addr[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1034__C (.DIODE(_0616_),
.VGND(vssd1),
.VNB(vssd1),
@@ -1851,7 +1872,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1038__B1 (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1038__B1 (.DIODE(reg_wdata[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -1946,6 +1967,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1061__A (.DIODE(_0660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1062__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
@@ -1966,6 +1992,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1066__A (.DIODE(_0660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1067__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
@@ -1986,6 +2017,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1071__A (.DIODE(_0660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1072__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2046,22 +2082,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1084__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1084__B1 (.DIODE(reg_wdata[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1085__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1085__B1 (.DIODE(reg_wdata[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1086__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1086__B1 (.DIODE(reg_wdata[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1087__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1087__B1 (.DIODE(reg_wdata[26]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1088__A (.DIODE(_0652_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2071,7 +2112,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1090__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1090__B1 (.DIODE(reg_wdata[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2081,7 +2122,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1091__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1091__B1 (.DIODE(reg_wdata[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2091,7 +2132,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1092__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1092__B1 (.DIODE(reg_wdata[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2101,7 +2142,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1093__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1093__B1 (.DIODE(reg_wdata[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2116,7 +2157,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1098__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1098__B1 (.DIODE(reg_wdata[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2126,7 +2167,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1099__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1099__B1 (.DIODE(reg_wdata[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2136,7 +2177,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1100__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1100__B1 (.DIODE(reg_wdata[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2146,7 +2187,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1101__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1101__B1 (.DIODE(reg_wdata[18]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1104__B1 (.DIODE(reg_wdata[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2156,7 +2202,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1105__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1105__B1 (.DIODE(reg_wdata[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2166,7 +2212,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1106__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1106__B1 (.DIODE(reg_wdata[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2176,7 +2222,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1107__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1107__B1 (.DIODE(reg_wdata[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2191,102 +2237,97 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1112__B1 (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1112__B1 (.DIODE(reg_wdata[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1113__B1 (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1113__B1 (.DIODE(reg_wdata[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1114__B1 (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1114__B1 (.DIODE(reg_wdata[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1115__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1115__B1 (.DIODE(reg_wdata[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1118__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1118__B1 (.DIODE(reg_wdata[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1119__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1119__B1 (.DIODE(reg_wdata[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1120__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1120__B1 (.DIODE(reg_wdata[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1121__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1121__B1 (.DIODE(reg_wdata[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1122__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1122__B1 (.DIODE(reg_wdata[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1123__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1123__B1 (.DIODE(reg_wdata[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1124__A1 (.DIODE(\u_bist_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1124__B1 (.DIODE(reg_wdata[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1124__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1127__B1 (.DIODE(reg_wdata[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1127__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1128__B1 (.DIODE(reg_wdata[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1128__B1 (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1129__B1 (.DIODE(reg_wdata[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1129__B1 (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1130__B1 (.DIODE(reg_wdata[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1130__B1 (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1131__B1 (.DIODE(reg_wdata[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1131__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1132__B1 (.DIODE(reg_wdata[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1132__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1133__B1 (.DIODE(reg_wdata[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1133__B1 (.DIODE(net89),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1134__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1134__B1 (.DIODE(reg_wdata[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2296,182 +2337,187 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1137__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1137__B1 (.DIODE(reg_wdata[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1138__B1 (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1138__B1 (.DIODE(reg_wdata[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1139__B1 (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1139__B1 (.DIODE(reg_wdata[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1140__B1 (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1140__B1 (.DIODE(reg_wdata[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1141__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1141__B1 (.DIODE(reg_wdata[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1142__A (.DIODE(_0687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1144__B (.DIODE(reg_wdata[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1144__B (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1146__B (.DIODE(reg_wdata[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1146__B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1148__B (.DIODE(reg_wdata[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1148__B (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1150__B (.DIODE(reg_wdata[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1150__B (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1153__B (.DIODE(reg_wdata[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1153__B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1155__B (.DIODE(reg_wdata[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1155__B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1157__B (.DIODE(reg_wdata[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1157__B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1159__B (.DIODE(reg_wdata[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1159__B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1162__B (.DIODE(reg_wdata[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1162__B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1164__B (.DIODE(reg_wdata[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1164__B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1166__B (.DIODE(reg_wdata[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1166__B (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1168__B (.DIODE(reg_wdata[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1168__B (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1171__B (.DIODE(reg_wdata[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1171__B (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1173__B (.DIODE(reg_wdata[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1173__B (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1175__B (.DIODE(reg_wdata[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1175__B (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1177__B (.DIODE(reg_wdata[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1177__B (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1180__B (.DIODE(reg_wdata[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1180__B (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1182__B (.DIODE(reg_wdata[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1182__B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1184__B (.DIODE(reg_wdata[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1184__B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1186__B (.DIODE(reg_wdata[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1189__B (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1189__B (.DIODE(reg_wdata[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1191__B (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1191__B (.DIODE(reg_wdata[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1193__B (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1193__B (.DIODE(reg_wdata[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1195__B (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1195__B (.DIODE(reg_wdata[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1198__B (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1198__B (.DIODE(reg_wdata[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1200__B (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1200__B (.DIODE(reg_wdata[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1202__B (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1202__B (.DIODE(reg_wdata[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1204__B (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1204__B (.DIODE(reg_wdata[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1206__B (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1206__B (.DIODE(reg_wdata[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1208__B (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1208__B (.DIODE(reg_wdata[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1210__B (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1210__B (.DIODE(reg_wdata[30]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1213__A3 (.DIODE(reg_addr[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2486,11 +2532,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1216__A (.DIODE(_0623_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1218__A (.DIODE(_0421_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2506,11 +2547,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__A_N (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__C (.DIODE(reg_addr[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__D (.DIODE(reg_addr[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1224__A (.DIODE(_0427_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1226__A_N (.DIODE(reg_addr[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1226__D (.DIODE(reg_addr[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1227__A (.DIODE(_0430_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2526,7 +2592,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1235__B1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1235__A1 (.DIODE(bist_done[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1235__B1 (.DIODE(bist_done[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2536,6 +2607,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__A1 (.DIODE(bist_error[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__B1 (.DIODE(bist_error[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1241__A2 (.DIODE(_0422_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2546,7 +2627,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1243__B1 (.DIODE(\u_bist_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1244__A1 (.DIODE(bist_correct[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1244__B1 (.DIODE(bist_correct[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2576,6 +2662,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__A1 (.DIODE(bist_error_cnt4[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__B1 (.DIODE(bist_error_cnt0[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1260__A (.DIODE(_0427_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2586,6 +2682,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__A1 (.DIODE(bist_error_cnt4[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__B1 (.DIODE(bist_error_cnt0[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__A1 (.DIODE(bist_error_cnt4[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__B1 (.DIODE(bist_error_cnt0[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__A1 (.DIODE(bist_error_cnt4[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__B1 (.DIODE(bist_error_cnt0[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1274__A (.DIODE(_0418_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2596,6 +2722,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1280__A (.DIODE(bist_done[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1281__A1 (.DIODE(bist_done[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1286__A (.DIODE(bist_error[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1287__A1 (.DIODE(bist_error[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1290__A (.DIODE(bist_correct[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1291__A1 (.DIODE(bist_correct[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1293__A (.DIODE(_0418_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2616,6 +2772,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1299__A (.DIODE(bist_error_cnt5[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1300__A1 (.DIODE(bist_error_cnt1[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1302__A (.DIODE(_0417_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2626,31 +2792,101 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1308__A (.DIODE(bist_error_cnt5[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1309__A1 (.DIODE(bist_error_cnt1[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1314__A (.DIODE(bist_error_cnt5[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1315__A1 (.DIODE(bist_error_cnt1[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1318__A (.DIODE(bist_error_cnt5[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1319__A1 (.DIODE(bist_error_cnt1[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1321__B1 (.DIODE(\u_reg0_be2.gen_bit_reg[0].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1322__A (.DIODE(bist_done[6]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1323__A1 (.DIODE(bist_done[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1323__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1324__C1 (.DIODE(_0511_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1327__A (.DIODE(_0472_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1330__A (.DIODE(bist_error[6]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1331__A1 (.DIODE(bist_error[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1331__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1332__B1 (.DIODE(_0515_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1332__C1 (.DIODE(_0518_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1336__A (.DIODE(bist_correct[6]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1337__A1 (.DIODE(bist_correct[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1337__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2666,11 +2902,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1340__A1 (.DIODE(\u_reg0_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1340__A2 (.DIODE(_0450_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1342__A (.DIODE(bist_error_cnt6[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1343__A1 (.DIODE(bist_error_cnt2[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1343__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2681,6 +2932,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1346__A (.DIODE(bist_error_cnt6[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1347__A1 (.DIODE(bist_error_cnt2[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1347__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2696,6 +2957,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1354__A (.DIODE(bist_error_cnt6[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1355__A1 (.DIODE(bist_error_cnt2[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1355__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2716,6 +2987,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1360__A (.DIODE(bist_error_cnt6[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1361__A1 (.DIODE(bist_error_cnt2[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1361__B1 (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2726,11 +3007,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1364__A (.DIODE(bist_done[7]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1365__A1 (.DIODE(bist_done[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1366__C1 (.DIODE(_0545_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1368__A (.DIODE(bist_error[7]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1369__A1 (.DIODE(bist_error[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1370__C1 (.DIODE(_0548_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2741,6 +3042,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1376__A (.DIODE(bist_correct[7]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1377__A1 (.DIODE(bist_correct[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1378__C1 (.DIODE(_0555_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2766,6 +3077,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1384__A (.DIODE(bist_error_cnt7[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1385__A1 (.DIODE(bist_error_cnt3[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1385__B1 (.DIODE(\u_bist_ctrl_be3.gen_bit_reg[4].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2776,6 +3097,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1388__A (.DIODE(bist_error_cnt7[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1389__A1 (.DIODE(bist_error_cnt3[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1389__B1 (.DIODE(\u_bist_ctrl_be3.gen_bit_reg[5].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2786,6 +3117,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1392__A (.DIODE(bist_error_cnt7[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1393__A1 (.DIODE(bist_error_cnt3[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1393__B1 (.DIODE(\u_bist_ctrl_be3.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -2801,11 +3142,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1396__A (.DIODE(bist_error_cnt7[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1397__A1 (.DIODE(bist_error_cnt3[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1398__C1 (.DIODE(_0570_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1417__B (.DIODE(bist_sdo[7]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1420__A (.DIODE(_0583_),
.VGND(vssd1),
.VNB(vssd1),
@@ -2826,7 +3182,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1441__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1441__B1 (.DIODE(reg_wdata[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2846,11 +3202,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1450__A (.DIODE(\u_bist_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1454__A (.DIODE(\u_bist_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3046,6 +3397,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1499__A1 (.DIODE(bist_sdo[6]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1500__A1 (.DIODE(bist_sdo[5]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1501__A1 (.DIODE(bist_sdo[4]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1502__A1 (.DIODE(bist_sdo[3]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1503__A1 (.DIODE(bist_sdo[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1504__A1 (.DIODE(bist_sdo[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1505__A1 (.DIODE(bist_sdo[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1506__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3201,1122 +3587,1142 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1537__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1537__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1538__RESET_B (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1538__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1539__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1539__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1540__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1540__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1541__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1541__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1542__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1542__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1543__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1543__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1544__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1544__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1545__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1545__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1546__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1546__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1547__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1547__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1548__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1548__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1549__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1549__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1550__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1550__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1551__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1551__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1552__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1552__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1553__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1553__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1554__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1554__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1555__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1555__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1555__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1556__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1556__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1557__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1557__RESET_B (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1558__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1558__RESET_B (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1559__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1559__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1560__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1560__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1561__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1561__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1562__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1562__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1563__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1563__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1564__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1564__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1565__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1565__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1566__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1566__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1567__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1567__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1568__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1568__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1569__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1569__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1570__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1570__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1571__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1571__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1572__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1572__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1573__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1573__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1574__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1574__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1575__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1575__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1576__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1576__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1577__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1577__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1578__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1578__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1579__CLK (.DIODE(clknet_1_1_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1579__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1579__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1580__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1580__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1581__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1581__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1582__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1582__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1583__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1583__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1584__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1584__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1585__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1585__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1586__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1586__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1587__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1587__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1588__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1588__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1589__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1588__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1590__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1589__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1591__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1590__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1592__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1591__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1593__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1592__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1594__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1593__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1595__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1594__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1596__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1595__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1596__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1596__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1597__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1597__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1597__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1598__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1598__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1599__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1598__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1600__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1599__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1601__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1599__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1602__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1600__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1603__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1600__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1604__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1601__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1605__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1602__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1606__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1603__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1607__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1604__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1608__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1605__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1609__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1606__RESET_B (.DIODE(net193),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1610__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1607__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1611__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1608__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1612__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1609__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1613__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1610__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1614__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1611__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1615__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1612__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1616__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1612__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1617__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1613__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1618__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1614__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1619__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1615__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1620__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1616__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1621__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1617__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1622__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1618__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1623__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1619__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1624__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1620__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1625__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1621__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1626__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1622__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1627__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1623__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1628__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1624__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1629__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1625__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1630__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1626__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1631__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1627__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1632__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1628__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1633__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1629__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1634__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1630__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1635__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1630__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1636__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1631__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1637__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1632__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1638__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1632__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1639__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1633__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1640__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1634__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1641__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1635__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1642__RESET_B (.DIODE(net75),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1643__RESET_B (.DIODE(reset_n),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1644__RESET_B (.DIODE(reset_n),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1645__RESET_B (.DIODE(net75),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1646__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1636__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1647__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1637__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1648__RESET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1638__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1649__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1639__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1650__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1640__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1651__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1641__RESET_B (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1652__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1642__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1653__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1643__RESET_B (.DIODE(net193),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1654__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1644__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1655__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1645__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1656__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1646__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1657__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1646__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1658__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1647__CLK (.DIODE(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1659__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1647__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1660__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1648__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1661__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1649__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1662__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1650__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1663__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1651__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1664__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1652__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1665__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1653__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1666__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1654__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1667__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1655__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1668__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1656__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1669__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1657__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1670__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1658__RESET_B (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1671__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1659__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1672__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1660__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1673__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1661__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1674__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1662__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1675__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1663__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1676__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1664__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1677__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1665__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1678__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1666__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1679__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1667__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1680__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1668__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1681__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1669__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1682__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1670__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1683__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1671__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1684__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1672__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1685__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1673__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1686__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1674__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1687__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1675__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1687__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1676__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1688__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1677__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1688__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1678__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1689__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1679__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1689__SET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1680__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1690__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1681__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1691__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1682__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1691__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1683__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1692__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1684__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1692__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1685__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1693__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1686__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1693__SET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1687__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1694__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1688__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1695__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1689__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1696__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1690__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1697__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1691__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1698__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1692__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1699__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1693__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1700__SET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1694__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1701__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1695__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1702__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1696__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1702__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1697__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1703__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1698__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1704__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1699__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1705__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1700__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1706__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1701__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1702__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1708__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1703__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1709__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1704__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1710__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1705__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1711__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1706__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1712__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1707__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1713__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1708__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1714__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1709__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1715__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1710__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1716__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1711__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1717__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1712__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1718__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1713__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1719__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1714__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1720__SET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1715__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1721__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1716__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1722__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1717__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1722__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1718__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1723__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1719__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1723__RESET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1720__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1724__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1721__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1724__SET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1722__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1725__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1723__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1725__RESET_B (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1724__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1726__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1725__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1727__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1726__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1728__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1727__RESET_B (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1729__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1728__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1730__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1729__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1731__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1730__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1732__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1731__RESET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1732__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1732__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1733__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1733__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1734__CLK (.DIODE(clknet_leaf_5_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1734__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1734__SET_B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1735__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1735__SET_B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1736__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1736__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1737__RESET_B (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1737__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1738__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1738__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1739__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1739__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1740__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1740__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1741__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1741__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1742__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1742__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1743__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1743__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1744__RESET_B (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1744__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1745__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1745__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1746__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1746__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1747__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1747__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1748__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1748__RESET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1749__SET_B (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1749__SET_B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4346,11 +4752,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_mclk_A (.DIODE(clknet_1_1_0_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_mclk_A (.DIODE(clknet_1_1_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
@@ -4411,12 +4812,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_mclk_A (.DIODE(clknet_1_0_0_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_mclk_A (.DIODE(clknet_1_1_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_mclk_A (.DIODE(clknet_1_0_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4456,647 +4852,172 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input100_A (.DIODE(reg_wdata[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output41_A (.DIODE(net41),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input101_A (.DIODE(reg_wdata[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output42_A (.DIODE(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input102_A (.DIODE(reg_wdata[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output43_A (.DIODE(net43),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input103_A (.DIODE(reg_wdata[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output44_A (.DIODE(net44),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input104_A (.DIODE(reg_wdata[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output45_A (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input105_A (.DIODE(reg_wdata[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output53_A (.DIODE(net53),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input106_A (.DIODE(reg_wdata[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output64_A (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input107_A (.DIODE(reg_wdata[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output67_A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input108_A (.DIODE(reg_wdata[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output68_A (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input109_A (.DIODE(reg_wdata[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output69_A (.DIODE(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input10_A (.DIODE(bist_done[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output70_A (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input110_A (.DIODE(reg_wr),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output71_A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input111_A (.DIODE(reset_n),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output72_A (.DIODE(net72),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input112_A (.DIODE(wbd_clk_int),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output73_A (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input11_A (.DIODE(bist_done[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater75_A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input12_A (.DIODE(bist_done[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater76_A (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input13_A (.DIODE(bist_done[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater77_A (.DIODE(reset_n),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input14_A (.DIODE(bist_done[5]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.clkbuf_1_A (.DIODE(wbd_clk_int),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input15_A (.DIODE(bist_done[6]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_00_A0 (.DIODE(wbd_clk_int),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input16_A (.DIODE(bist_done[7]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_00_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input17_A (.DIODE(bist_error[0]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_01_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input18_A (.DIODE(bist_error[1]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_02_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input19_A (.DIODE(bist_error[2]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_03_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input1_A (.DIODE(bist_correct[0]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_04_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input20_A (.DIODE(bist_error[3]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_05_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input21_A (.DIODE(bist_error[4]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_06_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input22_A (.DIODE(bist_error[5]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_07_S (.DIODE(cfg_cska_glbl[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input23_A (.DIODE(bist_error[6]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_10_S (.DIODE(cfg_cska_glbl[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input24_A (.DIODE(bist_error[7]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_11_S (.DIODE(cfg_cska_glbl[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input25_A (.DIODE(bist_error_cnt0[0]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_12_S (.DIODE(cfg_cska_glbl[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input26_A (.DIODE(bist_error_cnt0[1]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_13_S (.DIODE(cfg_cska_glbl[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input27_A (.DIODE(bist_error_cnt0[2]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_20_S (.DIODE(cfg_cska_glbl[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input28_A (.DIODE(bist_error_cnt0[3]),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_21_S (.DIODE(cfg_cska_glbl[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input29_A (.DIODE(bist_error_cnt1[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input2_A (.DIODE(bist_correct[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input30_A (.DIODE(bist_error_cnt1[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input31_A (.DIODE(bist_error_cnt1[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input32_A (.DIODE(bist_error_cnt1[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input33_A (.DIODE(bist_error_cnt2[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input34_A (.DIODE(bist_error_cnt2[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input35_A (.DIODE(bist_error_cnt2[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input36_A (.DIODE(bist_error_cnt2[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input37_A (.DIODE(bist_error_cnt3[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input38_A (.DIODE(bist_error_cnt3[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input39_A (.DIODE(bist_error_cnt3[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input3_A (.DIODE(bist_correct[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input40_A (.DIODE(bist_error_cnt3[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input41_A (.DIODE(bist_error_cnt4[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input42_A (.DIODE(bist_error_cnt4[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input43_A (.DIODE(bist_error_cnt4[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input44_A (.DIODE(bist_error_cnt4[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input45_A (.DIODE(bist_error_cnt5[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input46_A (.DIODE(bist_error_cnt5[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input47_A (.DIODE(bist_error_cnt5[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input48_A (.DIODE(bist_error_cnt5[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input49_A (.DIODE(bist_error_cnt6[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input4_A (.DIODE(bist_correct[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input50_A (.DIODE(bist_error_cnt6[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input51_A (.DIODE(bist_error_cnt6[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input52_A (.DIODE(bist_error_cnt6[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input53_A (.DIODE(bist_error_cnt7[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input54_A (.DIODE(bist_error_cnt7[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input55_A (.DIODE(bist_error_cnt7[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input56_A (.DIODE(bist_error_cnt7[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input57_A (.DIODE(bist_sdo[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input58_A (.DIODE(bist_sdo[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input59_A (.DIODE(bist_sdo[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input5_A (.DIODE(bist_correct[4]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input60_A (.DIODE(bist_sdo[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input61_A (.DIODE(bist_sdo[4]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input62_A (.DIODE(bist_sdo[5]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input63_A (.DIODE(bist_sdo[6]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input64_A (.DIODE(bist_sdo[7]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input65_A (.DIODE(cfg_cska_glbl[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input66_A (.DIODE(cfg_cska_glbl[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input67_A (.DIODE(cfg_cska_glbl[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input68_A (.DIODE(cfg_cska_glbl[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input69_A (.DIODE(reg_addr[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input6_A (.DIODE(bist_correct[5]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input70_A (.DIODE(reg_addr[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input71_A (.DIODE(reg_addr[4]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input72_A (.DIODE(reg_addr[5]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input73_A (.DIODE(reg_be[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input74_A (.DIODE(reg_be[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input75_A (.DIODE(reg_be[2]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input76_A (.DIODE(reg_be[3]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input77_A (.DIODE(reg_cs),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input78_A (.DIODE(reg_wdata[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input79_A (.DIODE(reg_wdata[10]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input7_A (.DIODE(bist_correct[6]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input80_A (.DIODE(reg_wdata[11]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input81_A (.DIODE(reg_wdata[12]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input82_A (.DIODE(reg_wdata[13]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input83_A (.DIODE(reg_wdata[14]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input84_A (.DIODE(reg_wdata[15]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input85_A (.DIODE(reg_wdata[16]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input86_A (.DIODE(reg_wdata[17]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input87_A (.DIODE(reg_wdata[18]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input88_A (.DIODE(reg_wdata[19]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input89_A (.DIODE(reg_wdata[1]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input8_A (.DIODE(bist_correct[7]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input90_A (.DIODE(reg_wdata[20]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input91_A (.DIODE(reg_wdata[21]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input92_A (.DIODE(reg_wdata[22]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input93_A (.DIODE(reg_wdata[23]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input94_A (.DIODE(reg_wdata[24]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input95_A (.DIODE(reg_wdata[25]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input96_A (.DIODE(reg_wdata[26]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input97_A (.DIODE(reg_wdata[27]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input98_A (.DIODE(reg_wdata[28]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input99_A (.DIODE(reg_wdata[29]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input9_A (.DIODE(bist_done[0]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output153_A (.DIODE(net153),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output154_A (.DIODE(net154),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output155_A (.DIODE(net155),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output156_A (.DIODE(net156),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output157_A (.DIODE(net157),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output165_A (.DIODE(net165),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output176_A (.DIODE(net176),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output179_A (.DIODE(net179),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output180_A (.DIODE(net180),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output181_A (.DIODE(net181),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output182_A (.DIODE(net182),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output183_A (.DIODE(net183),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output184_A (.DIODE(net184),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output185_A (.DIODE(net185),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_split2_A (.DIODE(net111),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_split4_A (.DIODE(net191),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_split8_A (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_skew_glbl.u_mux_level_30_S (.DIODE(cfg_cska_glbl[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5173,11 +5094,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_265 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5185,7 +5102,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5209,15 +5126,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5225,31 +5142,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5257,7 +5174,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5273,23 +5190,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5297,11 +5214,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_490 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5353,6 +5278,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_15 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5389,10 +5318,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_24 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5409,6 +5334,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_27 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5417,7 +5346,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_29 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5469,6 +5402,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_41 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_100_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5489,23 +5426,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_466 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5513,35 +5446,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_77 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5573,6 +5510,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_101_15 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5613,10 +5554,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_24 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_249 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5629,6 +5566,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_27 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5637,11 +5578,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_101_29 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5693,6 +5638,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_101_41 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5709,35 +5658,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_472 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_479 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_486 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5757,7 +5694,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5825,31 +5762,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_257 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5857,7 +5798,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5865,10 +5806,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_298 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_10_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5877,59 +5814,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_334 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_371 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_399 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_384 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5937,31 +5870,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_448 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -5969,15 +5902,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_491 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_499 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_502 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_509 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6061,27 +6006,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_229 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_255 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6089,11 +6030,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_273 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6101,47 +6038,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_294 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_11_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_354 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_372 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6149,27 +6078,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6177,27 +6106,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_474 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6209,11 +6138,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_509 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6281,31 +6214,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_253 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6313,7 +6242,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_284 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6321,7 +6250,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6329,59 +6258,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_351 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_360 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_375 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_389 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_400 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6389,43 +6298,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6497,35 +6406,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_231 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_252 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_250 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6533,19 +6434,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_291 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6553,15 +6458,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6573,27 +6478,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_381 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_379 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6601,15 +6502,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_402 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_13_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_432 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6621,23 +6530,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6649,11 +6558,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_509 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6721,27 +6634,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_228 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_242 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6749,7 +6654,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_265 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6757,15 +6666,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_277 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6773,51 +6678,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_297 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_14_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_336 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_379 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_401 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6833,43 +6754,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_443 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_470 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_484 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6949,11 +6878,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6965,15 +6894,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -6981,23 +6910,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7005,19 +6934,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_306 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_318 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7029,31 +6954,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_383 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7061,35 +6982,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_414 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_420 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_426 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7101,15 +7010,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_458 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_462 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7117,7 +7034,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7125,7 +7042,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_511 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7197,31 +7118,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_241 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_256 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7229,15 +7150,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7245,11 +7166,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7257,11 +7178,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_300 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7269,15 +7186,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_328 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7285,15 +7206,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7301,55 +7222,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_398 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_404 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_16_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_410 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7437,23 +7346,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_261 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7461,7 +7374,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7469,7 +7382,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7477,35 +7390,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_344 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_350 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_364 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_374 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_380 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7513,31 +7446,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_424 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_432 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7545,19 +7470,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_492 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7641,27 +7570,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_221 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_245 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_259 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7669,7 +7610,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7677,11 +7618,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_294 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7689,23 +7626,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_343 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7713,11 +7654,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_387 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_399 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7725,35 +7670,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_475 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7841,35 +7790,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7877,15 +7826,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_288 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7893,39 +7842,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_306 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_355 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_369 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7937,23 +7898,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_431 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7965,15 +7922,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_460 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_466 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_469 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_475 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_483 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -7981,18 +7954,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_493 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_505 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8081,19 +8046,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_231 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_252 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_258 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_266 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8101,15 +8074,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_289 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8117,35 +8094,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_359 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_365 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_373 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_376 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_380 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8153,51 +8150,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_461 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_475 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_481 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8281,31 +8266,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_226 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_255 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8313,7 +8294,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8321,7 +8302,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8329,51 +8310,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_387 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_395 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_398 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8381,27 +8350,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_429 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8409,7 +8378,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8425,11 +8394,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_488 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8521,19 +8486,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_256 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_260 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_264 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8541,19 +8518,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8561,47 +8538,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_310 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_340 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_346 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_352 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_355 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8609,59 +8570,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_482 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_486 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_495 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8669,6 +8626,14 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_509 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8749,19 +8714,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_239 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8769,7 +8730,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_253 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_261 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8777,11 +8746,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_274 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8789,31 +8758,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_294 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_297 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_22_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_339 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_349 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8821,19 +8806,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_387 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8841,7 +8822,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_415 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8849,31 +8834,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_484 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8953,39 +8934,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_260 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -8993,23 +8970,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_275 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_279 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_288 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_296 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9017,43 +8978,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9065,11 +9026,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_393 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9077,51 +9034,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_415 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_443 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_500 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9129,7 +9082,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9197,39 +9150,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_257 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9237,7 +9194,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_273 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9249,59 +9210,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_338 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_362 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_381 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_372 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_396 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_404 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9309,55 +9258,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_413 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_474 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_477 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9433,11 +9374,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_199 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9445,19 +9386,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_257 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9465,15 +9402,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9481,47 +9418,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_369 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_377 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_382 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9529,27 +9454,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_429 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9557,23 +9482,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_453 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_485 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_489 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_501 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9653,31 +9590,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_224 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_255 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9685,7 +9618,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9693,7 +9626,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9701,7 +9634,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9709,35 +9642,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_340 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_394 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9745,35 +9682,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_448 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_468 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9781,7 +9722,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9873,19 +9814,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_260 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9901,7 +9838,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9909,39 +9846,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_310 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9949,47 +9886,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_453 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_478 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_27_486 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9997,7 +9942,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10073,39 +10018,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_226 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_251 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_253 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10113,7 +10050,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_284 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10121,7 +10058,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10129,35 +10066,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_365 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_28_377 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_390 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_396 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10165,39 +10118,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_453 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_459 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_463 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10205,11 +10146,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_498 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_504 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10305,7 +10250,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_237 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_29_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10313,11 +10262,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10325,15 +10274,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_283 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10341,19 +10294,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_318 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10361,39 +10310,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_364 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_370 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_381 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10401,27 +10338,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_420 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_423 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10433,31 +10362,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_483 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_495 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10533,35 +10462,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_231 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_253 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10569,11 +10494,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10581,11 +10506,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_303 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10601,7 +10522,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_341 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_347 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_355 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10609,15 +10538,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_397 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10625,23 +10558,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_442 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10649,15 +10586,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_503 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10733,27 +10666,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_239 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10765,11 +10694,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_263 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10777,11 +10702,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10789,7 +10714,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10797,51 +10722,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_383 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_394 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10849,47 +10782,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_443 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_472 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_491 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10969,23 +10898,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10997,7 +10926,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_260 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_264 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11005,19 +10938,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_274 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_279 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_283 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11025,51 +10950,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_310 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11077,47 +11002,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_453 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_464 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_470 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_476 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_484 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_495 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11197,31 +11142,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_256 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11229,19 +11178,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_274 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_278 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_282 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11249,7 +11190,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11257,55 +11198,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_334 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_383 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_395 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_399 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11313,27 +11242,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_443 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_451 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_454 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11349,6 +11286,14 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_496 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_504 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11437,19 +11382,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_242 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_249 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_255 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_33_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11461,7 +11418,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_284 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11473,15 +11434,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11493,7 +11454,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11513,31 +11474,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11545,7 +11506,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_482 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11645,23 +11606,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_259 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11669,15 +11634,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_282 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11689,59 +11650,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_300 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_378 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_377 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11749,11 +11702,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_400 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11761,47 +11710,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_487 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_511 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_510 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11893,19 +11834,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_259 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11913,7 +11858,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11925,23 +11870,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_287 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_35_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11949,19 +11890,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_363 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_35_371 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_381 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11969,27 +11926,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_438 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11997,15 +11958,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_467 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_488 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12013,6 +11978,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12085,35 +12054,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12125,11 +12094,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_274 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12145,27 +12110,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_334 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_340 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12177,15 +12134,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_398 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12193,31 +12154,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_450 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_455 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12229,7 +12194,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_477 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12309,23 +12278,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_248 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12333,7 +12306,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12345,7 +12318,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12353,43 +12326,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_306 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_364 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_370 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12401,23 +12378,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_417 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_430 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12425,27 +12410,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_494 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12529,31 +12514,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_245 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_251 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12561,11 +12538,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12573,7 +12550,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_294 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12581,27 +12558,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_329 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12609,19 +12590,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_382 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_390 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_393 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12637,31 +12622,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_445 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_441 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_447 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12669,15 +12646,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_488 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12773,23 +12754,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_254 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12797,7 +12774,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12805,15 +12782,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12821,35 +12798,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_353 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_359 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_367 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_370 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_381 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12857,27 +12818,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_413 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_417 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12885,27 +12838,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_484 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_490 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12989,11 +12934,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_205 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13001,19 +12942,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13025,7 +12966,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13033,35 +12974,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_363 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_374 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13069,27 +13002,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_410 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_423 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_427 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13097,15 +13018,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13121,6 +13042,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_509 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13201,31 +13126,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_221 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_253 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_265 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13237,27 +13154,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_293 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_40_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_317 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13265,35 +13174,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_371 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_379 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_391 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13301,27 +13202,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_450 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13429,15 +13326,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_249 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13445,7 +13346,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13453,11 +13354,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_293 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13465,19 +13370,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_308 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_322 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13485,19 +13394,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_354 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_366 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_374 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_377 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13509,47 +13430,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_478 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_497 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13629,43 +13554,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_251 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_255 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13673,11 +13590,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13693,43 +13610,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_370 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_384 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13737,55 +13662,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_482 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_469 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_475 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_477 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13861,27 +13774,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_207 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_228 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13901,35 +13818,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_291 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_295 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_43_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_337 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_359 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13937,7 +13850,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_379 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_385 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13945,35 +13866,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_425 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_429 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_432 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13981,27 +13890,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_467 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_481 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14077,10 +13978,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_189 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14097,19 +13994,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14117,7 +14014,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14129,27 +14026,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_338 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14157,19 +14054,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_398 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14177,23 +14078,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_441 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_449 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_452 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_460 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14201,15 +14118,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_481 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_484 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_488 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14281,19 +14206,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_207 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14301,19 +14226,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_252 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14329,11 +14254,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_293 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14345,31 +14274,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_353 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_362 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14385,19 +14318,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_425 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14405,35 +14334,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_478 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_495 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14513,31 +14446,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_229 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_233 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14557,11 +14486,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14569,7 +14498,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14577,35 +14506,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_340 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_335 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_339 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_350 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14617,35 +14534,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_390 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_393 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_396 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_402 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14657,19 +14562,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_463 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14677,15 +14578,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_501 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_507 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14757,15 +14666,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_205 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_217 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14773,15 +14690,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_264 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14789,23 +14706,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_273 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_279 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_281 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_285 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14813,39 +14714,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_308 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_379 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14853,55 +14750,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_474 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_480 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_486 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_490 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_493 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14981,31 +14898,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_238 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_250 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15013,7 +14930,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_277 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15021,7 +14942,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15029,31 +14950,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_301 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_48_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_347 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_48_355 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15061,19 +14990,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_365 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_374 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_385 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15081,19 +14998,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_429 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_453 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_465 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15101,11 +15030,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15181,39 +15110,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15221,11 +15150,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_283 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15233,59 +15166,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_373 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_376 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_384 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15293,31 +15214,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_437 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15325,27 +15242,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_494 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_490 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15425,23 +15338,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_231 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_239 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_245 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15449,7 +15370,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_257 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15457,15 +15382,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_283 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_4_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15477,31 +15398,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_343 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_346 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_350 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15513,19 +15426,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_370 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15533,59 +15446,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_485 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_498 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_511 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15657,27 +15558,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15685,11 +15586,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_271 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15697,11 +15594,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15713,23 +15610,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_341 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_50_349 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15737,19 +15642,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_390 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15757,51 +15658,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_415 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_450 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15889,23 +15790,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_250 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15921,55 +15826,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_281 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_293 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_51_3 (.VGND(vssd1),