blob: 85c63128adf6003917b9258cad876e3fb2eca8ad [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_proj_example ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 250000 250000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 519 BY 1 STEP 460 0 ;
TRACKS X 230 DO 543 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER li1 ;
TRACKS X 170 DO 735 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER met1 ;
TRACKS X 230 DO 543 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 543 STEP 460 LAYER met2 ;
TRACKS X 340 DO 368 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 368 STEP 680 LAYER met3 ;
TRACKS X 460 DO 272 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 272 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 74 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 74 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 36 STEP 6900 ;
GCELLGRID Y 0 DO 37 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 7717 ;
- ANTENNA__100__A sky130_fd_sc_hd__diode_2 + PLACED ( 230920 130560 ) N ;
- ANTENNA__100__B sky130_fd_sc_hd__diode_2 + PLACED ( 231840 133280 ) FS ;
- ANTENNA__100__C sky130_fd_sc_hd__diode_2 + PLACED ( 228160 130560 ) N ;
- ANTENNA__101__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 152320 ) N ;
- ANTENNA__102__A sky130_fd_sc_hd__diode_2 + PLACED ( 16560 51680 ) FS ;
- ANTENNA__102__B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 57120 ) FS ;
- ANTENNA__102__C sky130_fd_sc_hd__diode_2 + PLACED ( 9660 54400 ) FN ;
- ANTENNA__102__D sky130_fd_sc_hd__diode_2 + PLACED ( 19320 51680 ) FS ;
- ANTENNA__103__A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 16320 ) FN ;
- ANTENNA__104__A sky130_fd_sc_hd__diode_2 + PLACED ( 53360 54400 ) FN ;
- ANTENNA__105__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 234140 179520 ) N ;
- ANTENNA__105__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 234600 184960 ) N ;
- ANTENNA__105__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 230920 182240 ) FS ;
- ANTENNA__105__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 184960 ) N ;
- ANTENNA__106__A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 171360 ) FS ;
- ANTENNA__107__A sky130_fd_sc_hd__diode_2 + PLACED ( 130640 57120 ) S ;
- ANTENNA__107__B sky130_fd_sc_hd__diode_2 + PLACED ( 128800 54400 ) N ;
- ANTENNA__108__A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 92480 ) N ;
- ANTENNA__108__B sky130_fd_sc_hd__diode_2 + PLACED ( 125120 95200 ) S ;
- ANTENNA__108__C sky130_fd_sc_hd__diode_2 + PLACED ( 118680 92480 ) N ;
- ANTENNA__108__D sky130_fd_sc_hd__diode_2 + PLACED ( 130640 92480 ) FN ;
- ANTENNA__109__A sky130_fd_sc_hd__diode_2 + PLACED ( 63480 24480 ) FS ;
- ANTENNA__109__B sky130_fd_sc_hd__diode_2 + PLACED ( 54280 24480 ) FS ;
- ANTENNA__109__C sky130_fd_sc_hd__diode_2 + PLACED ( 61640 27200 ) N ;
- ANTENNA__109__D sky130_fd_sc_hd__diode_2 + PLACED ( 66240 24480 ) S ;
- ANTENNA__110__A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 198560 ) FS ;
- ANTENNA__110__B sky130_fd_sc_hd__diode_2 + PLACED ( 236900 201280 ) FN ;
- ANTENNA__111__A sky130_fd_sc_hd__diode_2 + PLACED ( 45080 127840 ) FS ;
- ANTENNA__111__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 125120 ) N ;
- ANTENNA__111__C sky130_fd_sc_hd__diode_2 + PLACED ( 42320 127840 ) S ;
- ANTENNA__111__D sky130_fd_sc_hd__diode_2 + PLACED ( 45080 130560 ) FN ;
- ANTENNA__112__A sky130_fd_sc_hd__diode_2 + PLACED ( 192740 100640 ) FS ;
- ANTENNA__112__B sky130_fd_sc_hd__diode_2 + PLACED ( 194580 97920 ) N ;
- ANTENNA__112__C sky130_fd_sc_hd__diode_2 + PLACED ( 189980 100640 ) FS ;
- ANTENNA__112__D sky130_fd_sc_hd__diode_2 + PLACED ( 192740 103360 ) N ;
- ANTENNA__113__A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 228480 ) N ;
- ANTENNA__113__B sky130_fd_sc_hd__diode_2 + PLACED ( 130180 231200 ) S ;
- ANTENNA__113__C sky130_fd_sc_hd__diode_2 + PLACED ( 126500 228480 ) N ;
- ANTENNA__113__D sky130_fd_sc_hd__diode_2 + PLACED ( 134780 225760 ) S ;
- ANTENNA__114__A sky130_fd_sc_hd__diode_2 + PLACED ( 218500 97920 ) N ;
- ANTENNA__114__B sky130_fd_sc_hd__diode_2 + PLACED ( 210680 97920 ) FN ;
- ANTENNA__114__D sky130_fd_sc_hd__diode_2 + PLACED ( 212060 95200 ) S ;
- ANTENNA__115__A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 223040 ) FN ;
- ANTENNA__116__A sky130_fd_sc_hd__diode_2 + PLACED ( 171580 57120 ) FS ;
- ANTENNA__116__B sky130_fd_sc_hd__diode_2 + PLACED ( 173420 54400 ) N ;
- ANTENNA__116__C sky130_fd_sc_hd__diode_2 + PLACED ( 181240 57120 ) FS ;
- ANTENNA__116__D_N sky130_fd_sc_hd__diode_2 + PLACED ( 180320 54400 ) N ;
- ANTENNA__117__A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 59840 ) N ;
- ANTENNA__117__B sky130_fd_sc_hd__diode_2 + PLACED ( 50140 62560 ) FS ;
- ANTENNA__117__C sky130_fd_sc_hd__diode_2 + PLACED ( 45540 59840 ) N ;
- ANTENNA__117__D sky130_fd_sc_hd__diode_2 + PLACED ( 47380 57120 ) FS ;
- ANTENNA__118__A sky130_fd_sc_hd__diode_2 + PLACED ( 78200 27200 ) N ;
- ANTENNA__118__B sky130_fd_sc_hd__diode_2 + PLACED ( 80040 24480 ) FS ;
- ANTENNA__118__C sky130_fd_sc_hd__diode_2 + PLACED ( 78200 29920 ) FS ;
- ANTENNA__119__A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 206720 ) FN ;
- ANTENNA__120__A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 163200 ) FN ;
- ANTENNA__121__A sky130_fd_sc_hd__diode_2 + PLACED ( 187680 48960 ) N ;
- ANTENNA__121__B sky130_fd_sc_hd__diode_2 + PLACED ( 188600 46240 ) FS ;
- ANTENNA__121__C_N sky130_fd_sc_hd__diode_2 + PLACED ( 184920 48960 ) N ;
- ANTENNA__122__A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 95200 ) FS ;
- ANTENNA__123__A sky130_fd_sc_hd__diode_2 + PLACED ( 128340 193120 ) S ;
- ANTENNA__123__B sky130_fd_sc_hd__diode_2 + PLACED ( 119600 193120 ) S ;
- ANTENNA__123__C sky130_fd_sc_hd__diode_2 + PLACED ( 116840 193120 ) S ;
- ANTENNA__123__D sky130_fd_sc_hd__diode_2 + PLACED ( 121900 190400 ) N ;
- ANTENNA__124__A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 76160 ) N ;
- ANTENNA__124__C sky130_fd_sc_hd__diode_2 + PLACED ( 171580 73440 ) S ;
- ANTENNA__125__A sky130_fd_sc_hd__diode_2 + PLACED ( 198260 182240 ) FS ;
- ANTENNA__126__A sky130_fd_sc_hd__diode_2 + PLACED ( 237820 187680 ) S ;
- ANTENNA__127__A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 89760 ) FS ;
- ANTENNA__128__A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 133280 ) FS ;
- ANTENNA__129__A sky130_fd_sc_hd__diode_2 + PLACED ( 227700 138720 ) FS ;
- ANTENNA__130__A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 97920 ) N ;
- ANTENNA__131__A sky130_fd_sc_hd__diode_2 + PLACED ( 34040 76160 ) N ;
- ANTENNA__132__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 195960 70720 ) N ;
- ANTENNA__132__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 209760 70720 ) N ;
- ANTENNA__132__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 196880 68000 ) FS ;
- ANTENNA__132__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 199640 68000 ) S ;
- ANTENNA__132__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 198720 73440 ) FS ;
- ANTENNA__133__A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 81600 ) N ;
- ANTENNA__134__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 82340 141440 ) N ;
- ANTENNA__134__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 92000 141440 ) N ;
- ANTENNA__134__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 83260 144160 ) S ;
- ANTENNA__134__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 86020 144160 ) FS ;
- ANTENNA__134__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 88780 138720 ) FS ;
- ANTENNA__135__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 123280 87040 ) N ;
- ANTENNA__135__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 136160 87040 ) N ;
- ANTENNA__135__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 124200 84320 ) FS ;
- ANTENNA__135__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 121440 84320 ) FS ;
- ANTENNA__135__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 127420 81600 ) N ;
- ANTENNA__136__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 70380 228480 ) N ;
- ANTENNA__136__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 80040 233920 ) FN ;
- ANTENNA__136__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 61180 225760 ) FS ;
- ANTENNA__136__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 66240 228480 ) N ;
- ANTENNA__136__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 63940 225760 ) FS ;
- ANTENNA__137__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 57500 144160 ) FS ;
- ANTENNA__137__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 141440 ) N ;
- ANTENNA__137__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 48300 144160 ) FS ;
- ANTENNA__137__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 49220 146880 ) N ;
- ANTENNA__137__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 45540 144160 ) FS ;
- ANTENNA__138__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 87040 ) N ;
- ANTENNA__139__A sky130_fd_sc_hd__diode_2 + PLACED ( 193200 155040 ) FS ;
- ANTENNA__140__A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 78880 ) FS ;
- ANTENNA__141__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 188600 32640 ) N ;
- ANTENNA__141__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 199180 32640 ) N ;
- ANTENNA__141__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 189520 29920 ) FS ;
- ANTENNA__141__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 191360 35360 ) FS ;
- ANTENNA__141__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 192280 29920 ) FS ;
- ANTENNA__142__A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 212160 ) N ;
- ANTENNA__143__A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 165920 ) FS ;
- ANTENNA__144__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 212060 13600 ) S ;
- ANTENNA__144__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 202860 16320 ) N ;
- ANTENNA__144__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 225860 32640 ) N ;
- ANTENNA__144__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 223100 29920 ) FS ;
- ANTENNA__144__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 38080 ) N ;
- ANTENNA__145__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 127880 163200 ) N ;
- ANTENNA__145__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 126040 160480 ) FS ;
- ANTENNA__145__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 118680 163200 ) FN ;
- ANTENNA__145__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 130640 163200 ) N ;
- ANTENNA__145__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 120980 160480 ) FS ;
- ANTENNA__146__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 144440 168640 ) N ;
- ANTENNA__146__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 151800 165920 ) FS ;
- ANTENNA__146__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 145820 165920 ) FS ;
- ANTENNA__146__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 152720 171360 ) S ;
- ANTENNA__146__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 146740 171360 ) S ;
- ANTENNA__147__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 23460 160480 ) FS ;
- ANTENNA__147__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 19780 157760 ) N ;
- ANTENNA__147__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 26220 160480 ) FS ;
- ANTENNA__147__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 21620 163200 ) N ;
- ANTENNA__147__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 22540 157760 ) N ;
- ANTENNA__148__A sky130_fd_sc_hd__diode_2 + PLACED ( 195960 38080 ) N ;
- ANTENNA__149__A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 73440 ) FS ;
- ANTENNA__150__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 209300 54400 ) N ;
- ANTENNA__150__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 212060 54400 ) N ;
- ANTENNA__150__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 210220 59840 ) N ;
- ANTENNA__150__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 212980 59840 ) N ;
- ANTENNA__150__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 214820 54400 ) N ;
- ANTENNA__151__A sky130_fd_sc_hd__diode_2 + PLACED ( 220340 35360 ) S ;
- ANTENNA__152__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67620 100640 ) S ;
- ANTENNA__152__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 65780 97920 ) N ;
- ANTENNA__152__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 58880 100640 ) FS ;
- ANTENNA__152__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 70380 100640 ) FS ;
- ANTENNA__152__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 60720 97920 ) N ;
- ANTENNA__153__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 35420 223040 ) N ;
- ANTENNA__153__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 225760 ) S ;
- ANTENNA__153__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 38180 223040 ) FN ;
- ANTENNA__153__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 34500 225760 ) FS ;
- ANTENNA__153__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 36340 220320 ) FS ;
- ANTENNA__154__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 212980 76160 ) N ;
- ANTENNA__154__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 215740 73440 ) FS ;
- ANTENNA__154__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 213900 78880 ) FS ;
- ANTENNA__154__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 224940 76160 ) N ;
- ANTENNA__154__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 216660 78880 ) S ;
- ANTENNA__155__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 110400 51680 ) S ;
- ANTENNA__155__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 119140 51680 ) FS ;
- ANTENNA__155__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 111320 48960 ) N ;
- ANTENNA__155__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 121900 51680 ) FS ;
- ANTENNA__155__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 112240 54400 ) N ;
- ANTENNA__156__A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 165920 ) FS ;
- ANTENNA__157__A sky130_fd_sc_hd__diode_2 + PLACED ( 99820 35360 ) S ;
- ANTENNA__158__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 234140 225760 ) FS ;
- ANTENNA__158__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 235980 223040 ) N ;
- ANTENNA__158__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 224020 225760 ) FS ;
- ANTENNA__158__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 235060 217600 ) N ;
- ANTENNA__158__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 230920 220320 ) FS ;
- ANTENNA__159__A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 174080 ) N ;
- ANTENNA__160__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28060 190400 ) N ;
- ANTENNA__160__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 36800 190400 ) N ;
- ANTENNA__160__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 187680 ) FS ;
- ANTENNA__160__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 39560 190400 ) FN ;
- ANTENNA__160__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 35880 187680 ) FS ;
- ANTENNA__161__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 109020 68000 ) S ;
- ANTENNA__161__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 104420 65280 ) FN ;
- ANTENNA__161__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 97520 68000 ) FS ;
- ANTENNA__161__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 105340 70720 ) N ;
- ANTENNA__161__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 99360 65280 ) N ;
- ANTENNA__162__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 229080 168640 ) N ;
- ANTENNA__162__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 232760 165920 ) FS ;
- ANTENNA__162__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 230000 171360 ) FS ;
- ANTENNA__162__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 226320 168640 ) N ;
- ANTENNA__162__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 231840 163200 ) N ;
- ANTENNA__163__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 144160 ) FS ;
- ANTENNA__163__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 29440 141440 ) N ;
- ANTENNA__163__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 34500 144160 ) FS ;
- ANTENNA__163__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 32200 141440 ) N ;
- ANTENNA__163__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 33120 146880 ) N ;
- ANTENNA__164__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 84320 ) FS ;
- ANTENNA__165__A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 70720 ) N ;
- ANTENNA__166__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 138720 ) FS ;
- ANTENNA__166__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 28520 138720 ) FS ;
- ANTENNA__166__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 29440 136000 ) N ;
- ANTENNA__166__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 26680 136000 ) N ;
- ANTENNA__166__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 34500 138720 ) FS ;
- ANTENNA__167__A sky130_fd_sc_hd__diode_2 + PLACED ( 66700 225760 ) FS ;
- ANTENNA__168__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 27600 152320 ) N ;
- ANTENNA__168__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 23920 149600 ) FS ;
- ANTENNA__168__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 30360 152320 ) N ;
- ANTENNA__168__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 25760 155040 ) FS ;
- ANTENNA__168__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 26680 149600 ) FS ;
- ANTENNA__169__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 26680 54400 ) N ;
- ANTENNA__169__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 23000 51680 ) S ;
- ANTENNA__169__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 29440 54400 ) FN ;
- ANTENNA__169__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 24840 57120 ) FS ;
- ANTENNA__169__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 25760 51680 ) S ;
- ANTENNA__170__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 173420 228480 ) N ;
- ANTENNA__170__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 175260 225760 ) FS ;
- ANTENNA__170__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 170200 228480 ) FN ;
- ANTENNA__170__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 177560 231200 ) S ;
- ANTENNA__170__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 178020 225760 ) S ;
- ANTENNA__171__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 147660 201280 ) N ;
- ANTENNA__171__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 138000 201280 ) N ;
- ANTENNA__171__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 135240 201280 ) FN ;
- ANTENNA__171__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 138000 204000 ) FS ;
- ANTENNA__171__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 140760 204000 ) FS ;
- ANTENNA__172__A sky130_fd_sc_hd__diode_2 + PLACED ( 83720 125120 ) N ;
- ANTENNA__173__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 43520 ) N ;
- ANTENNA__174__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 155480 171360 ) FS ;
- ANTENNA__174__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 161920 168640 ) FN ;
- ANTENNA__174__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 149960 171360 ) FS ;
- ANTENNA__174__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 155020 163200 ) N ;
- ANTENNA__174__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 153180 174080 ) FN ;
- ANTENNA__175__A sky130_fd_sc_hd__diode_2 + PLACED ( 111780 114240 ) N ;
- ANTENNA__176__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 135240 40800 ) S ;
- ANTENNA__176__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 138920 43520 ) N ;
- ANTENNA__176__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 136160 38080 ) N ;
- ANTENNA__176__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 137080 35360 ) FS ;
- ANTENNA__176__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 141680 43520 ) N ;
- ANTENNA__177__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 178480 125120 ) N ;
- ANTENNA__177__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 182160 122400 ) FS ;
- ANTENNA__177__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 179400 122400 ) FS ;
- ANTENNA__177__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 180320 127840 ) S ;
- ANTENNA__177__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 183080 127840 ) FS ;
- ANTENNA__178__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 213900 32640 ) N ;
- ANTENNA__178__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 217580 35360 ) FS ;
- ANTENNA__178__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 214820 29920 ) S ;
- ANTENNA__178__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 214820 35360 ) FS ;
- ANTENNA__178__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 216660 27200 ) FN ;
- ANTENNA__179__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 127880 103360 ) N ;
- ANTENNA__179__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 118680 103360 ) N ;
- ANTENNA__179__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 115920 103360 ) N ;
- ANTENNA__179__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 119140 100640 ) FS ;
- ANTENNA__179__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 120060 106080 ) FS ;
- ANTENNA__180__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 149040 73440 ) FS ;
- ANTENNA__180__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 152720 76160 ) N ;
- ANTENNA__180__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 149960 76160 ) N ;
- ANTENNA__180__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 146280 73440 ) FS ;
- ANTENNA__180__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 154100 70720 ) N ;
- ANTENNA__181__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 59840 ) N ;
- ANTENNA__181__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 14260 59840 ) N ;
- ANTENNA__181__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 15180 62560 ) FS ;
- ANTENNA__181__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 12420 57120 ) S ;
- ANTENNA__181__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 17940 62560 ) FS ;
- ANTENNA__182__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 220800 187680 ) FS ;
- ANTENNA__182__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 224940 184960 ) N ;
- ANTENNA__182__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 221720 184960 ) N ;
- ANTENNA__182__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 224940 190400 ) FN ;
- ANTENNA__182__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 218040 187680 ) FS ;
- ANTENNA__183__A sky130_fd_sc_hd__diode_2 + PLACED ( 230000 40800 ) FS ;
- ANTENNA__183__B sky130_fd_sc_hd__diode_2 + PLACED ( 234600 38080 ) FN ;
- ANTENNA__183__C sky130_fd_sc_hd__diode_2 + PLACED ( 227240 40800 ) FS ;
- ANTENNA__183__D sky130_fd_sc_hd__diode_2 + PLACED ( 227240 43520 ) N ;
- ANTENNA__184__A sky130_fd_sc_hd__diode_2 + PLACED ( 94300 111520 ) FS ;
- ANTENNA__184__B sky130_fd_sc_hd__diode_2 + PLACED ( 100280 111520 ) FS ;
- ANTENNA__185__A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 54400 ) N ;
- ANTENNA__185__B sky130_fd_sc_hd__diode_2 + PLACED ( 126960 51680 ) S ;
- ANTENNA__185__D_N sky130_fd_sc_hd__diode_2 + PLACED ( 115920 54400 ) N ;
- ANTENNA__186__A sky130_fd_sc_hd__diode_2 + PLACED ( 65780 46240 ) S ;
- ANTENNA__187__A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 127840 ) FS ;
- ANTENNA__188__A sky130_fd_sc_hd__diode_2 + PLACED ( 88780 48960 ) FN ;
- ANTENNA__189__A sky130_fd_sc_hd__diode_2 + PLACED ( 195960 157760 ) N ;
- ANTENNA__189__B sky130_fd_sc_hd__diode_2 + PLACED ( 197340 160480 ) FS ;
- ANTENNA__189__C sky130_fd_sc_hd__diode_2 + PLACED ( 200100 160480 ) S ;
- ANTENNA__190__A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 184960 ) FN ;
- ANTENNA__191__A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 146880 ) N ;
- ANTENNA__192__A sky130_fd_sc_hd__diode_2 + PLACED ( 133400 81600 ) N ;
- ANTENNA__193__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 210680 43520 ) N ;
- ANTENNA__193__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 212520 40800 ) FS ;
- ANTENNA__193__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 212060 46240 ) FS ;
- ANTENNA__194__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 63020 59840 ) FN ;
- ANTENNA__194__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 60260 62560 ) FS ;
- ANTENNA__194__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 65780 59840 ) FN ;
- ANTENNA__194__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 61180 57120 ) FS ;
- ANTENNA__195__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 90620 57120 ) FS ;
- ANTENNA__195__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 87860 57120 ) FS ;
- ANTENNA__195__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 93380 57120 ) S ;
- ANTENNA__195__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 96140 54400 ) N ;
- ANTENNA__196__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 195960 21760 ) N ;
- ANTENNA__196__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 189980 19040 ) S ;
- ANTENNA__196__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 190900 24480 ) FS ;
- ANTENNA__197__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 121900 209440 ) FS ;
- ANTENNA__197__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 110860 209440 ) FS ;
- ANTENNA__197__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 124660 209440 ) S ;
- ANTENNA__197__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 121900 212160 ) FN ;
- ANTENNA__198__A sky130_fd_sc_hd__diode_2 + PLACED ( 212060 111520 ) FS ;
- ANTENNA__199__A sky130_fd_sc_hd__diode_2 + PLACED ( 58420 29920 ) FS ;
- ANTENNA__200__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 201480 187680 ) FS ;
- ANTENNA__200__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 199640 184960 ) N ;
- ANTENNA__200__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 204240 187680 ) FS ;
- ANTENNA__200__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 190440 187680 ) FS ;
- ANTENNA__201__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 50140 119680 ) FN ;
- ANTENNA__201__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 58420 119680 ) N ;
- ANTENNA__201__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 61180 119680 ) N ;
- ANTENNA__201__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 57500 116960 ) FS ;
- ANTENNA__202__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 193200 119680 ) FN ;
- ANTENNA__202__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 191360 116960 ) FS ;
- ANTENNA__202__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 182160 119680 ) N ;
- ANTENNA__203__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 204240 62560 ) S ;
- ANTENNA__203__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 214360 65280 ) FN ;
- ANTENNA__203__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 217120 65280 ) N ;
- ANTENNA__203__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 205160 68000 ) FS ;
- ANTENNA__204__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 154100 144160 ) FS ;
- ANTENNA__204__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 152260 141440 ) N ;
- ANTENNA__204__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 156860 144160 ) FS ;
- ANTENNA__204__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 143060 144160 ) FS ;
- ANTENNA__205__A sky130_fd_sc_hd__diode_2 + PLACED ( 153180 152320 ) N ;
- ANTENNA__206__A sky130_fd_sc_hd__diode_2 + PLACED ( 218500 62560 ) FS ;
- ANTENNA__207__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 136160 81600 ) FN ;
- ANTENNA__207__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 140300 84320 ) S ;
- ANTENNA__207__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 143060 84320 ) S ;
- ANTENNA__207__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 139380 81600 ) N ;
- ANTENNA__208__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 83720 89760 ) S ;
- ANTENNA__208__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 80960 87040 ) N ;
- ANTENNA__208__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 82800 92480 ) N ;
- ANTENNA__208__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 83720 87040 ) N ;
- ANTENNA__209__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 99360 87040 ) N ;
- ANTENNA__209__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 107640 87040 ) N ;
- ANTENNA__209__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 100280 84320 ) S ;
- ANTENNA__209__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 110400 87040 ) N ;
- ANTENNA__210__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 49220 122400 ) FS ;
- ANTENNA__210__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 47380 119680 ) N ;
- ANTENNA__210__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 51980 122400 ) S ;
- ANTENNA__210__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 48300 116960 ) FS ;
- ANTENNA__211__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 234600 136000 ) FN ;
- ANTENNA__211__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 225400 133280 ) FS ;
- ANTENNA__211__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 224480 138720 ) S ;
- ANTENNA__212__A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 152320 ) N ;
- ANTENNA__213__A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 29920 ) S ;
- ANTENNA__214__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 152260 68000 ) S ;
- ANTENNA__214__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 147660 68000 ) FS ;
- ANTENNA__214__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 156860 70720 ) N ;
- ANTENNA__214__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 144440 70720 ) N ;
- ANTENNA__215__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 105800 212160 ) FN ;
- ANTENNA__215__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 96600 209440 ) FS ;
- ANTENNA__215__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 108560 212160 ) FN ;
- ANTENNA__215__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 103960 209440 ) FS ;
- ANTENNA__216__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 132480 223040 ) N ;
- ANTENNA__216__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 123280 225760 ) FS ;
- ANTENNA__216__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 123280 223040 ) N ;
- ANTENNA__216__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 120520 225760 ) FS ;
- ANTENNA__217__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 57040 228480 ) N ;
- ANTENNA__217__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 54280 228480 ) N ;
- ANTENNA__217__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 57500 225760 ) FS ;
- ANTENNA__217__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 54280 225760 ) FS ;
- ANTENNA__218__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 129720 59840 ) FN ;
- ANTENNA__218__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 123280 62560 ) FS ;
- ANTENNA__218__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 127880 62560 ) S ;
- ANTENNA__218__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 118680 59840 ) N ;
- ANTENNA__219__A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 95200 ) FS ;
- ANTENNA__220__A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 228480 ) FN ;
- ANTENNA__221__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 184920 174080 ) FN ;
- ANTENNA__221__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 173880 174080 ) N ;
- ANTENNA__221__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 183080 171360 ) FS ;
- ANTENNA__221__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 174800 171360 ) FS ;
- ANTENNA__222__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 195840 ) FN ;
- ANTENNA__222__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 16560 193120 ) FS ;
- ANTENNA__222__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 198560 ) FS ;
- ANTENNA__223__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 116840 38080 ) FN ;
- ANTENNA__223__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 109020 38080 ) N ;
- ANTENNA__223__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 109020 35360 ) S ;
- ANTENNA__223__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 109940 40800 ) FS ;
- ANTENNA__224__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 10580 157760 ) N ;
- ANTENNA__224__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 11500 163200 ) N ;
- ANTENNA__225__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 12880 136000 ) N ;
- ANTENNA__225__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 11040 141440 ) N ;
- ANTENNA__225__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 13800 141440 ) N ;
- ANTENNA__226__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 152320 ) N ;
- ANTENNA__227__A sky130_fd_sc_hd__diode_2 + PLACED ( 191360 193120 ) FS ;
- ANTENNA__228__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 208380 21760 ) N ;
- ANTENNA__228__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 212060 24480 ) S ;
- ANTENNA__229__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 170200 179520 ) N ;
- ANTENNA__229__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 172500 176800 ) FS ;
- ANTENNA__229__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 171580 182240 ) FS ;
- ANTENNA__229__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 181700 179520 ) N ;
- ANTENNA__230__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 140300 89760 ) S ;
- ANTENNA__230__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 134780 95200 ) FS ;
- ANTENNA__230__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 143060 89760 ) S ;
- ANTENNA__230__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 138920 87040 ) N ;
- ANTENNA__231__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 131560 95200 ) FS ;
- ANTENNA__231__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 138920 92480 ) N ;
- ANTENNA__231__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 141680 92480 ) N ;
- ANTENNA__231__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 137540 95200 ) FS ;
- ANTENNA__232__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 19320 138720 ) S ;
- ANTENNA__232__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 136000 ) N ;
- ANTENNA__232__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 141440 ) FN ;
- ANTENNA__232__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 21620 136000 ) N ;
- ANTENNA__233__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 23460 76160 ) N ;
- ANTENNA__233__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 20700 76160 ) N ;
- ANTENNA__233__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 22540 81600 ) N ;
- ANTENNA__233__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 19780 81600 ) N ;
- ANTENNA__234__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 83260 225760 ) FS ;
- ANTENNA__234__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 79120 223040 ) N ;
- ANTENNA__234__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 80960 228480 ) FN ;
- ANTENNA__234__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 81880 223040 ) N ;
- ANTENNA__265__A sky130_fd_sc_hd__diode_2 + PLACED ( 216660 92480 ) N ;
- ANTENNA__266__A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 103360 ) FN ;
- ANTENNA__267__A sky130_fd_sc_hd__diode_2 + PLACED ( 115000 114240 ) FN ;
- ANTENNA__268__A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 184960 ) FN ;
- ANTENNA__269__A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 35360 ) FS ;
- ANTENNA__270__A sky130_fd_sc_hd__diode_2 + PLACED ( 15640 19040 ) S ;
- ANTENNA__271__A sky130_fd_sc_hd__diode_2 + PLACED ( 164220 32640 ) N ;
- ANTENNA__272__A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 78880 ) FS ;
- ANTENNA__273__A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 27200 ) N ;
- ANTENNA__274__A sky130_fd_sc_hd__diode_2 + PLACED ( 177100 206720 ) N ;
- ANTENNA__275__A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 35360 ) FS ;
- ANTENNA__276__A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 228480 ) N ;
- ANTENNA__277__A sky130_fd_sc_hd__diode_2 + PLACED ( 61180 176800 ) FS ;
- ANTENNA__278__A sky130_fd_sc_hd__diode_2 + PLACED ( 213900 27200 ) N ;
- ANTENNA__279__A sky130_fd_sc_hd__diode_2 + PLACED ( 72680 195840 ) FN ;
- ANTENNA__280__A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 223040 ) FN ;
- ANTENNA__281__A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 149600 ) FS ;
- ANTENNA__282__A sky130_fd_sc_hd__diode_2 + PLACED ( 185380 146880 ) N ;
- ANTENNA__283__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 114240 ) N ;
- ANTENNA__284__A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 209440 ) FS ;
- ANTENNA__285__A sky130_fd_sc_hd__diode_2 + PLACED ( 75440 190400 ) N ;
- ANTENNA__286__A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 163200 ) N ;
- ANTENNA__287__A sky130_fd_sc_hd__diode_2 + PLACED ( 222640 62560 ) FS ;
- ANTENNA__288__A sky130_fd_sc_hd__diode_2 + PLACED ( 164220 29920 ) FS ;
- ANTENNA__289__A sky130_fd_sc_hd__diode_2 + PLACED ( 85560 198560 ) FS ;
- ANTENNA__290__A sky130_fd_sc_hd__diode_2 + PLACED ( 88780 59840 ) N ;
- ANTENNA__291__A sky130_fd_sc_hd__diode_2 + PLACED ( 187220 201280 ) N ;
- ANTENNA__292__A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 125120 ) N ;
- ANTENNA__293__A sky130_fd_sc_hd__diode_2 + PLACED ( 46460 62560 ) FS ;
- ANTENNA__294__A sky130_fd_sc_hd__diode_2 + PLACED ( 227240 157760 ) N ;
- ANTENNA__295__A sky130_fd_sc_hd__diode_2 + PLACED ( 215740 193120 ) FS ;
- ANTENNA__296__A sky130_fd_sc_hd__diode_2 + PLACED ( 85100 95200 ) FS ;
- ANTENNA__297__A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 163200 ) N ;
- ANTENNA__298__A sky130_fd_sc_hd__diode_2 + PLACED ( 206080 217600 ) N ;
- ANTENNA__299__A sky130_fd_sc_hd__diode_2 + PLACED ( 164220 43520 ) N ;
- ANTENNA__300__A sky130_fd_sc_hd__diode_2 + PLACED ( 185840 65280 ) N ;
- ANTENNA__301__A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 217600 ) N ;
- ANTENNA__302__A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 13600 ) S ;
- ANTENNA__303__A sky130_fd_sc_hd__diode_2 + PLACED ( 59340 54400 ) N ;
- ANTENNA__304__A sky130_fd_sc_hd__diode_2 + PLACED ( 198260 220320 ) FS ;
- ANTENNA__305__A sky130_fd_sc_hd__diode_2 + PLACED ( 209300 92480 ) N ;
- ANTENNA__306__A sky130_fd_sc_hd__diode_2 + PLACED ( 174340 198560 ) FS ;
- ANTENNA__307__A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 155040 ) FS ;
- ANTENNA__308__A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 57120 ) FS ;
- ANTENNA__309__A sky130_fd_sc_hd__diode_2 + PLACED ( 200100 198560 ) FS ;
- ANTENNA__310__A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 19040 ) FS ;
- ANTENNA__311__A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 176800 ) FS ;
- ANTENNA__312__A sky130_fd_sc_hd__diode_2 + PLACED ( 52440 89760 ) FS ;
- ANTENNA__313__A sky130_fd_sc_hd__diode_2 + PLACED ( 28980 38080 ) N ;
- ANTENNA__314__A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 155040 ) FS ;
- ANTENNA__315__A sky130_fd_sc_hd__diode_2 + PLACED ( 79120 68000 ) FS ;
- ANTENNA__316__A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 155040 ) FS ;
- ANTENNA__317__A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 32640 ) N ;
- ANTENNA__318__A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 111520 ) FS ;
- ANTENNA__319__A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 133280 ) FS ;
- ANTENNA__320__A sky130_fd_sc_hd__diode_2 + PLACED ( 221260 225760 ) FS ;
- ANTENNA__321__A sky130_fd_sc_hd__diode_2 + PLACED ( 138460 54400 ) N ;
- ANTENNA__322__A sky130_fd_sc_hd__diode_2 + PLACED ( 130640 70720 ) N ;
- ANTENNA__323__A sky130_fd_sc_hd__diode_2 + PLACED ( 86940 136000 ) N ;
- ANTENNA__324__A sky130_fd_sc_hd__diode_2 + PLACED ( 92460 233920 ) FN ;
- ANTENNA__325__A sky130_fd_sc_hd__diode_2 + PLACED ( 211140 27200 ) N ;
- ANTENNA__326__A sky130_fd_sc_hd__diode_2 + PLACED ( 189060 190400 ) N ;
- ANTENNA__327__A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 46240 ) FS ;
- ANTENNA__328__A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 160480 ) FS ;
- ANTENNA__329__A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 179520 ) N ;
- ANTENNA__330__A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 48960 ) N ;
- ANTENNA__331__A sky130_fd_sc_hd__diode_2 + PLACED ( 215280 182240 ) FS ;
- ANTENNA__332__A sky130_fd_sc_hd__diode_2 + PLACED ( 120520 111520 ) FS ;
- ANTENNA__333__A sky130_fd_sc_hd__diode_2 + PLACED ( 135700 146880 ) N ;
- ANTENNA__334__A sky130_fd_sc_hd__diode_2 + PLACED ( 220340 125120 ) N ;
- ANTENNA__335__A sky130_fd_sc_hd__diode_2 + PLACED ( 39100 46240 ) S ;
- ANTENNA__336__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 87040 ) N ;
- ANTENNA__337__A sky130_fd_sc_hd__diode_2 + PLACED ( 74060 146880 ) N ;
- ANTENNA__338__A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 165920 ) FS ;
- ANTENNA__339__A sky130_fd_sc_hd__diode_2 + PLACED ( 195040 127840 ) FS ;
- ANTENNA__340__A sky130_fd_sc_hd__diode_2 + PLACED ( 155940 103360 ) N ;
- ANTENNA__341__A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 141440 ) FN ;
- ANTENNA__342__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 228480 ) N ;
- ANTENNA__343__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 103360 ) N ;
- ANTENNA__344__A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 106080 ) S ;
- ANTENNA__345__A sky130_fd_sc_hd__diode_2 + PLACED ( 137540 225760 ) FS ;
- ANTENNA__346__A sky130_fd_sc_hd__diode_2 + PLACED ( 199640 65280 ) N ;
- ANTENNA__347__A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 217600 ) N ;
- ANTENNA__348__A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 201280 ) N ;
- ANTENNA__349__A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 27200 ) FN ;
- ANTENNA__350__A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 19040 ) S ;
- ANTENNA__351__A sky130_fd_sc_hd__diode_2 + PLACED ( 148120 116960 ) S ;
- ANTENNA__352__A sky130_fd_sc_hd__diode_2 + PLACED ( 68540 176800 ) FS ;
- ANTENNA__353__A sky130_fd_sc_hd__diode_2 + PLACED ( 158240 163200 ) N ;
- ANTENNA__354__A sky130_fd_sc_hd__diode_2 + PLACED ( 212060 29920 ) S ;
- ANTENNA__355__A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 24480 ) FS ;
- ANTENNA__356__A sky130_fd_sc_hd__diode_2 + PLACED ( 199180 223040 ) N ;
- ANTENNA__357__A sky130_fd_sc_hd__diode_2 + PLACED ( 135240 217600 ) N ;
- ANTENNA__358__A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 111520 ) S ;
- ANTENNA__359__A sky130_fd_sc_hd__diode_2 + PLACED ( 77280 100640 ) S ;
- ANTENNA__360__A sky130_fd_sc_hd__diode_2 + PLACED ( 152260 40800 ) FS ;
- ANTENNA__361__A sky130_fd_sc_hd__diode_2 + PLACED ( 237820 176800 ) FS ;
- ANTENNA__362__A sky130_fd_sc_hd__diode_2 + PLACED ( 53360 76160 ) N ;
- ANTENNA__363__A sky130_fd_sc_hd__diode_2 + PLACED ( 226320 95200 ) FS ;
- ANTENNA__364__A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 214880 ) FS ;
- ANTENNA__365__A sky130_fd_sc_hd__diode_2 + PLACED ( 120060 89760 ) FS ;
- ANTENNA__366__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 16320 ) N ;
- ANTENNA__367__A sky130_fd_sc_hd__diode_2 + PLACED ( 79120 125120 ) FN ;
- ANTENNA__368__A sky130_fd_sc_hd__diode_2 + PLACED ( 237360 146880 ) N ;
- ANTENNA__369__A sky130_fd_sc_hd__diode_2 + PLACED ( 34960 217600 ) N ;
- ANTENNA__370__A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 195840 ) N ;
- ANTENNA__371__A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 157760 ) N ;
- ANTENNA__372__A sky130_fd_sc_hd__diode_2 + PLACED ( 188600 92480 ) N ;
- ANTENNA__373__A sky130_fd_sc_hd__diode_2 + PLACED ( 207000 59840 ) N ;
- ANTENNA__374__A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 163200 ) N ;
- ANTENNA__375__A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 149600 ) FS ;
- ANTENNA__376__A sky130_fd_sc_hd__diode_2 + PLACED ( 227240 160480 ) FS ;
- ANTENNA__377__A sky130_fd_sc_hd__diode_2 + PLACED ( 200100 40800 ) FS ;
- ANTENNA__378__A sky130_fd_sc_hd__diode_2 + PLACED ( 71300 57120 ) FS ;
- ANTENNA__379__A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 16320 ) N ;
- ANTENNA__380__A sky130_fd_sc_hd__diode_2 + PLACED ( 122820 68000 ) S ;
- ANTENNA__381__A sky130_fd_sc_hd__diode_2 + PLACED ( 122820 78880 ) FS ;
- ANTENNA__382__A sky130_fd_sc_hd__diode_2 + PLACED ( 137540 198560 ) FS ;
- ANTENNA__383__A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 193120 ) FS ;
- ANTENNA__384__A sky130_fd_sc_hd__diode_2 + PLACED ( 119140 133280 ) FS ;
- ANTENNA__385__A sky130_fd_sc_hd__diode_2 + PLACED ( 198260 225760 ) FS ;
- ANTENNA__386__A sky130_fd_sc_hd__diode_2 + PLACED ( 14720 46240 ) FS ;
- ANTENNA__387__A sky130_fd_sc_hd__diode_2 + PLACED ( 12880 76160 ) N ;
- ANTENNA__388__A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 21760 ) N ;
- ANTENNA__389__A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 171360 ) FS ;
- ANTENNA__390__A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 89760 ) FS ;
- ANTENNA__391__A sky130_fd_sc_hd__diode_2 + PLACED ( 227240 127840 ) FS ;
- ANTENNA__392__A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 130560 ) N ;
- ANTENNA__393__A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 217600 ) N ;
- ANTENNA__394__A sky130_fd_sc_hd__diode_2 + PLACED ( 96600 217600 ) FN ;
- ANTENNA__395__A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 125120 ) N ;
- ANTENNA__396__A sky130_fd_sc_hd__diode_2 + PLACED ( 143520 38080 ) FN ;
- ANTENNA__397__A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 195840 ) FN ;
- ANTENNA__398__A sky130_fd_sc_hd__diode_2 + PLACED ( 116380 182240 ) FS ;
- ANTENNA__399__A sky130_fd_sc_hd__diode_2 + PLACED ( 115000 214880 ) FS ;
- ANTENNA__400__A sky130_fd_sc_hd__diode_2 + PLACED ( 64860 19040 ) FS ;
- ANTENNA__401__A sky130_fd_sc_hd__diode_2 + PLACED ( 84640 65280 ) N ;
- ANTENNA__402__A sky130_fd_sc_hd__diode_2 + PLACED ( 58880 38080 ) N ;
- ANTENNA__403__A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 51680 ) FS ;
- ANTENNA__404__A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 190400 ) FN ;
- ANTENNA__405__A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 108800 ) N ;
- ANTENNA__406__A sky130_fd_sc_hd__diode_2 + PLACED ( 182620 32640 ) N ;
- ANTENNA__407__A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 103360 ) N ;
- ANTENNA__408__A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 119680 ) N ;
- ANTENNA__409__A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 51680 ) FS ;
- ANTENNA__410__A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 81600 ) N ;
- ANTENNA__411__A sky130_fd_sc_hd__diode_2 + PLACED ( 97060 165920 ) FS ;
- ANTENNA__412__A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 38080 ) N ;
- ANTENNA__413__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 51680 ) FS ;
- ANTENNA__414__A sky130_fd_sc_hd__diode_2 + PLACED ( 66240 78880 ) FS ;
- ANTENNA__415__A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 92480 ) N ;
- ANTENNA__416__A sky130_fd_sc_hd__diode_2 + PLACED ( 64400 204000 ) FS ;
- ANTENNA__417__A sky130_fd_sc_hd__diode_2 + PLACED ( 140760 32640 ) N ;
- ANTENNA__418__A sky130_fd_sc_hd__diode_2 + PLACED ( 140300 225760 ) FS ;
- ANTENNA__419__A sky130_fd_sc_hd__diode_2 + PLACED ( 146740 133280 ) FS ;
- ANTENNA__420__A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 125120 ) N ;
- ANTENNA__421__A sky130_fd_sc_hd__diode_2 + PLACED ( 84180 38080 ) N ;
- ANTENNA__422__A sky130_fd_sc_hd__diode_2 + PLACED ( 187220 136000 ) N ;
- ANTENNA__423__A sky130_fd_sc_hd__diode_2 + PLACED ( 209300 141440 ) FN ;
- ANTENNA__424__A sky130_fd_sc_hd__diode_2 + PLACED ( 108560 223040 ) FN ;
- ANTENNA__425__A sky130_fd_sc_hd__diode_2 + PLACED ( 152260 51680 ) FS ;
- ANTENNA__426__A sky130_fd_sc_hd__diode_2 + PLACED ( 97060 122400 ) FS ;
- ANTENNA__427__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 84320 ) FS ;
- ANTENNA__428__A sky130_fd_sc_hd__diode_2 + PLACED ( 212520 174080 ) N ;
- ANTENNA__429__A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 198560 ) FS ;
- ANTENNA__430__A sky130_fd_sc_hd__diode_2 + PLACED ( 143060 29920 ) FS ;
- ANTENNA__431__A sky130_fd_sc_hd__diode_2 + PLACED ( 187220 54400 ) N ;
- ANTENNA__432__A sky130_fd_sc_hd__diode_2 + PLACED ( 75440 16320 ) N ;
- ANTENNA__433__A sky130_fd_sc_hd__diode_2 + PLACED ( 97060 176800 ) FS ;
- ANTENNA__434__A sky130_fd_sc_hd__diode_2 + PLACED ( 51520 152320 ) FN ;
- ANTENNA__435__A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 174080 ) FN ;
- ANTENNA__436__A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 127840 ) FS ;
- ANTENNA__437__A sky130_fd_sc_hd__diode_2 + PLACED ( 113620 19040 ) S ;
- ANTENNA__438__A sky130_fd_sc_hd__diode_2 + PLACED ( 205160 228480 ) N ;
- ANTENNA__439__A sky130_fd_sc_hd__diode_2 + PLACED ( 174340 95200 ) FS ;
- ANTENNA__440__A0 sky130_fd_sc_hd__diode_2 + PLACED ( 103040 103360 ) FN ;
- ANTENNA__440__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 96140 100640 ) FS ;
- ANTENNA__440__S sky130_fd_sc_hd__diode_2 + PLACED ( 105800 103360 ) N ;
- ANTENNA__441__A0 sky130_fd_sc_hd__diode_2 + PLACED ( 141220 212160 ) FN ;
- ANTENNA__441__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 126960 212160 ) FN ;
- ANTENNA__441__S sky130_fd_sc_hd__diode_2 + PLACED ( 143980 212160 ) N ;
- ANTENNA__442__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 221720 87040 ) N ;
- ANTENNA__443__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 106720 43520 ) N ;
- ANTENNA__443__D sky130_fd_sc_hd__diode_2 + PLACED ( 104880 40800 ) S ;
- ANTENNA__444__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 92000 119680 ) N ;
- ANTENNA__444__D sky130_fd_sc_hd__diode_2 + PLACED ( 80040 116960 ) S ;
- ANTENNA__445__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 74980 29920 ) FS ;
- ANTENNA__445__D sky130_fd_sc_hd__diode_2 + PLACED ( 73140 27200 ) FN ;
- ANTENNA__446__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 163760 116960 ) FS ;
- ANTENNA__447__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 102120 51680 ) FS ;
- ANTENNA__447__D sky130_fd_sc_hd__diode_2 + PLACED ( 100280 48960 ) N ;
- ANTENNA__448__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 60260 125120 ) N ;
- ANTENNA__448__D sky130_fd_sc_hd__diode_2 + PLACED ( 58420 122400 ) S ;
- ANTENNA__449__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 35880 146880 ) N ;
- ANTENNA__449__D sky130_fd_sc_hd__diode_2 + PLACED ( 31740 149600 ) S ;
- ANTENNA__450__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 123280 43520 ) N ;
- ANTENNA__450__D sky130_fd_sc_hd__diode_2 + PLACED ( 134780 46240 ) FS ;
- ANTENNA__451__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 186300 206720 ) N ;
- ANTENNA__452__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 20240 89760 ) FS ;
- ANTENNA__452__D sky130_fd_sc_hd__diode_2 + PLACED ( 18860 92480 ) N ;
- ANTENNA__453__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 98900 89760 ) FS ;
- ANTENNA__453__D sky130_fd_sc_hd__diode_2 + PLACED ( 87400 87040 ) N ;
- ANTENNA__454__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 67160 204000 ) FS ;
- ANTENNA__455__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 74980 220320 ) FS ;
- ANTENNA__455__D sky130_fd_sc_hd__diode_2 + PLACED ( 61640 220320 ) S ;
- ANTENNA__456__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 113160 187680 ) FS ;
- ANTENNA__456__D sky130_fd_sc_hd__diode_2 + PLACED ( 115000 184960 ) N ;
- ANTENNA__457__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 43700 116960 ) FS ;
- ANTENNA__457__D sky130_fd_sc_hd__diode_2 + PLACED ( 44620 114240 ) FN ;
- ANTENNA__458__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 150420 149600 ) FS ;
- ANTENNA__458__D sky130_fd_sc_hd__diode_2 + PLACED ( 153180 149600 ) S ;
- ANTENNA__459__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 23460 165920 ) FS ;
- ANTENNA__460__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 224020 155040 ) FS ;
- ANTENNA__461__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 86020 108800 ) N ;
- ANTENNA__461__D sky130_fd_sc_hd__diode_2 + PLACED ( 84180 106080 ) FS ;
- ANTENNA__462__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 73140 111520 ) FS ;
- ANTENNA__462__D sky130_fd_sc_hd__diode_2 + PLACED ( 71300 108800 ) N ;
- ANTENNA__463__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 163760 220320 ) FS ;
- ANTENNA__463__D sky130_fd_sc_hd__diode_2 + PLACED ( 165600 217600 ) FN ;
- ANTENNA__464__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 109020 204000 ) FS ;
- ANTENNA__464__D sky130_fd_sc_hd__diode_2 + PLACED ( 95220 204000 ) FS ;
- ANTENNA__465__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 85560 32640 ) N ;
- ANTENNA__465__D sky130_fd_sc_hd__diode_2 + PLACED ( 83720 29920 ) S ;
- ANTENNA__466__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 225400 68000 ) FS ;
- ANTENNA__466__D sky130_fd_sc_hd__diode_2 + PLACED ( 228160 68000 ) FS ;
- ANTENNA__467__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 99820 174080 ) N ;
- ANTENNA__468__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 220800 84320 ) FS ;
- ANTENNA__468__D sky130_fd_sc_hd__diode_2 + PLACED ( 218040 84320 ) FS ;
- ANTENNA__469__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 109020 138720 ) FS ;
- ANTENNA__469__D sky130_fd_sc_hd__diode_2 + PLACED ( 106260 141440 ) FN ;
- ANTENNA__470__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 175720 38080 ) N ;
- ANTENNA__471__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 109020 136000 ) N ;
- ANTENNA__472__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 135240 209440 ) FS ;
- ANTENNA__472__D sky130_fd_sc_hd__diode_2 + PLACED ( 137540 206720 ) FN ;
- ANTENNA__473__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 70380 223040 ) FN ;
- ANTENNA__473__D sky130_fd_sc_hd__diode_2 + PLACED ( 69460 225760 ) S ;
- ANTENNA__474__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 57500 176800 ) FS ;
- ANTENNA__475__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 103040 214880 ) FS ;
- ANTENNA__475__D sky130_fd_sc_hd__diode_2 + PLACED ( 114540 217600 ) N ;
- ANTENNA__476__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 147660 157760 ) N ;
- ANTENNA__476__D sky130_fd_sc_hd__diode_2 + PLACED ( 164680 157760 ) FN ;
- ANTENNA_input100_A sky130_fd_sc_hd__diode_2 + PLACED ( 15640 16320 ) FN ;
- ANTENNA_input101_A sky130_fd_sc_hd__diode_2 + PLACED ( 11040 35360 ) S ;
- ANTENNA_input102_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 13600 ) FS ;
- ANTENNA_input103_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 13600 ) S ;
- ANTENNA_input104_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 35360 ) S ;
- ANTENNA_input105_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 35360 ) S ;
- ANTENNA_input106_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 38080 ) FN ;
- ANTENNA_input107_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 40800 ) S ;
- ANTENNA_input108_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 35360 ) S ;
- ANTENNA_input109_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 38080 ) FN ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 237820 40800 ) S ;
- ANTENNA_input110_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 40800 ) S ;
- ANTENNA_input111_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 16320 ) FN ;
- ANTENNA_input112_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 35360 ) S ;
- ANTENNA_input113_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 32640 ) FN ;
- ANTENNA_input114_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) S ;
- ANTENNA_input115_A sky130_fd_sc_hd__diode_2 + PLACED ( 74060 13600 ) S ;
- ANTENNA_input116_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 38080 ) FN ;
- ANTENNA_input117_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 32640 ) FN ;
- ANTENNA_input118_A sky130_fd_sc_hd__diode_2 + PLACED ( 67620 19040 ) S ;
- ANTENNA_input119_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 29920 ) S ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 21760 ) FN ;
- ANTENNA_input120_A sky130_fd_sc_hd__diode_2 + PLACED ( 65780 21760 ) FN ;
- ANTENNA_input121_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 19040 ) S ;
- ANTENNA_input122_A sky130_fd_sc_hd__diode_2 + PLACED ( 76820 13600 ) S ;
- ANTENNA_input123_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 32640 ) FN ;
- ANTENNA_input124_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 29920 ) S ;
- ANTENNA_input125_A sky130_fd_sc_hd__diode_2 + PLACED ( 11500 43520 ) FN ;
- ANTENNA_input126_A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 10880 ) FN ;
- ANTENNA_input127_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 21760 ) FN ;
- ANTENNA_input128_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 48960 ) FN ;
- ANTENNA_input129_A sky130_fd_sc_hd__diode_2 + PLACED ( 17480 46240 ) S ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 40800 ) S ;
- ANTENNA_input130_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 48960 ) FN ;
- ANTENNA_input131_A sky130_fd_sc_hd__diode_2 + PLACED ( 20240 46240 ) S ;
- ANTENNA_input132_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 43520 ) FN ;
- ANTENNA_input133_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 48960 ) FN ;
- ANTENNA_input134_A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 46240 ) S ;
- ANTENNA_input135_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 51680 ) S ;
- ANTENNA_input136_A sky130_fd_sc_hd__diode_2 + PLACED ( 7360 48960 ) FN ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 43520 ) FN ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 206080 24480 ) S ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 184960 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 198560 ) S ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 214880 ) S ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 46240 ) S ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 223040 ) FN ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 202860 21760 ) FN ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 201280 ) FN ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 212520 233920 ) FN ;
- ANTENNA_input22_A sky130_fd_sc_hd__diode_2 + PLACED ( 208380 27200 ) FN ;
- ANTENNA_input23_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 43520 ) FN ;
- ANTENNA_input24_A sky130_fd_sc_hd__diode_2 + PLACED ( 228160 220320 ) S ;
- ANTENNA_input25_A sky130_fd_sc_hd__diode_2 + PLACED ( 218500 225760 ) S ;
- ANTENNA_input26_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 51680 ) S ;
- ANTENNA_input27_A sky130_fd_sc_hd__diode_2 + PLACED ( 215740 225760 ) S ;
- ANTENNA_input28_A sky130_fd_sc_hd__diode_2 + PLACED ( 203320 24480 ) S ;
- ANTENNA_input29_A sky130_fd_sc_hd__diode_2 + PLACED ( 225400 220320 ) S ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 114240 ) FN ;
- ANTENNA_input30_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 81600 ) FN ;
- ANTENNA_input31_A sky130_fd_sc_hd__diode_2 + PLACED ( 210680 228480 ) FN ;
- ANTENNA_input32_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 92480 ) FN ;
- ANTENNA_input33_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 54400 ) FN ;
- ANTENNA_input34_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 97920 ) FN ;
- ANTENNA_input35_A sky130_fd_sc_hd__diode_2 + PLACED ( 225400 217600 ) FN ;
- ANTENNA_input36_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 127840 ) S ;
- ANTENNA_input37_A sky130_fd_sc_hd__diode_2 + PLACED ( 230920 43520 ) FN ;
- ANTENNA_input38_A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 29920 ) S ;
- ANTENNA_input39_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 214880 ) S ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 125120 ) FN ;
- ANTENNA_input40_A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 27200 ) FN ;
- ANTENNA_input41_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 157760 ) FN ;
- ANTENNA_input42_A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 24480 ) S ;
- ANTENNA_input43_A sky130_fd_sc_hd__diode_2 + PLACED ( 230920 46240 ) S ;
- ANTENNA_input44_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 46240 ) S ;
- ANTENNA_input45_A sky130_fd_sc_hd__diode_2 + PLACED ( 222640 40800 ) S ;
- ANTENNA_input46_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 176800 ) S ;
- ANTENNA_input47_A sky130_fd_sc_hd__diode_2 + PLACED ( 218960 38080 ) FN ;
- ANTENNA_input48_A sky130_fd_sc_hd__diode_2 + PLACED ( 10120 206720 ) FN ;
- ANTENNA_input49_A sky130_fd_sc_hd__diode_2 + PLACED ( 206080 29920 ) S ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 7820 116960 ) S ;
- ANTENNA_input50_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 48960 ) FN ;
- ANTENNA_input51_A sky130_fd_sc_hd__diode_2 + PLACED ( 14260 228480 ) FN ;
- ANTENNA_input52_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 209440 ) S ;
- ANTENNA_input53_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 223040 ) FN ;
- ANTENNA_input54_A sky130_fd_sc_hd__diode_2 + PLACED ( 231840 214880 ) S ;
- ANTENNA_input55_A sky130_fd_sc_hd__diode_2 + PLACED ( 212980 225760 ) S ;
- ANTENNA_input56_A sky130_fd_sc_hd__diode_2 + PLACED ( 202860 27200 ) FN ;
- ANTENNA_input57_A sky130_fd_sc_hd__diode_2 + PLACED ( 222640 220320 ) S ;
- ANTENNA_input58_A sky130_fd_sc_hd__diode_2 + PLACED ( 218960 223040 ) FN ;
- ANTENNA_input59_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 57120 ) S ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 207920 228480 ) FN ;
- ANTENNA_input60_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 76160 ) FN ;
- ANTENNA_input61_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 70720 ) FN ;
- ANTENNA_input62_A sky130_fd_sc_hd__diode_2 + PLACED ( 197800 24480 ) S ;
- ANTENNA_input63_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 92480 ) FN ;
- ANTENNA_input64_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 97920 ) FN ;
- ANTENNA_input65_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 16320 ) FN ;
- ANTENNA_input66_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 21760 ) FN ;
- ANTENNA_input67_A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 19040 ) S ;
- ANTENNA_input68_A sky130_fd_sc_hd__diode_2 + PLACED ( 145820 24480 ) S ;
- ANTENNA_input69_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 57120 ) S ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 130560 ) FN ;
- ANTENNA_input70_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 46240 ) S ;
- ANTENNA_input71_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 48960 ) FN ;
- ANTENNA_input72_A sky130_fd_sc_hd__diode_2 + PLACED ( 28980 43520 ) FN ;
- ANTENNA_input73_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 43520 ) FN ;
- ANTENNA_input74_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 38080 ) FN ;
- ANTENNA_input75_A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 46240 ) S ;
- ANTENNA_input76_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 40800 ) S ;
- ANTENNA_input77_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 40800 ) S ;
- ANTENNA_input78_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 35360 ) S ;
- ANTENNA_input79_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 35360 ) S ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 141440 ) FN ;
- ANTENNA_input80_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 35360 ) S ;
- ANTENNA_input81_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 57120 ) S ;
- ANTENNA_input82_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 43520 ) FN ;
- ANTENNA_input83_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 32640 ) FN ;
- ANTENNA_input84_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 40800 ) S ;
- ANTENNA_input85_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 19040 ) S ;
- ANTENNA_input86_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 38080 ) FN ;
- ANTENNA_input87_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 19040 ) S ;
- ANTENNA_input88_A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 35360 ) S ;
- ANTENNA_input89_A sky130_fd_sc_hd__diode_2 + PLACED ( 79580 13600 ) S ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 240120 146880 ) FN ;
- ANTENNA_input90_A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 13600 ) S ;
- ANTENNA_input91_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 38080 ) FN ;
- ANTENNA_input92_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 48960 ) FN ;
- ANTENNA_input93_A sky130_fd_sc_hd__diode_2 + PLACED ( 55660 32640 ) FN ;
- ANTENNA_input94_A sky130_fd_sc_hd__diode_2 + PLACED ( 64400 27200 ) FN ;
- ANTENNA_input95_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 48960 ) FN ;
- ANTENNA_input96_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 46240 ) S ;
- ANTENNA_input97_A sky130_fd_sc_hd__diode_2 + PLACED ( 86020 10880 ) FN ;
- ANTENNA_input98_A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 48960 ) FN ;
- ANTENNA_input99_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 43520 ) FN ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 165920 ) S ;
- ANTENNA_output137_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 43520 ) N ;
- ANTENNA_output138_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 40800 ) FS ;
- ANTENNA_output139_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 62560 ) S ;
- ANTENNA_output140_A sky130_fd_sc_hd__diode_2 + PLACED ( 20240 57120 ) FS ;
- ANTENNA_output141_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 108800 ) FN ;
- ANTENNA_output142_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 111520 ) S ;
- ANTENNA_output143_A sky130_fd_sc_hd__diode_2 + PLACED ( 219880 40800 ) FS ;
- ANTENNA_output144_A sky130_fd_sc_hd__diode_2 + PLACED ( 229080 214880 ) FS ;
- ANTENNA_output145_A sky130_fd_sc_hd__diode_2 + PLACED ( 231380 212160 ) N ;
- ANTENNA_output146_A sky130_fd_sc_hd__diode_2 + PLACED ( 8740 133280 ) S ;
- ANTENNA_output147_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 149600 ) S ;
- ANTENNA_output148_A sky130_fd_sc_hd__diode_2 + PLACED ( 8740 155040 ) FS ;
- ANTENNA_output149_A sky130_fd_sc_hd__diode_2 + PLACED ( 238280 160480 ) FS ;
- ANTENNA_output150_A sky130_fd_sc_hd__diode_2 + PLACED ( 235520 212160 ) N ;
- ANTENNA_output151_A sky130_fd_sc_hd__diode_2 + PLACED ( 200100 27200 ) N ;
- ANTENNA_output152_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 174080 ) N ;
- ANTENNA_output153_A sky130_fd_sc_hd__diode_2 + PLACED ( 234600 209440 ) FS ;
- ANTENNA_output154_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 214880 ) S ;
- ANTENNA_output155_A sky130_fd_sc_hd__diode_2 + PLACED ( 228160 182240 ) FS ;
- ANTENNA_output156_A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 38080 ) N ;
- ANTENNA_output157_A sky130_fd_sc_hd__diode_2 + PLACED ( 219880 220320 ) FS ;
- ANTENNA_output159_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 195840 ) FN ;
- ANTENNA_output160_A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 223040 ) N ;
- ANTENNA_output162_A sky130_fd_sc_hd__diode_2 + PLACED ( 212060 35360 ) S ;
- ANTENNA_output163_A sky130_fd_sc_hd__diode_2 + PLACED ( 203320 29920 ) S ;
- ANTENNA_output164_A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 220320 ) FS ;
- ANTENNA_output165_A sky130_fd_sc_hd__diode_2 + PLACED ( 238280 54400 ) N ;
- ANTENNA_output166_A sky130_fd_sc_hd__diode_2 + PLACED ( 213440 223040 ) N ;
- ANTENNA_output167_A sky130_fd_sc_hd__diode_2 + PLACED ( 238280 65280 ) N ;
- ANTENNA_output168_A sky130_fd_sc_hd__diode_2 + PLACED ( 8740 65280 ) FN ;
- ANTENNA_output169_A sky130_fd_sc_hd__diode_2 + PLACED ( 195040 24480 ) FS ;
- ANTENNA_output170_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 81600 ) FN ;
- ANTENNA_output171_A sky130_fd_sc_hd__diode_2 + PLACED ( 216200 40800 ) FS ;
- ANTENNA_output172_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 228480 ) N ;
- ANTENNA_output173_A sky130_fd_sc_hd__diode_2 + PLACED ( 58420 220320 ) FS ;
- ANTENNA_output174_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 228480 ) N ;
- ANTENNA_output175_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 228480 ) N ;
- ANTENNA_output176_A sky130_fd_sc_hd__diode_2 + PLACED ( 83720 228480 ) N ;
- ANTENNA_output177_A sky130_fd_sc_hd__diode_2 + PLACED ( 86480 228480 ) N ;
- ANTENNA_output178_A sky130_fd_sc_hd__diode_2 + PLACED ( 87860 225760 ) FS ;
- ANTENNA_output179_A sky130_fd_sc_hd__diode_2 + PLACED ( 98900 228480 ) FN ;
- ANTENNA_output180_A sky130_fd_sc_hd__diode_2 + PLACED ( 104420 231200 ) FS ;
- ANTENNA_output181_A sky130_fd_sc_hd__diode_2 + PLACED ( 103500 228480 ) N ;
- ANTENNA_output182_A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 228480 ) N ;
- ANTENNA_output183_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 225760 ) FS ;
- ANTENNA_output184_A sky130_fd_sc_hd__diode_2 + PLACED ( 117760 228480 ) N ;
- ANTENNA_output185_A sky130_fd_sc_hd__diode_2 + PLACED ( 126960 231200 ) FS ;
- ANTENNA_output186_A sky130_fd_sc_hd__diode_2 + PLACED ( 123740 228480 ) FN ;
- ANTENNA_output187_A sky130_fd_sc_hd__diode_2 + PLACED ( 143520 228480 ) N ;
- ANTENNA_output188_A sky130_fd_sc_hd__diode_2 + PLACED ( 143060 225760 ) S ;
- ANTENNA_output189_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 228480 ) FN ;
- ANTENNA_output190_A sky130_fd_sc_hd__diode_2 + PLACED ( 150420 228480 ) N ;
- ANTENNA_output192_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 233920 ) FN ;
- ANTENNA_output194_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 228480 ) N ;
- ANTENNA_output195_A sky130_fd_sc_hd__diode_2 + PLACED ( 180320 231200 ) S ;
- ANTENNA_output196_A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 231200 ) S ;
- ANTENNA_output197_A sky130_fd_sc_hd__diode_2 + PLACED ( 185380 228480 ) N ;
- ANTENNA_output198_A sky130_fd_sc_hd__diode_2 + PLACED ( 189060 228480 ) N ;
- ANTENNA_output200_A sky130_fd_sc_hd__diode_2 + PLACED ( 199180 228480 ) N ;
- ANTENNA_output201_A sky130_fd_sc_hd__diode_2 + PLACED ( 202400 228480 ) N ;
- ANTENNA_output202_A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 231200 ) FS ;
- ANTENNA_output203_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 225760 ) FS ;
- ANTENNA_output204_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 228480 ) N ;
- ANTENNA_output205_A sky130_fd_sc_hd__diode_2 + PLACED ( 39100 231200 ) FS ;
- ANTENNA_output206_A sky130_fd_sc_hd__diode_2 + PLACED ( 46460 228480 ) N ;
- ANTENNA_output207_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 225760 ) FS ;
- ANTENNA_output208_A sky130_fd_sc_hd__diode_2 + PLACED ( 55200 223040 ) N ;
- ANTENNA_output209_A sky130_fd_sc_hd__diode_2 + PLACED ( 8740 220320 ) FS ;
- ANTENNA_output210_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 217600 ) N ;
- ANTENNA_output211_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 223040 ) N ;
- ANTENNA_output212_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 223040 ) N ;
- ANTENNA_output213_A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 220320 ) FS ;
- ANTENNA_output214_A sky130_fd_sc_hd__diode_2 + PLACED ( 86020 223040 ) N ;
- ANTENNA_output215_A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 225760 ) FS ;
- ANTENNA_output216_A sky130_fd_sc_hd__diode_2 + PLACED ( 95680 225760 ) FS ;
- ANTENNA_output217_A sky130_fd_sc_hd__diode_2 + PLACED ( 106260 228480 ) N ;
- ANTENNA_output218_A sky130_fd_sc_hd__diode_2 + PLACED ( 111780 228480 ) N ;
- ANTENNA_output219_A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 228480 ) N ;
- ANTENNA_output220_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 223040 ) N ;
- ANTENNA_output221_A sky130_fd_sc_hd__diode_2 + PLACED ( 117760 225760 ) FS ;
- ANTENNA_output222_A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 223040 ) FN ;
- ANTENNA_output223_A sky130_fd_sc_hd__diode_2 + PLACED ( 129720 223040 ) N ;
- ANTENNA_output224_A sky130_fd_sc_hd__diode_2 + PLACED ( 145820 225760 ) FS ;
- ANTENNA_output225_A sky130_fd_sc_hd__diode_2 + PLACED ( 140300 223040 ) N ;
- ANTENNA_output226_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 225760 ) FS ;
- ANTENNA_output227_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 225760 ) FS ;
- ANTENNA_output228_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 228480 ) N ;
- ANTENNA_output229_A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 228480 ) FN ;
- ANTENNA_output230_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 225760 ) FS ;
- ANTENNA_output231_A sky130_fd_sc_hd__diode_2 + PLACED ( 22540 225760 ) FS ;
- ANTENNA_output232_A sky130_fd_sc_hd__diode_2 + PLACED ( 172500 225760 ) FS ;
- ANTENNA_output233_A sky130_fd_sc_hd__diode_2 + PLACED ( 180780 225760 ) FS ;
- ANTENNA_output234_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 225760 ) S ;
- ANTENNA_output235_A sky130_fd_sc_hd__diode_2 + PLACED ( 192740 228480 ) N ;
- ANTENNA_output236_A sky130_fd_sc_hd__diode_2 + PLACED ( 195500 228480 ) N ;
- ANTENNA_output237_A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 225760 ) S ;
- ANTENNA_output238_A sky130_fd_sc_hd__diode_2 + PLACED ( 208840 225760 ) FS ;
- ANTENNA_output239_A sky130_fd_sc_hd__diode_2 + PLACED ( 210680 223040 ) N ;
- ANTENNA_output240_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 228480 ) N ;
- ANTENNA_output241_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 225760 ) FS ;
- ANTENNA_output242_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 228480 ) N ;
- ANTENNA_output243_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 225760 ) FS ;
- ANTENNA_output244_A sky130_fd_sc_hd__diode_2 + PLACED ( 46000 225760 ) FS ;
- ANTENNA_output245_A sky130_fd_sc_hd__diode_2 + PLACED ( 51980 223040 ) N ;
- ANTENNA_output246_A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 220320 ) FS ;
- ANTENNA_output248_A sky130_fd_sc_hd__diode_2 + PLACED ( 195960 27200 ) N ;
- ANTENNA_output249_A sky130_fd_sc_hd__diode_2 + PLACED ( 200560 29920 ) FS ;
- ANTENNA_output250_A sky130_fd_sc_hd__diode_2 + PLACED ( 183080 19040 ) FS ;
- ANTENNA_output251_A sky130_fd_sc_hd__diode_2 + PLACED ( 205620 35360 ) FS ;
- ANTENNA_output253_A sky130_fd_sc_hd__diode_2 + PLACED ( 202860 32640 ) N ;
- ANTENNA_output254_A sky130_fd_sc_hd__diode_2 + PLACED ( 208380 35360 ) FS ;
- ANTENNA_output255_A sky130_fd_sc_hd__diode_2 + PLACED ( 212060 38080 ) N ;
- ANTENNA_output256_A sky130_fd_sc_hd__diode_2 + PLACED ( 197800 29920 ) FS ;
- ANTENNA_output257_A sky130_fd_sc_hd__diode_2 + PLACED ( 193200 27200 ) N ;
- ANTENNA_output258_A sky130_fd_sc_hd__diode_2 + PLACED ( 188140 24480 ) S ;
- ANTENNA_output259_A sky130_fd_sc_hd__diode_2 + PLACED ( 209300 38080 ) N ;
- ANTENNA_output260_A sky130_fd_sc_hd__diode_2 + PLACED ( 221720 43520 ) FN ;
- ANTENNA_output261_A sky130_fd_sc_hd__diode_2 + PLACED ( 185380 21760 ) N ;
- ANTENNA_output262_A sky130_fd_sc_hd__diode_2 + PLACED ( 195040 29920 ) FS ;
- ANTENNA_output263_A sky130_fd_sc_hd__diode_2 + PLACED ( 221260 46240 ) FS ;
- ANTENNA_output264_A sky130_fd_sc_hd__diode_2 + PLACED ( 224940 46240 ) FS ;
- ANTENNA_output267_A sky130_fd_sc_hd__diode_2 + PLACED ( 227700 46240 ) S ;
- ANTENNA_output268_A sky130_fd_sc_hd__diode_2 + PLACED ( 228620 48960 ) N ;
- ANTENNA_output269_A sky130_fd_sc_hd__diode_2 + PLACED ( 225860 48960 ) N ;
- ANTENNA_output270_A sky130_fd_sc_hd__diode_2 + PLACED ( 232300 51680 ) FS ;
- ANTENNA_output271_A sky130_fd_sc_hd__diode_2 + PLACED ( 229540 51680 ) FS ;
- ANTENNA_output272_A sky130_fd_sc_hd__diode_2 + PLACED ( 235060 54400 ) FN ;
- ANTENNA_output273_A sky130_fd_sc_hd__diode_2 + PLACED ( 182620 21760 ) FN ;
- ANTENNA_output274_A sky130_fd_sc_hd__diode_2 + PLACED ( 190440 27200 ) N ;
- ANTENNA_output275_A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 16320 ) N ;
- ANTENNA_output276_A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 16320 ) N ;
- ANTENNA_output277_A sky130_fd_sc_hd__diode_2 + PLACED ( 98900 16320 ) N ;
- ANTENNA_output278_A sky130_fd_sc_hd__diode_2 + PLACED ( 93840 19040 ) FS ;
- ANTENNA_output279_A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 13600 ) S ;
- ANTENNA_output280_A sky130_fd_sc_hd__diode_2 + PLACED ( 97520 19040 ) FS ;
- ANTENNA_output281_A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 21760 ) N ;
- ANTENNA_output282_A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 21760 ) N ;
- ANTENNA_output283_A sky130_fd_sc_hd__diode_2 + PLACED ( 115000 16320 ) N ;
- ANTENNA_output284_A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 19040 ) S ;
- ANTENNA_output285_A sky130_fd_sc_hd__diode_2 + PLACED ( 117760 16320 ) FN ;
- ANTENNA_output288_A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 21760 ) N ;
- ANTENNA_output289_A sky130_fd_sc_hd__diode_2 + PLACED ( 117300 21760 ) FN ;
- ANTENNA_output290_A sky130_fd_sc_hd__diode_2 + PLACED ( 120060 19040 ) FS ;
- ANTENNA_output291_A sky130_fd_sc_hd__diode_2 + PLACED ( 131100 13600 ) S ;
- ANTENNA_output292_A sky130_fd_sc_hd__diode_2 + PLACED ( 123740 19040 ) S ;
- ANTENNA_output293_A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 21760 ) N ;
- ANTENNA_output294_A sky130_fd_sc_hd__diode_2 + PLACED ( 127420 19040 ) FS ;
- ANTENNA_output295_A sky130_fd_sc_hd__diode_2 + PLACED ( 124660 21760 ) FN ;
- ANTENNA_output296_A sky130_fd_sc_hd__diode_2 + PLACED ( 120980 24480 ) FS ;
- ANTENNA_output298_A sky130_fd_sc_hd__diode_2 + PLACED ( 130180 19040 ) FS ;
- ANTENNA_output299_A sky130_fd_sc_hd__diode_2 + PLACED ( 127420 21760 ) FN ;
- ANTENNA_output300_A sky130_fd_sc_hd__diode_2 + PLACED ( 136160 19040 ) FS ;
- ANTENNA_output301_A sky130_fd_sc_hd__diode_2 + PLACED ( 130180 21760 ) FN ;
- ANTENNA_output302_A sky130_fd_sc_hd__diode_2 + PLACED ( 133860 21760 ) N ;
- ANTENNA_output304_A sky130_fd_sc_hd__diode_2 + PLACED ( 136620 21760 ) FN ;
- ANTENNA_output305_A sky130_fd_sc_hd__diode_2 + PLACED ( 140300 24480 ) FS ;
- ANTENNA_output306_A sky130_fd_sc_hd__diode_2 + PLACED ( 135700 24480 ) FS ;
- ANTENNA_output307_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 21760 ) N ;
- ANTENNA_output308_A sky130_fd_sc_hd__diode_2 + PLACED ( 143060 24480 ) FS ;
- ANTENNA_output309_A sky130_fd_sc_hd__diode_2 + PLACED ( 140760 27200 ) N ;
- ANTENNA_output310_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 24480 ) FS ;
- ANTENNA_output311_A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 21760 ) FN ;
- ANTENNA_output312_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 24480 ) S ;
- ANTENNA_output313_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 19040 ) FS ;
- ANTENNA_output315_A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 24480 ) S ;
- ANTENNA_output316_A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 19040 ) S ;
- ANTENNA_output318_A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 21760 ) FN ;
- ANTENNA_output319_A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 21760 ) N ;
- ANTENNA_output320_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 21760 ) FN ;
- ANTENNA_output321_A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 21760 ) FN ;
- ANTENNA_output322_A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 19040 ) FS ;
- ANTENNA_output323_A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 24480 ) FS ;
- ANTENNA_output324_A sky130_fd_sc_hd__diode_2 + PLACED ( 172500 19040 ) S ;
- ANTENNA_output326_A sky130_fd_sc_hd__diode_2 + PLACED ( 175260 21760 ) N ;
- ANTENNA_output327_A sky130_fd_sc_hd__diode_2 + PLACED ( 178020 21760 ) FN ;
- ANTENNA_output328_A sky130_fd_sc_hd__diode_2 + PLACED ( 178940 24480 ) FS ;
- ANTENNA_output329_A sky130_fd_sc_hd__diode_2 + PLACED ( 181700 24480 ) S ;
- ANTENNA_output330_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 21760 ) N ;
- ANTENNA_output331_A sky130_fd_sc_hd__diode_2 + PLACED ( 179860 27200 ) N ;
- ANTENNA_output332_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 24480 ) S ;
- ANTENNA_output334_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 29920 ) S ;
- ANTENNA_output335_A sky130_fd_sc_hd__diode_2 + PLACED ( 181700 29920 ) FS ;
- ANTENNA_output336_A sky130_fd_sc_hd__diode_2 + PLACED ( 185380 32640 ) N ;
- ANTENNA_output337_A sky130_fd_sc_hd__diode_2 + PLACED ( 177100 27200 ) FN ;
- ANTENNA_output338_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 24480 ) FS ;
- ANTENNA_output339_A sky130_fd_sc_hd__diode_2 + PLACED ( 170660 24480 ) FS ;
- ANTENNA_output341_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 35360 ) S ;
- ANTENNA_output342_A sky130_fd_sc_hd__diode_2 + PLACED ( 194120 35360 ) S ;
- ANTENNA_output344_A sky130_fd_sc_hd__diode_2 + PLACED ( 196880 35360 ) FS ;
- ANTENNA_output345_A sky130_fd_sc_hd__diode_2 + PLACED ( 199640 35360 ) S ;
- ANTENNA_output347_A sky130_fd_sc_hd__diode_2 + PLACED ( 202400 35360 ) S ;
- ANTENNA_output348_A sky130_fd_sc_hd__diode_2 + PLACED ( 205160 38080 ) FN ;
- ANTENNA_output349_A sky130_fd_sc_hd__diode_2 + PLACED ( 61180 29920 ) FS ;
- ANTENNA_output350_A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 51680 ) S ;
- ANTENNA_output351_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 48960 ) N ;
- ANTENNA_output352_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 40800 ) FS ;
- ANTENNA_output353_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 38080 ) N ;
- ANTENNA_output354_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 40800 ) S ;
- ANTENNA_output355_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 35360 ) FS ;
- ANTENNA_output356_A sky130_fd_sc_hd__diode_2 + PLACED ( 58420 32640 ) N ;
- ANTENNA_output357_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 43520 ) N ;
- ANTENNA_output358_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 38080 ) N ;
- ANTENNA_output359_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 35360 ) S ;
- ANTENNA_output360_A sky130_fd_sc_hd__diode_2 + PLACED ( 61180 32640 ) N ;
- ANTENNA_output362_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 21760 ) N ;
- ANTENNA_output363_A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 27200 ) FN ;
- ANTENNA_output365_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 43520 ) FN ;
- ANTENNA_output366_A sky130_fd_sc_hd__diode_2 + PLACED ( 69000 24480 ) S ;
- ANTENNA_output367_A sky130_fd_sc_hd__diode_2 + PLACED ( 78660 19040 ) S ;
- ANTENNA_output368_A sky130_fd_sc_hd__diode_2 + PLACED ( 71760 24480 ) FS ;
- ANTENNA_output369_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 27200 ) N ;
- ANTENNA_output370_A sky130_fd_sc_hd__diode_2 + PLACED ( 75900 21760 ) N ;
- ANTENNA_output371_A sky130_fd_sc_hd__diode_2 + PLACED ( 81880 16320 ) FN ;
- ANTENNA_output373_A sky130_fd_sc_hd__diode_2 + PLACED ( 74520 24480 ) S ;
- ANTENNA_output374_A sky130_fd_sc_hd__diode_2 + PLACED ( 84640 16320 ) FN ;
- ANTENNA_output375_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 51680 ) S ;
- ANTENNA_output376_A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 48960 ) N ;
- ANTENNA_output377_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 51680 ) FS ;
- ANTENNA_output378_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 48960 ) N ;
- ANTENNA_output379_A sky130_fd_sc_hd__diode_2 + PLACED ( 41860 46240 ) FS ;
- ANTENNA_output380_A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 40800 ) S ;
- ANTENNA_output381_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 57120 ) FS ;
- ANTENNA_output382_A sky130_fd_sc_hd__diode_2 + PLACED ( 11500 65280 ) N ;
- FILLER_0_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 10880 ) N ;
- FILLER_0_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 10880 ) N ;
- FILLER_0_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 10880 ) N ;
- FILLER_0_132 sky130_fd_sc_hd__decap_8 + PLACED ( 66240 10880 ) N ;
- FILLER_0_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 10880 ) N ;
- FILLER_0_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 10880 ) N ;
- FILLER_0_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 10880 ) N ;
- FILLER_0_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 10880 ) N ;
- FILLER_0_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 10880 ) N ;
- FILLER_0_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 10880 ) N ;
- FILLER_0_177 sky130_fd_sc_hd__decap_6 + PLACED ( 86940 10880 ) N ;
- FILLER_0_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 10880 ) N ;
- FILLER_0_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 10880 ) N ;
- FILLER_0_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 10880 ) N ;
- FILLER_0_209 sky130_fd_sc_hd__decap_4 + PLACED ( 101660 10880 ) N ;
- FILLER_0_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 10880 ) N ;
- FILLER_0_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 10880 ) N ;
- FILLER_0_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 10880 ) N ;
- FILLER_0_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 10880 ) N ;
- FILLER_0_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 10880 ) N ;
- FILLER_0_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 10880 ) N ;
- FILLER_0_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 10880 ) N ;
- FILLER_0_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 10880 ) N ;
- FILLER_0_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 10880 ) N ;
- FILLER_0_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 10880 ) N ;
- FILLER_0_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 10880 ) N ;
- FILLER_0_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 10880 ) N ;
- FILLER_0_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 10880 ) N ;
- FILLER_0_293 sky130_fd_sc_hd__decap_6 + PLACED ( 140300 10880 ) N ;
- FILLER_0_299 sky130_fd_sc_hd__fill_1 + PLACED ( 143060 10880 ) N ;
- FILLER_0_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 10880 ) N ;
- FILLER_0_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 10880 ) N ;
- FILLER_0_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 10880 ) N ;
- FILLER_0_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 10880 ) N ;
- FILLER_0_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 10880 ) N ;
- FILLER_0_341 sky130_fd_sc_hd__decap_4 + PLACED ( 162380 10880 ) N ;
- FILLER_0_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 10880 ) N ;
- FILLER_0_353 sky130_fd_sc_hd__fill_1 + PLACED ( 167900 10880 ) N ;
- FILLER_0_358 sky130_fd_sc_hd__decap_6 + PLACED ( 170200 10880 ) N ;
- FILLER_0_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 10880 ) N ;
- FILLER_0_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 10880 ) N ;
- FILLER_0_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 10880 ) N ;
- FILLER_0_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 10880 ) N ;
- FILLER_0_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 10880 ) N ;
- FILLER_0_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 10880 ) N ;
- FILLER_0_405 sky130_fd_sc_hd__decap_6 + PLACED ( 191820 10880 ) N ;
- FILLER_0_411 sky130_fd_sc_hd__fill_1 + PLACED ( 194580 10880 ) N ;
- FILLER_0_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 10880 ) N ;
- FILLER_0_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 10880 ) N ;
- FILLER_0_433 sky130_fd_sc_hd__decap_4 + PLACED ( 204700 10880 ) N ;
- FILLER_0_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 10880 ) N ;
- FILLER_0_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 10880 ) N ;
- FILLER_0_457 sky130_fd_sc_hd__decap_8 + PLACED ( 215740 10880 ) N ;
- FILLER_0_465 sky130_fd_sc_hd__fill_1 + PLACED ( 219420 10880 ) N ;
- FILLER_0_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 10880 ) N ;
- FILLER_0_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 10880 ) N ;
- FILLER_0_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 10880 ) N ;
- FILLER_0_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 10880 ) N ;
- FILLER_0_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 10880 ) N ;
- FILLER_0_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 10880 ) N ;
- FILLER_0_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 10880 ) N ;
- FILLER_0_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 10880 ) N ;
- FILLER_0_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 10880 ) N ;
- FILLER_0_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 10880 ) N ;
- FILLER_0_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 10880 ) N ;
- FILLER_10_105 sky130_fd_sc_hd__decap_8 + PLACED ( 53820 38080 ) N ;
- FILLER_10_113 sky130_fd_sc_hd__decap_3 + PLACED ( 57500 38080 ) N ;
- FILLER_10_118 sky130_fd_sc_hd__decap_4 + PLACED ( 59800 38080 ) N ;
- FILLER_10_126 sky130_fd_sc_hd__decap_12 + PLACED ( 63480 38080 ) N ;
- FILLER_10_138 sky130_fd_sc_hd__fill_2 + PLACED ( 69000 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 38080 ) N ;
- FILLER_10_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 38080 ) N ;
- FILLER_10_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_fd_sc_hd__decap_6 + PLACED ( 81420 38080 ) N ;
- FILLER_10_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 38080 ) N ;
- FILLER_10_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 38080 ) N ;
- FILLER_10_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 38080 ) N ;
- FILLER_10_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 38080 ) N ;
- FILLER_10_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 38080 ) N ;
- FILLER_10_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 38080 ) N ;
- FILLER_10_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 38080 ) N ;
- FILLER_10_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 38080 ) N ;
- FILLER_10_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_6 + PLACED ( 132940 38080 ) N ;
- FILLER_10_283 sky130_fd_sc_hd__fill_1 + PLACED ( 135700 38080 ) N ;
- FILLER_10_286 sky130_fd_sc_hd__decap_6 + PLACED ( 137080 38080 ) N ;
- FILLER_10_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 38080 ) N ;
- FILLER_10_292 sky130_fd_sc_hd__fill_1 + PLACED ( 139840 38080 ) N ;
- FILLER_10_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 38080 ) N ;
- FILLER_10_302 sky130_fd_sc_hd__decap_6 + PLACED ( 144440 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 38080 ) N ;
- FILLER_10_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 38080 ) N ;
- FILLER_10_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 38080 ) N ;
- FILLER_10_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 38080 ) N ;
- FILLER_10_395 sky130_fd_sc_hd__decap_12 + PLACED ( 187220 38080 ) N ;
- FILLER_10_407 sky130_fd_sc_hd__decap_6 + PLACED ( 192740 38080 ) N ;
- FILLER_10_413 sky130_fd_sc_hd__fill_1 + PLACED ( 195500 38080 ) N ;
- FILLER_10_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 38080 ) N ;
- FILLER_10_430 sky130_fd_sc_hd__decap_4 + PLACED ( 203320 38080 ) N ;
- FILLER_10_436 sky130_fd_sc_hd__decap_6 + PLACED ( 206080 38080 ) N ;
- FILLER_10_442 sky130_fd_sc_hd__fill_1 + PLACED ( 208840 38080 ) N ;
- FILLER_10_445 sky130_fd_sc_hd__decap_4 + PLACED ( 210220 38080 ) N ;
- FILLER_10_451 sky130_fd_sc_hd__decap_6 + PLACED ( 212980 38080 ) N ;
- FILLER_10_457 sky130_fd_sc_hd__fill_1 + PLACED ( 215740 38080 ) N ;
- FILLER_10_460 sky130_fd_sc_hd__decap_4 + PLACED ( 217120 38080 ) N ;
- FILLER_10_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 38080 ) N ;
- FILLER_10_47 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 38080 ) N ;
- FILLER_10_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 38080 ) N ;
- FILLER_10_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 38080 ) N ;
- FILLER_10_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 38080 ) N ;
- FILLER_10_485 sky130_fd_sc_hd__decap_6 + PLACED ( 228620 38080 ) N ;
- FILLER_10_491 sky130_fd_sc_hd__fill_1 + PLACED ( 231380 38080 ) N ;
- FILLER_10_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 38080 ) N ;
- FILLER_10_500 sky130_fd_sc_hd__decap_8 + PLACED ( 235520 38080 ) N ;
- FILLER_10_508 sky130_fd_sc_hd__fill_1 + PLACED ( 239200 38080 ) N ;
- FILLER_10_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 38080 ) N ;
- FILLER_10_53 sky130_fd_sc_hd__decap_4 + PLACED ( 29900 38080 ) N ;
- FILLER_10_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 38080 ) N ;
- FILLER_10_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 38080 ) N ;
- FILLER_10_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 38080 ) N ;
- FILLER_10_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 38080 ) N ;
- FILLER_10_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 38080 ) N ;
- FILLER_10_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 38080 ) N ;
- FILLER_10_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 38080 ) N ;
- FILLER_11_101 sky130_fd_sc_hd__decap_8 + PLACED ( 51980 40800 ) FS ;
- FILLER_11_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 40800 ) FS ;
- FILLER_11_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 40800 ) FS ;
- FILLER_11_15 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 40800 ) FS ;
- FILLER_11_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 40800 ) FS ;
- FILLER_11_189 sky130_fd_sc_hd__decap_12 + PLACED ( 92460 40800 ) FS ;
- FILLER_11_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 40800 ) FS ;
- FILLER_11_213 sky130_fd_sc_hd__decap_3 + PLACED ( 103500 40800 ) FS ;
- FILLER_11_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 40800 ) FS ;
- FILLER_11_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 40800 ) FS ;
- FILLER_11_241 sky130_fd_sc_hd__decap_12 + PLACED ( 116380 40800 ) FS ;
- FILLER_11_25 sky130_fd_sc_hd__decap_4 + PLACED ( 17020 40800 ) FS ;
- FILLER_11_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 40800 ) FS ;
- FILLER_11_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 40800 ) FS ;
- FILLER_11_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 40800 ) FS ;
- FILLER_11_284 sky130_fd_sc_hd__decap_8 + PLACED ( 136160 40800 ) FS ;
- FILLER_11_308 sky130_fd_sc_hd__decap_4 + PLACED ( 147200 40800 ) FS ;
- FILLER_11_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 40800 ) FS ;
- FILLER_11_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 40800 ) FS ;
- FILLER_11_33 sky130_fd_sc_hd__decap_6 + PLACED ( 20700 40800 ) FS ;
- FILLER_11_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 40800 ) FS ;
- FILLER_11_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 40800 ) FS ;
- FILLER_11_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 40800 ) FS ;
- FILLER_11_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 40800 ) FS ;
- FILLER_11_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 40800 ) FS ;
- FILLER_11_417 sky130_fd_sc_hd__decap_6 + PLACED ( 197340 40800 ) FS ;
- FILLER_11_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 40800 ) FS ;
- FILLER_11_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 40800 ) FS ;
- FILLER_11_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 40800 ) FS ;
- FILLER_11_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 40800 ) FS ;
- FILLER_11_449 sky130_fd_sc_hd__fill_1 + PLACED ( 212060 40800 ) FS ;
- FILLER_11_452 sky130_fd_sc_hd__decap_6 + PLACED ( 213440 40800 ) FS ;
- FILLER_11_460 sky130_fd_sc_hd__decap_6 + PLACED ( 217120 40800 ) FS ;
- FILLER_11_468 sky130_fd_sc_hd__decap_4 + PLACED ( 220800 40800 ) FS ;
- FILLER_11_474 sky130_fd_sc_hd__decap_8 + PLACED ( 223560 40800 ) FS ;
- FILLER_11_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 40800 ) FS ;
- FILLER_11_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 40800 ) FS ;
- FILLER_11_490 sky130_fd_sc_hd__decap_8 + PLACED ( 230920 40800 ) FS ;
- FILLER_11_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 40800 ) FS ;
- FILLER_11_507 sky130_fd_sc_hd__decap_8 + PLACED ( 238740 40800 ) FS ;
- FILLER_11_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 40800 ) FS ;
- FILLER_11_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 40800 ) FS ;
- FILLER_11_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 40800 ) FS ;
- FILLER_11_7 sky130_fd_sc_hd__decap_8 + PLACED ( 8740 40800 ) FS ;
- FILLER_11_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 40800 ) FS ;
- FILLER_11_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 40800 ) FS ;
- FILLER_11_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 40800 ) FS ;
- FILLER_11_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 40800 ) FS ;
- FILLER_11_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 40800 ) FS ;
- FILLER_12_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 43520 ) N ;
- FILLER_12_117 sky130_fd_sc_hd__decap_12 + PLACED ( 59340 43520 ) N ;
- FILLER_12_129 sky130_fd_sc_hd__decap_8 + PLACED ( 64860 43520 ) N ;
- FILLER_12_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 43520 ) N ;
- FILLER_12_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 43520 ) N ;
- FILLER_12_216 sky130_fd_sc_hd__decap_4 + PLACED ( 104880 43520 ) N ;
- FILLER_12_222 sky130_fd_sc_hd__decap_12 + PLACED ( 107640 43520 ) N ;
- FILLER_12_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 43520 ) N ;
- FILLER_12_234 sky130_fd_sc_hd__decap_12 + PLACED ( 113160 43520 ) N ;
- FILLER_12_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 43520 ) N ;
- FILLER_12_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 43520 ) N ;
- FILLER_12_281 sky130_fd_sc_hd__decap_8 + PLACED ( 134780 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__fill_1 + PLACED ( 138460 43520 ) N ;
- FILLER_12_292 sky130_fd_sc_hd__decap_4 + PLACED ( 139840 43520 ) N ;
- FILLER_12_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 43520 ) N ;
- FILLER_12_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 43520 ) N ;
- FILLER_12_31 sky130_fd_sc_hd__decap_6 + PLACED ( 19780 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 43520 ) N ;
- FILLER_12_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 43520 ) N ;
- FILLER_12_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 43520 ) N ;
- FILLER_12_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 43520 ) N ;
- FILLER_12_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 43520 ) N ;
- FILLER_12_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 43520 ) N ;
- FILLER_12_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 43520 ) N ;
- FILLER_12_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 43520 ) N ;
- FILLER_12_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 43520 ) N ;
- FILLER_12_441 sky130_fd_sc_hd__decap_4 + PLACED ( 208380 43520 ) N ;
- FILLER_12_445 sky130_fd_sc_hd__fill_1 + PLACED ( 210220 43520 ) N ;
- FILLER_12_448 sky130_fd_sc_hd__decap_4 + PLACED ( 211600 43520 ) N ;
- FILLER_12_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 43520 ) N ;
- FILLER_12_47 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 43520 ) N ;
- FILLER_12_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 43520 ) N ;
- FILLER_12_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 43520 ) N ;
- FILLER_12_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 43520 ) N ;
- FILLER_12_484 sky130_fd_sc_hd__decap_6 + PLACED ( 228160 43520 ) N ;
- FILLER_12_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 43520 ) N ;
- FILLER_12_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 43520 ) N ;
- FILLER_12_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 43520 ) N ;
- FILLER_12_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 43520 ) N ;
- FILLER_12_53 sky130_fd_sc_hd__decap_4 + PLACED ( 29900 43520 ) N ;
- FILLER_12_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 43520 ) N ;
- FILLER_12_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 43520 ) N ;
- FILLER_12_7 sky130_fd_sc_hd__decap_6 + PLACED ( 8740 43520 ) N ;
- FILLER_12_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 43520 ) N ;
- FILLER_12_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 43520 ) N ;
- FILLER_12_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 46240 ) FS ;
- FILLER_13_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_6 + PLACED ( 63020 46240 ) FS ;
- FILLER_13_133 sky130_fd_sc_hd__decap_12 + PLACED ( 66700 46240 ) FS ;
- FILLER_13_145 sky130_fd_sc_hd__decap_12 + PLACED ( 72220 46240 ) FS ;
- FILLER_13_157 sky130_fd_sc_hd__decap_8 + PLACED ( 77740 46240 ) FS ;
- FILLER_13_16 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 46240 ) FS ;
- FILLER_13_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 46240 ) FS ;
- FILLER_13_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 46240 ) FS ;
- FILLER_13_28 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 46240 ) FS ;
- FILLER_13_283 sky130_fd_sc_hd__decap_8 + PLACED ( 135700 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 46240 ) FS ;
- FILLER_13_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 46240 ) FS ;
- FILLER_13_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 46240 ) FS ;
- FILLER_13_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 46240 ) FS ;
- FILLER_13_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 46240 ) FS ;
- FILLER_13_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 46240 ) FS ;
- FILLER_13_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 46240 ) FS ;
- FILLER_13_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 46240 ) FS ;
- FILLER_13_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 46240 ) FS ;
- FILLER_13_400 sky130_fd_sc_hd__decap_12 + PLACED ( 189520 46240 ) FS ;
- FILLER_13_412 sky130_fd_sc_hd__decap_12 + PLACED ( 195040 46240 ) FS ;
- FILLER_13_424 sky130_fd_sc_hd__decap_12 + PLACED ( 200560 46240 ) FS ;
- FILLER_13_436 sky130_fd_sc_hd__decap_12 + PLACED ( 206080 46240 ) FS ;
- FILLER_13_451 sky130_fd_sc_hd__decap_12 + PLACED ( 212980 46240 ) FS ;
- FILLER_13_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 46240 ) FS ;
- FILLER_13_463 sky130_fd_sc_hd__decap_6 + PLACED ( 218500 46240 ) FS ;
- FILLER_13_471 sky130_fd_sc_hd__decap_6 + PLACED ( 222180 46240 ) FS ;
- FILLER_13_479 sky130_fd_sc_hd__decap_4 + PLACED ( 225860 46240 ) FS ;
- FILLER_13_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 46240 ) FS ;
- FILLER_13_489 sky130_fd_sc_hd__fill_1 + PLACED ( 230460 46240 ) FS ;
- FILLER_13_492 sky130_fd_sc_hd__decap_6 + PLACED ( 231840 46240 ) FS ;
- FILLER_13_5 sky130_fd_sc_hd__decap_6 + PLACED ( 7820 46240 ) FS ;
- FILLER_13_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 46240 ) FS ;
- FILLER_13_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 46240 ) FS ;
- FILLER_13_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 46240 ) FS ;
- FILLER_13_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 46240 ) FS ;
- FILLER_13_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 46240 ) FS ;
- FILLER_13_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 46240 ) FS ;
- FILLER_13_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 46240 ) FS ;
- FILLER_13_75 sky130_fd_sc_hd__decap_4 + PLACED ( 40020 46240 ) FS ;
- FILLER_13_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 46240 ) FS ;
- FILLER_14_10 sky130_fd_sc_hd__fill_1 + PLACED ( 10120 48960 ) N ;
- FILLER_14_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_fd_sc_hd__decap_3 + PLACED ( 61180 48960 ) N ;
- FILLER_14_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 48960 ) N ;
- FILLER_14_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_8 + PLACED ( 81420 48960 ) N ;
- FILLER_14_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 48960 ) N ;
- FILLER_14_183 sky130_fd_sc_hd__decap_12 + PLACED ( 89700 48960 ) N ;
- FILLER_14_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_8 + PLACED ( 96140 48960 ) N ;
- FILLER_14_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 48960 ) N ;
- FILLER_14_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 48960 ) N ;
- FILLER_14_220 sky130_fd_sc_hd__decap_8 + PLACED ( 106720 48960 ) N ;
- FILLER_14_228 sky130_fd_sc_hd__fill_2 + PLACED ( 110400 48960 ) N ;
- FILLER_14_232 sky130_fd_sc_hd__decap_12 + PLACED ( 112240 48960 ) N ;
- FILLER_14_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 48960 ) N ;
- FILLER_14_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 48960 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 48960 ) N ;
- FILLER_14_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 48960 ) N ;
- FILLER_14_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 48960 ) N ;
- FILLER_14_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 48960 ) N ;
- FILLER_14_325 sky130_fd_sc_hd__decap_12 + PLACED ( 155020 48960 ) N ;
- FILLER_14_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 48960 ) N ;
- FILLER_14_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 48960 ) N ;
- FILLER_14_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 48960 ) N ;
- FILLER_14_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 48960 ) N ;
- FILLER_14_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 48960 ) N ;
- FILLER_14_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__fill_1 + PLACED ( 184460 48960 ) N ;
- FILLER_14_392 sky130_fd_sc_hd__decap_4 + PLACED ( 185840 48960 ) N ;
- FILLER_14_398 sky130_fd_sc_hd__decap_4 + PLACED ( 188600 48960 ) N ;
- FILLER_14_411 sky130_fd_sc_hd__decap_8 + PLACED ( 194580 48960 ) N ;
- FILLER_14_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 48960 ) N ;
- FILLER_14_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 48960 ) N ;
- FILLER_14_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 48960 ) N ;
- FILLER_14_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 48960 ) N ;
- FILLER_14_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 48960 ) N ;
- FILLER_14_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 48960 ) N ;
- FILLER_14_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 48960 ) N ;
- FILLER_14_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 48960 ) N ;
- FILLER_14_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 48960 ) N ;
- FILLER_14_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 48960 ) N ;
- FILLER_14_487 sky130_fd_sc_hd__decap_8 + PLACED ( 229540 48960 ) N ;
- FILLER_14_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 48960 ) N ;
- FILLER_14_495 sky130_fd_sc_hd__fill_1 + PLACED ( 233220 48960 ) N ;
- FILLER_14_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 48960 ) N ;
- FILLER_14_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 48960 ) N ;
- FILLER_14_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 48960 ) N ;
- FILLER_14_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 48960 ) N ;
- FILLER_14_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 48960 ) N ;
- FILLER_14_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 48960 ) N ;
- FILLER_14_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 48960 ) N ;
- FILLER_14_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 48960 ) N ;
- FILLER_15_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 51680 ) FS ;
- FILLER_15_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 51680 ) FS ;
- FILLER_15_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 51680 ) FS ;
- FILLER_15_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 51680 ) FS ;
- FILLER_15_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 51680 ) FS ;
- FILLER_15_212 sky130_fd_sc_hd__decap_12 + PLACED ( 103040 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_3 + PLACED ( 109020 51680 ) FS ;
- FILLER_15_23 sky130_fd_sc_hd__fill_1 + PLACED ( 16100 51680 ) FS ;
- FILLER_15_230 sky130_fd_sc_hd__decap_4 + PLACED ( 111320 51680 ) FS ;
- FILLER_15_243 sky130_fd_sc_hd__decap_4 + PLACED ( 117300 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 51680 ) FS ;
- FILLER_15_255 sky130_fd_sc_hd__decap_8 + PLACED ( 122820 51680 ) FS ;
- FILLER_15_26 sky130_fd_sc_hd__decap_4 + PLACED ( 17480 51680 ) FS ;
- FILLER_15_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 51680 ) FS ;
- FILLER_15_266 sky130_fd_sc_hd__decap_12 + PLACED ( 127880 51680 ) FS ;
- FILLER_15_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 51680 ) FS ;
- FILLER_15_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 51680 ) FS ;
- FILLER_15_313 sky130_fd_sc_hd__decap_6 + PLACED ( 149500 51680 ) FS ;
- FILLER_15_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 51680 ) FS ;
- FILLER_15_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 51680 ) FS ;
- FILLER_15_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_3 + PLACED ( 160540 51680 ) FS ;
- FILLER_15_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 51680 ) FS ;
- FILLER_15_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 51680 ) FS ;
- FILLER_15_362 sky130_fd_sc_hd__decap_12 + PLACED ( 172040 51680 ) FS ;
- FILLER_15_374 sky130_fd_sc_hd__decap_12 + PLACED ( 177560 51680 ) FS ;
- FILLER_15_386 sky130_fd_sc_hd__decap_6 + PLACED ( 183080 51680 ) FS ;
- FILLER_15_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 51680 ) FS ;
- FILLER_15_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 51680 ) FS ;
- FILLER_15_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 51680 ) FS ;
- FILLER_15_413 sky130_fd_sc_hd__decap_12 + PLACED ( 195500 51680 ) FS ;
- FILLER_15_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 51680 ) FS ;
- FILLER_15_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 51680 ) FS ;
- FILLER_15_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 51680 ) FS ;
- FILLER_15_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 51680 ) FS ;
- FILLER_15_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 51680 ) FS ;
- FILLER_15_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 51680 ) FS ;
- FILLER_15_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 51680 ) FS ;
- FILLER_15_485 sky130_fd_sc_hd__fill_2 + PLACED ( 228620 51680 ) FS ;
- FILLER_15_489 sky130_fd_sc_hd__decap_4 + PLACED ( 230460 51680 ) FS ;
- FILLER_15_495 sky130_fd_sc_hd__decap_8 + PLACED ( 233220 51680 ) FS ;
- FILLER_15_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 51680 ) FS ;
- FILLER_15_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 51680 ) FS ;
- FILLER_15_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 51680 ) FS ;
- FILLER_15_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 51680 ) FS ;
- FILLER_15_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 51680 ) FS ;
- FILLER_15_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 51680 ) FS ;
- FILLER_15_65 sky130_fd_sc_hd__decap_6 + PLACED ( 35420 51680 ) FS ;
- FILLER_15_71 sky130_fd_sc_hd__fill_1 + PLACED ( 38180 51680 ) FS ;
- FILLER_15_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 51680 ) FS ;
- FILLER_15_87 sky130_fd_sc_hd__decap_3 + PLACED ( 45540 51680 ) FS ;
- FILLER_15_92 sky130_fd_sc_hd__decap_4 + PLACED ( 47840 51680 ) FS ;
- FILLER_16_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 54400 ) N ;
- FILLER_16_106 sky130_fd_sc_hd__decap_8 + PLACED ( 54280 54400 ) N ;
- FILLER_16_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 54400 ) N ;
- FILLER_16_114 sky130_fd_sc_hd__decap_3 + PLACED ( 57960 54400 ) N ;
- FILLER_16_119 sky130_fd_sc_hd__decap_12 + PLACED ( 60260 54400 ) N ;
- FILLER_16_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_6 + PLACED ( 81420 54400 ) N ;
- FILLER_16_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 54400 ) N ;
- FILLER_16_199 sky130_fd_sc_hd__decap_12 + PLACED ( 97060 54400 ) N ;
- FILLER_16_211 sky130_fd_sc_hd__decap_12 + PLACED ( 102580 54400 ) N ;
- FILLER_16_223 sky130_fd_sc_hd__decap_8 + PLACED ( 108100 54400 ) N ;
- FILLER_16_231 sky130_fd_sc_hd__fill_1 + PLACED ( 111780 54400 ) N ;
- FILLER_16_234 sky130_fd_sc_hd__decap_6 + PLACED ( 113160 54400 ) N ;
- FILLER_16_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 54400 ) N ;
- FILLER_16_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 54400 ) N ;
- FILLER_16_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 54400 ) N ;
- FILLER_16_264 sky130_fd_sc_hd__decap_4 + PLACED ( 126960 54400 ) N ;
- FILLER_16_270 sky130_fd_sc_hd__decap_12 + PLACED ( 129720 54400 ) N ;
- FILLER_16_282 sky130_fd_sc_hd__decap_6 + PLACED ( 135240 54400 ) N ;
- FILLER_16_288 sky130_fd_sc_hd__fill_1 + PLACED ( 138000 54400 ) N ;
- FILLER_16_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 54400 ) N ;
- FILLER_16_299 sky130_fd_sc_hd__decap_8 + PLACED ( 143060 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 54400 ) N ;
- FILLER_16_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 54400 ) N ;
- FILLER_16_325 sky130_fd_sc_hd__decap_12 + PLACED ( 155020 54400 ) N ;
- FILLER_16_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 54400 ) N ;
- FILLER_16_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 54400 ) N ;
- FILLER_16_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 54400 ) N ;
- FILLER_16_367 sky130_fd_sc_hd__decap_12 + PLACED ( 174340 54400 ) N ;
- FILLER_16_379 sky130_fd_sc_hd__fill_1 + PLACED ( 179860 54400 ) N ;
- FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) N ;
- FILLER_16_382 sky130_fd_sc_hd__decap_12 + PLACED ( 181240 54400 ) N ;
- FILLER_16_394 sky130_fd_sc_hd__fill_1 + PLACED ( 186760 54400 ) N ;
- FILLER_16_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 54400 ) N ;
- FILLER_16_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 54400 ) N ;
- FILLER_16_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 54400 ) N ;
- FILLER_16_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 54400 ) N ;
- FILLER_16_433 sky130_fd_sc_hd__decap_8 + PLACED ( 204700 54400 ) N ;
- FILLER_16_441 sky130_fd_sc_hd__fill_2 + PLACED ( 208380 54400 ) N ;
- FILLER_16_445 sky130_fd_sc_hd__decap_4 + PLACED ( 210220 54400 ) N ;
- FILLER_16_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 54400 ) N ;
- FILLER_16_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 54400 ) N ;
- FILLER_16_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 54400 ) N ;
- FILLER_16_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 54400 ) N ;
- FILLER_16_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 54400 ) N ;
- FILLER_16_48 sky130_fd_sc_hd__decap_4 + PLACED ( 27600 54400 ) N ;
- FILLER_16_489 sky130_fd_sc_hd__decap_8 + PLACED ( 230460 54400 ) N ;
- FILLER_16_497 sky130_fd_sc_hd__fill_2 + PLACED ( 234140 54400 ) N ;
- FILLER_16_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 54400 ) N ;
- FILLER_16_501 sky130_fd_sc_hd__decap_4 + PLACED ( 235980 54400 ) N ;
- FILLER_16_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 54400 ) N ;
- FILLER_16_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 54400 ) N ;
- FILLER_16_54 sky130_fd_sc_hd__decap_12 + PLACED ( 30360 54400 ) N ;
- FILLER_16_66 sky130_fd_sc_hd__decap_12 + PLACED ( 35880 54400 ) N ;
- FILLER_16_78 sky130_fd_sc_hd__decap_6 + PLACED ( 41400 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 54400 ) N ;
- FILLER_17_104 sky130_fd_sc_hd__decap_8 + PLACED ( 53360 57120 ) FS ;
- FILLER_17_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 57120 ) FS ;
- FILLER_17_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 57120 ) FS ;
- FILLER_17_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 57120 ) FS ;
- FILLER_17_135 sky130_fd_sc_hd__decap_8 + PLACED ( 67620 57120 ) FS ;
- FILLER_17_145 sky130_fd_sc_hd__decap_12 + PLACED ( 72220 57120 ) FS ;
- FILLER_17_157 sky130_fd_sc_hd__decap_8 + PLACED ( 77740 57120 ) FS ;
- FILLER_17_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_8 + PLACED ( 83260 57120 ) FS ;
- FILLER_17_17 sky130_fd_sc_hd__decap_8 + PLACED ( 13340 57120 ) FS ;
- FILLER_17_177 sky130_fd_sc_hd__fill_2 + PLACED ( 86940 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 57120 ) FS ;
- FILLER_17_187 sky130_fd_sc_hd__decap_4 + PLACED ( 91540 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 57120 ) FS ;
- FILLER_17_25 sky130_fd_sc_hd__fill_1 + PLACED ( 17020 57120 ) FS ;
- FILLER_17_255 sky130_fd_sc_hd__decap_8 + PLACED ( 122820 57120 ) FS ;
- FILLER_17_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 57120 ) FS ;
- FILLER_17_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 57120 ) FS ;
- FILLER_17_28 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 57120 ) FS ;
- FILLER_17_284 sky130_fd_sc_hd__decap_12 + PLACED ( 136160 57120 ) FS ;
- FILLER_17_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 57120 ) FS ;
- FILLER_17_308 sky130_fd_sc_hd__decap_12 + PLACED ( 147200 57120 ) FS ;
- FILLER_17_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 57120 ) FS ;
- FILLER_17_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 57120 ) FS ;
- FILLER_17_34 sky130_fd_sc_hd__decap_8 + PLACED ( 21160 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 57120 ) FS ;
- FILLER_17_363 sky130_fd_sc_hd__decap_4 + PLACED ( 172500 57120 ) FS ;
- FILLER_17_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 57120 ) FS ;
- FILLER_17_384 sky130_fd_sc_hd__decap_8 + PLACED ( 182160 57120 ) FS ;
- FILLER_17_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 57120 ) FS ;
- FILLER_17_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 57120 ) FS ;
- FILLER_17_417 sky130_fd_sc_hd__decap_6 + PLACED ( 197340 57120 ) FS ;
- FILLER_17_423 sky130_fd_sc_hd__fill_1 + PLACED ( 200100 57120 ) FS ;
- FILLER_17_426 sky130_fd_sc_hd__decap_4 + PLACED ( 201480 57120 ) FS ;
- FILLER_17_436 sky130_fd_sc_hd__decap_4 + PLACED ( 206080 57120 ) FS ;
- FILLER_17_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 57120 ) FS ;
- FILLER_17_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 57120 ) FS ;
- FILLER_17_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 57120 ) FS ;
- FILLER_17_462 sky130_fd_sc_hd__decap_12 + PLACED ( 218040 57120 ) FS ;
- FILLER_17_474 sky130_fd_sc_hd__decap_12 + PLACED ( 223560 57120 ) FS ;
- FILLER_17_486 sky130_fd_sc_hd__decap_12 + PLACED ( 229080 57120 ) FS ;
- FILLER_17_498 sky130_fd_sc_hd__decap_6 + PLACED ( 234600 57120 ) FS ;
- FILLER_17_5 sky130_fd_sc_hd__decap_4 + PLACED ( 7820 57120 ) FS ;
- FILLER_17_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 57120 ) FS ;
- FILLER_17_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 57120 ) FS ;
- FILLER_17_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 57120 ) FS ;
- FILLER_17_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 57120 ) FS ;
- FILLER_17_89 sky130_fd_sc_hd__fill_2 + PLACED ( 46460 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 57120 ) FS ;
- FILLER_18_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 59840 ) N ;
- FILLER_18_119 sky130_fd_sc_hd__decap_6 + PLACED ( 60260 59840 ) N ;
- FILLER_18_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 59840 ) N ;
- FILLER_18_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 59840 ) N ;
- FILLER_18_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 59840 ) N ;
- FILLER_18_161 sky130_fd_sc_hd__decap_12 + PLACED ( 79580 59840 ) N ;
- FILLER_18_173 sky130_fd_sc_hd__decap_8 + PLACED ( 85100 59840 ) N ;
- FILLER_18_183 sky130_fd_sc_hd__decap_12 + PLACED ( 89700 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 59840 ) N ;
- FILLER_18_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 59840 ) N ;
- FILLER_18_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 59840 ) N ;
- FILLER_18_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 59840 ) N ;
- FILLER_18_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 59840 ) N ;
- FILLER_18_272 sky130_fd_sc_hd__decap_12 + PLACED ( 130640 59840 ) N ;
- FILLER_18_284 sky130_fd_sc_hd__fill_2 + PLACED ( 136160 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 59840 ) N ;
- FILLER_18_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 59840 ) N ;
- FILLER_18_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 59840 ) N ;
- FILLER_18_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 59840 ) N ;
- FILLER_18_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 59840 ) N ;
- FILLER_18_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 59840 ) N ;
- FILLER_18_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 59840 ) N ;
- FILLER_18_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 59840 ) N ;
- FILLER_18_433 sky130_fd_sc_hd__decap_4 + PLACED ( 204700 59840 ) N ;
- FILLER_18_437 sky130_fd_sc_hd__fill_1 + PLACED ( 206540 59840 ) N ;
- FILLER_18_440 sky130_fd_sc_hd__decap_4 + PLACED ( 207920 59840 ) N ;
- FILLER_18_444 sky130_fd_sc_hd__fill_1 + PLACED ( 209760 59840 ) N ;
- FILLER_18_447 sky130_fd_sc_hd__decap_4 + PLACED ( 211140 59840 ) N ;
- FILLER_18_453 sky130_fd_sc_hd__decap_12 + PLACED ( 213900 59840 ) N ;
- FILLER_18_465 sky130_fd_sc_hd__decap_8 + PLACED ( 219420 59840 ) N ;
- FILLER_18_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 59840 ) N ;
- FILLER_18_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 59840 ) N ;
- FILLER_18_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 59840 ) N ;
- FILLER_18_501 sky130_fd_sc_hd__decap_4 + PLACED ( 235980 59840 ) N ;
- FILLER_18_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 59840 ) N ;
- FILLER_18_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 59840 ) N ;
- FILLER_18_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 59840 ) N ;
- FILLER_18_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 59840 ) N ;
- FILLER_18_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 59840 ) N ;
- FILLER_18_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 59840 ) N ;
- FILLER_18_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 59840 ) N ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 62560 ) FS ;
- FILLER_19_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 62560 ) FS ;
- FILLER_19_13 sky130_fd_sc_hd__decap_8 + PLACED ( 11500 62560 ) FS ;
- FILLER_19_133 sky130_fd_sc_hd__decap_12 + PLACED ( 66700 62560 ) FS ;
- FILLER_19_145 sky130_fd_sc_hd__decap_12 + PLACED ( 72220 62560 ) FS ;
- FILLER_19_157 sky130_fd_sc_hd__decap_8 + PLACED ( 77740 62560 ) FS ;
- FILLER_19_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 62560 ) FS ;
- FILLER_19_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_6 + PLACED ( 120060 62560 ) FS ;
- FILLER_19_255 sky130_fd_sc_hd__fill_1 + PLACED ( 122820 62560 ) FS ;
- FILLER_19_258 sky130_fd_sc_hd__decap_8 + PLACED ( 124200 62560 ) FS ;
- FILLER_19_268 sky130_fd_sc_hd__decap_12 + PLACED ( 128800 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 62560 ) FS ;
- FILLER_19_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 62560 ) FS ;
- FILLER_19_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 62560 ) FS ;
- FILLER_19_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 62560 ) FS ;
- FILLER_19_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 62560 ) FS ;
- FILLER_19_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 62560 ) FS ;
- FILLER_19_429 sky130_fd_sc_hd__decap_3 + PLACED ( 202860 62560 ) FS ;
- FILLER_19_434 sky130_fd_sc_hd__decap_12 + PLACED ( 205160 62560 ) FS ;
- FILLER_19_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 62560 ) FS ;
- FILLER_19_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 62560 ) FS ;
- FILLER_19_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 62560 ) FS ;
- FILLER_19_465 sky130_fd_sc_hd__decap_6 + PLACED ( 219420 62560 ) FS ;
- FILLER_19_471 sky130_fd_sc_hd__fill_1 + PLACED ( 222180 62560 ) FS ;
- FILLER_19_474 sky130_fd_sc_hd__decap_4 + PLACED ( 223560 62560 ) FS ;
- FILLER_19_482 sky130_fd_sc_hd__decap_12 + PLACED ( 227240 62560 ) FS ;
- FILLER_19_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 62560 ) FS ;
- FILLER_19_502 sky130_fd_sc_hd__fill_2 + PLACED ( 236440 62560 ) FS ;
- FILLER_19_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 62560 ) FS ;
- FILLER_19_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 62560 ) FS ;
- FILLER_19_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 62560 ) FS ;
- FILLER_19_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 62560 ) FS ;
- FILLER_19_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 62560 ) FS ;
- FILLER_19_91 sky130_fd_sc_hd__decap_6 + PLACED ( 47380 62560 ) FS ;
- FILLER_19_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 62560 ) FS ;
- FILLER_1_106 sky130_fd_sc_hd__decap_6 + PLACED ( 54280 13600 ) FS ;
- FILLER_1_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 13600 ) FS ;
- FILLER_1_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 13600 ) FS ;
- FILLER_1_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 13600 ) FS ;
- FILLER_1_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 13600 ) FS ;
- FILLER_1_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 13600 ) FS ;
- FILLER_1_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 13600 ) FS ;
- FILLER_1_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 13600 ) FS ;
- FILLER_1_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 13600 ) FS ;
- FILLER_1_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 13600 ) FS ;
- FILLER_1_171 sky130_fd_sc_hd__decap_8 + PLACED ( 84180 13600 ) FS ;
- FILLER_1_182 sky130_fd_sc_hd__decap_4 + PLACED ( 89240 13600 ) FS ;
- FILLER_1_190 sky130_fd_sc_hd__decap_4 + PLACED ( 92920 13600 ) FS ;
- FILLER_1_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 13600 ) FS ;
- FILLER_1_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 13600 ) FS ;
- FILLER_1_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 13600 ) FS ;
- FILLER_1_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 13600 ) FS ;
- FILLER_1_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 13600 ) FS ;
- FILLER_1_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 13600 ) FS ;
- FILLER_1_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 13600 ) FS ;
- FILLER_1_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 13600 ) FS ;
- FILLER_1_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 13600 ) FS ;
- FILLER_1_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 13600 ) FS ;
- FILLER_1_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 13600 ) FS ;
- FILLER_1_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 13600 ) FS ;
- FILLER_1_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 13600 ) FS ;
- FILLER_1_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 13600 ) FS ;
- FILLER_1_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 13600 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 13600 ) FS ;
- FILLER_1_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 13600 ) FS ;
- FILLER_1_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 13600 ) FS ;
- FILLER_1_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 13600 ) FS ;
- FILLER_1_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 13600 ) FS ;
- FILLER_1_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 13600 ) FS ;
- FILLER_1_341 sky130_fd_sc_hd__decap_4 + PLACED ( 162380 13600 ) FS ;
- FILLER_1_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 13600 ) FS ;
- FILLER_1_357 sky130_fd_sc_hd__decap_4 + PLACED ( 169740 13600 ) FS ;
- FILLER_1_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 13600 ) FS ;
- FILLER_1_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 13600 ) FS ;
- FILLER_1_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 13600 ) FS ;
- FILLER_1_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 13600 ) FS ;
- FILLER_1_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 13600 ) FS ;
- FILLER_1_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 13600 ) FS ;
- FILLER_1_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 13600 ) FS ;
- FILLER_1_405 sky130_fd_sc_hd__decap_4 + PLACED ( 191820 13600 ) FS ;
- FILLER_1_409 sky130_fd_sc_hd__fill_1 + PLACED ( 193660 13600 ) FS ;
- FILLER_1_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 13600 ) FS ;
- FILLER_1_424 sky130_fd_sc_hd__decap_4 + PLACED ( 200560 13600 ) FS ;
- FILLER_1_432 sky130_fd_sc_hd__decap_4 + PLACED ( 204240 13600 ) FS ;
- FILLER_1_440 sky130_fd_sc_hd__decap_8 + PLACED ( 207920 13600 ) FS ;
- FILLER_1_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 13600 ) FS ;
- FILLER_1_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 13600 ) FS ;
- FILLER_1_467 sky130_fd_sc_hd__decap_4 + PLACED ( 220340 13600 ) FS ;
- FILLER_1_475 sky130_fd_sc_hd__decap_4 + PLACED ( 224020 13600 ) FS ;
- FILLER_1_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 13600 ) FS ;
- FILLER_1_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 13600 ) FS ;
- FILLER_1_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 13600 ) FS ;
- FILLER_1_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 13600 ) FS ;
- FILLER_1_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 13600 ) FS ;
- FILLER_1_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 13600 ) FS ;
- FILLER_1_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 13600 ) FS ;
- FILLER_1_70 sky130_fd_sc_hd__fill_1 + PLACED ( 37720 13600 ) FS ;
- FILLER_1_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 13600 ) FS ;
- FILLER_1_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 65280 ) N ;
- FILLER_20_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_6 + PLACED ( 81420 65280 ) N ;
- FILLER_20_171 sky130_fd_sc_hd__fill_1 + PLACED ( 84180 65280 ) N ;
- FILLER_20_174 sky130_fd_sc_hd__decap_4 + PLACED ( 85560 65280 ) N ;
- FILLER_20_182 sky130_fd_sc_hd__decap_12 + PLACED ( 89240 65280 ) N ;
- FILLER_20_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 65280 ) N ;
- FILLER_20_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 65280 ) N ;
- FILLER_20_206 sky130_fd_sc_hd__decap_8 + PLACED ( 100280 65280 ) N ;
- FILLER_20_214 sky130_fd_sc_hd__fill_1 + PLACED ( 103960 65280 ) N ;
- FILLER_20_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 65280 ) N ;
- FILLER_20_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 65280 ) N ;
- FILLER_20_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 65280 ) N ;
- FILLER_20_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 65280 ) N ;
- FILLER_20_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 65280 ) N ;
- FILLER_20_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 65280 ) N ;
- FILLER_20_273 sky130_fd_sc_hd__decap_12 + PLACED ( 131100 65280 ) N ;
- FILLER_20_285 sky130_fd_sc_hd__decap_12 + PLACED ( 136620 65280 ) N ;
- FILLER_20_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 65280 ) N ;
- FILLER_20_297 sky130_fd_sc_hd__decap_8 + PLACED ( 142140 65280 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 65280 ) N ;
- FILLER_20_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 65280 ) N ;
- FILLER_20_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 65280 ) N ;
- FILLER_20_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 65280 ) N ;
- FILLER_20_394 sky130_fd_sc_hd__decap_12 + PLACED ( 186760 65280 ) N ;
- FILLER_20_406 sky130_fd_sc_hd__decap_12 + PLACED ( 192280 65280 ) N ;
- FILLER_20_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 65280 ) N ;
- FILLER_20_418 sky130_fd_sc_hd__fill_2 + PLACED ( 197800 65280 ) N ;
- FILLER_20_421 sky130_fd_sc_hd__fill_1 + PLACED ( 199180 65280 ) N ;
- FILLER_20_424 sky130_fd_sc_hd__decap_4 + PLACED ( 200560 65280 ) N ;
- FILLER_20_432 sky130_fd_sc_hd__decap_4 + PLACED ( 204240 65280 ) N ;
- FILLER_20_450 sky130_fd_sc_hd__decap_4 + PLACED ( 212520 65280 ) N ;
- FILLER_20_456 sky130_fd_sc_hd__decap_4 + PLACED ( 215280 65280 ) N ;
- FILLER_20_462 sky130_fd_sc_hd__decap_12 + PLACED ( 218040 65280 ) N ;
- FILLER_20_474 sky130_fd_sc_hd__fill_2 + PLACED ( 223560 65280 ) N ;
- FILLER_20_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 65280 ) N ;
- FILLER_20_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 65280 ) N ;
- FILLER_20_501 sky130_fd_sc_hd__decap_4 + PLACED ( 235980 65280 ) N ;
- FILLER_20_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 65280 ) N ;
- FILLER_20_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 65280 ) N ;
- FILLER_20_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 65280 ) N ;
- FILLER_20_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_3 + PLACED ( 74060 68000 ) FS ;
- FILLER_21_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 68000 ) FS ;
- FILLER_21_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 68000 ) FS ;
- FILLER_21_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 68000 ) FS ;
- FILLER_21_199 sky130_fd_sc_hd__fill_1 + PLACED ( 97060 68000 ) FS ;
- FILLER_21_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 68000 ) FS ;
- FILLER_21_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 68000 ) FS ;
- FILLER_21_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 68000 ) FS ;
- FILLER_21_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 68000 ) FS ;
- FILLER_21_251 sky130_fd_sc_hd__decap_4 + PLACED ( 120980 68000 ) FS ;
- FILLER_21_257 sky130_fd_sc_hd__decap_12 + PLACED ( 123740 68000 ) FS ;
- FILLER_21_269 sky130_fd_sc_hd__decap_8 + PLACED ( 129260 68000 ) FS ;
- FILLER_21_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 68000 ) FS ;
- FILLER_21_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 68000 ) FS ;
- FILLER_21_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 68000 ) FS ;
- FILLER_21_311 sky130_fd_sc_hd__decap_8 + PLACED ( 148580 68000 ) FS ;
- FILLER_21_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 68000 ) FS ;
- FILLER_21_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 68000 ) FS ;
- FILLER_21_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 68000 ) FS ;
- FILLER_21_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 68000 ) FS ;
- FILLER_21_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 68000 ) FS ;
- FILLER_21_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 68000 ) FS ;
- FILLER_21_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__fill_1 + PLACED ( 186300 68000 ) FS ;
- FILLER_21_400 sky130_fd_sc_hd__decap_12 + PLACED ( 189520 68000 ) FS ;
- FILLER_21_412 sky130_fd_sc_hd__decap_4 + PLACED ( 195040 68000 ) FS ;
- FILLER_21_418 sky130_fd_sc_hd__decap_4 + PLACED ( 197800 68000 ) FS ;
- FILLER_21_424 sky130_fd_sc_hd__decap_8 + PLACED ( 200560 68000 ) FS ;
- FILLER_21_432 sky130_fd_sc_hd__fill_2 + PLACED ( 204240 68000 ) FS ;
- FILLER_21_436 sky130_fd_sc_hd__decap_12 + PLACED ( 206080 68000 ) FS ;
- FILLER_21_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 68000 ) FS ;
- FILLER_21_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 68000 ) FS ;
- FILLER_21_473 sky130_fd_sc_hd__decap_4 + PLACED ( 223100 68000 ) FS ;
- FILLER_21_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 68000 ) FS ;
- FILLER_21_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 68000 ) FS ;
- FILLER_21_486 sky130_fd_sc_hd__decap_12 + PLACED ( 229080 68000 ) FS ;
- FILLER_21_498 sky130_fd_sc_hd__decap_6 + PLACED ( 234600 68000 ) FS ;
- FILLER_21_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 68000 ) FS ;
- FILLER_21_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 68000 ) FS ;
- FILLER_21_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 70720 ) N ;
- FILLER_22_17 sky130_fd_sc_hd__decap_8 + PLACED ( 13340 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 70720 ) N ;
- FILLER_22_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 70720 ) N ;
- FILLER_22_231 sky130_fd_sc_hd__decap_8 + PLACED ( 111780 70720 ) N ;
- FILLER_22_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 70720 ) N ;
- FILLER_22_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 70720 ) N ;
- FILLER_22_255 sky130_fd_sc_hd__decap_8 + PLACED ( 122820 70720 ) N ;
- FILLER_22_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 70720 ) N ;
- FILLER_22_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 70720 ) N ;
- FILLER_22_274 sky130_fd_sc_hd__decap_12 + PLACED ( 131560 70720 ) N ;
- FILLER_22_286 sky130_fd_sc_hd__decap_12 + PLACED ( 137080 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 70720 ) N ;
- FILLER_22_298 sky130_fd_sc_hd__decap_4 + PLACED ( 142600 70720 ) N ;
- FILLER_22_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 70720 ) N ;
- FILLER_22_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 70720 ) N ;
- FILLER_22_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 70720 ) N ;
- FILLER_22_331 sky130_fd_sc_hd__decap_12 + PLACED ( 157780 70720 ) N ;
- FILLER_22_343 sky130_fd_sc_hd__decap_12 + PLACED ( 163300 70720 ) N ;
- FILLER_22_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 70720 ) N ;
- FILLER_22_368 sky130_fd_sc_hd__decap_12 + PLACED ( 174800 70720 ) N ;
- FILLER_22_380 sky130_fd_sc_hd__decap_12 + PLACED ( 180320 70720 ) N ;
- FILLER_22_392 sky130_fd_sc_hd__decap_12 + PLACED ( 185840 70720 ) N ;
- FILLER_22_404 sky130_fd_sc_hd__decap_8 + PLACED ( 191360 70720 ) N ;
- FILLER_22_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 70720 ) N ;
- FILLER_22_412 sky130_fd_sc_hd__fill_2 + PLACED ( 195040 70720 ) N ;
- FILLER_22_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 70720 ) N ;
- FILLER_22_421 sky130_fd_sc_hd__decap_3 + PLACED ( 199180 70720 ) N ;
- FILLER_22_440 sky130_fd_sc_hd__decap_4 + PLACED ( 207920 70720 ) N ;
- FILLER_22_446 sky130_fd_sc_hd__decap_12 + PLACED ( 210680 70720 ) N ;
- FILLER_22_458 sky130_fd_sc_hd__decap_12 + PLACED ( 216200 70720 ) N ;
- FILLER_22_470 sky130_fd_sc_hd__decap_6 + PLACED ( 221720 70720 ) N ;
- FILLER_22_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 70720 ) N ;
- FILLER_22_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 70720 ) N ;
- FILLER_22_5 sky130_fd_sc_hd__decap_12 + PLACED ( 7820 70720 ) N ;
- FILLER_22_501 sky130_fd_sc_hd__decap_12 + PLACED ( 235980 70720 ) N ;
- FILLER_22_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 70720 ) N ;
- FILLER_22_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 70720 ) N ;
- FILLER_23_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 73440 ) FS ;
- FILLER_23_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 73440 ) FS ;
- FILLER_23_25 sky130_fd_sc_hd__decap_12 + PLACED ( 17020 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__fill_1 + PLACED ( 145820 73440 ) FS ;
- FILLER_23_308 sky130_fd_sc_hd__decap_4 + PLACED ( 147200 73440 ) FS ;
- FILLER_23_314 sky130_fd_sc_hd__decap_8 + PLACED ( 149960 73440 ) FS ;
- FILLER_23_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 73440 ) FS ;
- FILLER_23_363 sky130_fd_sc_hd__decap_12 + PLACED ( 172500 73440 ) FS ;
- FILLER_23_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 73440 ) FS ;
- FILLER_23_375 sky130_fd_sc_hd__decap_12 + PLACED ( 178020 73440 ) FS ;
- FILLER_23_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 73440 ) FS ;
- FILLER_23_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 73440 ) FS ;
- FILLER_23_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 73440 ) FS ;
- FILLER_23_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 73440 ) FS ;
- FILLER_23_422 sky130_fd_sc_hd__decap_12 + PLACED ( 199640 73440 ) FS ;
- FILLER_23_434 sky130_fd_sc_hd__decap_12 + PLACED ( 205160 73440 ) FS ;
- FILLER_23_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 73440 ) FS ;
- FILLER_23_449 sky130_fd_sc_hd__decap_8 + PLACED ( 212060 73440 ) FS ;
- FILLER_23_459 sky130_fd_sc_hd__decap_12 + PLACED ( 216660 73440 ) FS ;
- FILLER_23_471 sky130_fd_sc_hd__decap_12 + PLACED ( 222180 73440 ) FS ;
- FILLER_23_483 sky130_fd_sc_hd__decap_12 + PLACED ( 227700 73440 ) FS ;
- FILLER_23_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 73440 ) FS ;
- FILLER_23_495 sky130_fd_sc_hd__decap_8 + PLACED ( 233220 73440 ) FS ;
- FILLER_23_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 73440 ) FS ;
- FILLER_23_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 73440 ) FS ;
- FILLER_23_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 73440 ) FS ;
- FILLER_23_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 73440 ) FS ;
- FILLER_23_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 73440 ) FS ;
- FILLER_23_84 sky130_fd_sc_hd__decap_12 + PLACED ( 44160 73440 ) FS ;
- FILLER_23_96 sky130_fd_sc_hd__decap_12 + PLACED ( 49680 73440 ) FS ;
- FILLER_24_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 76160 ) N ;
- FILLER_24_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 76160 ) N ;
- FILLER_24_118 sky130_fd_sc_hd__decap_12 + PLACED ( 59800 76160 ) N ;
- FILLER_24_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 76160 ) N ;
- FILLER_24_130 sky130_fd_sc_hd__decap_8 + PLACED ( 65320 76160 ) N ;
- FILLER_24_138 sky130_fd_sc_hd__fill_2 + PLACED ( 69000 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 76160 ) N ;
- FILLER_24_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 76160 ) N ;
- FILLER_24_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 76160 ) N ;
- FILLER_24_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 76160 ) N ;
- FILLER_24_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 76160 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 76160 ) N ;
- FILLER_24_313 sky130_fd_sc_hd__fill_1 + PLACED ( 149500 76160 ) N ;
- FILLER_24_316 sky130_fd_sc_hd__decap_4 + PLACED ( 150880 76160 ) N ;
- FILLER_24_322 sky130_fd_sc_hd__decap_12 + PLACED ( 153640 76160 ) N ;
- FILLER_24_334 sky130_fd_sc_hd__decap_12 + PLACED ( 159160 76160 ) N ;
- FILLER_24_346 sky130_fd_sc_hd__decap_12 + PLACED ( 164680 76160 ) N ;
- FILLER_24_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 76160 ) N ;
- FILLER_24_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 76160 ) N ;
- FILLER_24_374 sky130_fd_sc_hd__decap_8 + PLACED ( 177560 76160 ) N ;
- FILLER_24_382 sky130_fd_sc_hd__fill_2 + PLACED ( 181240 76160 ) N ;
- FILLER_24_387 sky130_fd_sc_hd__decap_12 + PLACED ( 183540 76160 ) N ;
- FILLER_24_399 sky130_fd_sc_hd__decap_12 + PLACED ( 189060 76160 ) N ;
- FILLER_24_41 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 76160 ) N ;
- FILLER_24_411 sky130_fd_sc_hd__decap_8 + PLACED ( 194580 76160 ) N ;
- FILLER_24_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 76160 ) N ;
- FILLER_24_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 76160 ) N ;
- FILLER_24_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 76160 ) N ;
- FILLER_24_445 sky130_fd_sc_hd__decap_6 + PLACED ( 210220 76160 ) N ;
- FILLER_24_453 sky130_fd_sc_hd__decap_6 + PLACED ( 213900 76160 ) N ;
- FILLER_24_468 sky130_fd_sc_hd__decap_8 + PLACED ( 220800 76160 ) N ;
- FILLER_24_479 sky130_fd_sc_hd__decap_12 + PLACED ( 225860 76160 ) N ;
- FILLER_24_491 sky130_fd_sc_hd__decap_8 + PLACED ( 231380 76160 ) N ;
- FILLER_24_499 sky130_fd_sc_hd__decap_3 + PLACED ( 235060 76160 ) N ;
- FILLER_24_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 76160 ) N ;
- FILLER_24_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 76160 ) N ;
- FILLER_24_58 sky130_fd_sc_hd__decap_4 + PLACED ( 32200 76160 ) N ;
- FILLER_24_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 76160 ) N ;
- FILLER_24_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 76160 ) N ;
- FILLER_24_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 76160 ) N ;
- FILLER_24_93 sky130_fd_sc_hd__decap_3 + PLACED ( 48300 76160 ) N ;
- FILLER_25_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_6 + PLACED ( 63020 78880 ) FS ;
- FILLER_25_131 sky130_fd_sc_hd__fill_1 + PLACED ( 65780 78880 ) FS ;
- FILLER_25_134 sky130_fd_sc_hd__decap_4 + PLACED ( 67160 78880 ) FS ;
- FILLER_25_142 sky130_fd_sc_hd__decap_12 + PLACED ( 70840 78880 ) FS ;
- FILLER_25_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 78880 ) FS ;
- FILLER_25_154 sky130_fd_sc_hd__decap_12 + PLACED ( 76360 78880 ) FS ;
- FILLER_25_166 sky130_fd_sc_hd__fill_2 + PLACED ( 81880 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 78880 ) FS ;
- FILLER_25_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__fill_1 + PLACED ( 105340 78880 ) FS ;
- FILLER_25_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 78880 ) FS ;
- FILLER_25_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 78880 ) FS ;
- FILLER_25_23 sky130_fd_sc_hd__decap_3 + PLACED ( 16100 78880 ) FS ;
- FILLER_25_241 sky130_fd_sc_hd__decap_12 + PLACED ( 116380 78880 ) FS ;
- FILLER_25_253 sky130_fd_sc_hd__fill_2 + PLACED ( 121900 78880 ) FS ;
- FILLER_25_257 sky130_fd_sc_hd__decap_12 + PLACED ( 123740 78880 ) FS ;
- FILLER_25_269 sky130_fd_sc_hd__decap_8 + PLACED ( 129260 78880 ) FS ;
- FILLER_25_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 78880 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 78880 ) FS ;
- FILLER_25_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 78880 ) FS ;
- FILLER_25_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 78880 ) FS ;
- FILLER_25_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 78880 ) FS ;
- FILLER_25_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 78880 ) FS ;
- FILLER_25_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 78880 ) FS ;
- FILLER_25_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 78880 ) FS ;
- FILLER_25_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 78880 ) FS ;
- FILLER_25_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 78880 ) FS ;
- FILLER_25_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 78880 ) FS ;
- FILLER_25_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 78880 ) FS ;
- FILLER_25_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 78880 ) FS ;
- FILLER_25_455 sky130_fd_sc_hd__decap_4 + PLACED ( 214820 78880 ) FS ;
- FILLER_25_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 78880 ) FS ;
- FILLER_25_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 78880 ) FS ;
- FILLER_25_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 78880 ) FS ;
- FILLER_25_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 78880 ) FS ;
- FILLER_25_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 78880 ) FS ;
- FILLER_25_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 78880 ) FS ;
- FILLER_25_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 78880 ) FS ;
- FILLER_25_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 78880 ) FS ;
- FILLER_25_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 78880 ) FS ;
- FILLER_25_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 78880 ) FS ;
- FILLER_25_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 78880 ) FS ;
- FILLER_25_83 sky130_fd_sc_hd__decap_12 + PLACED ( 43700 78880 ) FS ;
- FILLER_25_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 81600 ) N ;
- FILLER_26_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 81600 ) N ;
- FILLER_26_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 81600 ) N ;
- FILLER_26_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 81600 ) N ;
- FILLER_26_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 81600 ) N ;
- FILLER_26_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 81600 ) N ;
- FILLER_26_274 sky130_fd_sc_hd__decap_4 + PLACED ( 131560 81600 ) N ;
- FILLER_26_280 sky130_fd_sc_hd__decap_4 + PLACED ( 134320 81600 ) N ;
- FILLER_26_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 81600 ) N ;
- FILLER_26_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 81600 ) N ;
- FILLER_26_290 sky130_fd_sc_hd__fill_1 + PLACED ( 138920 81600 ) N ;
- FILLER_26_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 81600 ) N ;
- FILLER_26_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__fill_2 + PLACED ( 153180 81600 ) N ;
- FILLER_26_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 81600 ) N ;
- FILLER_26_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 81600 ) N ;
- FILLER_26_39 sky130_fd_sc_hd__decap_6 + PLACED ( 23460 81600 ) N ;
- FILLER_26_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 81600 ) N ;
- FILLER_26_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 81600 ) N ;
- FILLER_26_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 81600 ) N ;
- FILLER_26_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 81600 ) N ;
- FILLER_26_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 81600 ) N ;
- FILLER_26_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 81600 ) N ;
- FILLER_26_45 sky130_fd_sc_hd__fill_1 + PLACED ( 26220 81600 ) N ;
- FILLER_26_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 81600 ) N ;
- FILLER_26_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 81600 ) N ;
- FILLER_26_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 81600 ) N ;
- FILLER_26_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 81600 ) N ;
- FILLER_26_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 81600 ) N ;
- FILLER_26_501 sky130_fd_sc_hd__decap_8 + PLACED ( 235980 81600 ) N ;
- FILLER_26_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 81600 ) N ;
- FILLER_26_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 81600 ) N ;
- FILLER_26_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 81600 ) N ;
- FILLER_26_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 81600 ) N ;
- FILLER_26_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 81600 ) N ;
- FILLER_26_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 81600 ) N ;
- FILLER_26_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 84320 ) FS ;
- FILLER_27_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__fill_1 + PLACED ( 88780 84320 ) FS ;
- FILLER_27_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 84320 ) FS ;
- FILLER_27_197 sky130_fd_sc_hd__decap_8 + PLACED ( 96140 84320 ) FS ;
- FILLER_27_20 sky130_fd_sc_hd__decap_12 + PLACED ( 14720 84320 ) FS ;
- FILLER_27_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 84320 ) FS ;
- FILLER_27_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 84320 ) FS ;
- FILLER_27_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 84320 ) FS ;
- FILLER_27_254 sky130_fd_sc_hd__decap_4 + PLACED ( 122360 84320 ) FS ;
- FILLER_27_260 sky130_fd_sc_hd__decap_6 + PLACED ( 125120 84320 ) FS ;
- FILLER_27_266 sky130_fd_sc_hd__fill_1 + PLACED ( 127880 84320 ) FS ;
- FILLER_27_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 84320 ) FS ;
- FILLER_27_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 84320 ) FS ;
- FILLER_27_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 84320 ) FS ;
- FILLER_27_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 84320 ) FS ;
- FILLER_27_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 84320 ) FS ;
- FILLER_27_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 84320 ) FS ;
- FILLER_27_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 84320 ) FS ;
- FILLER_27_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 84320 ) FS ;
- FILLER_27_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 84320 ) FS ;
- FILLER_27_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 84320 ) FS ;
- FILLER_27_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 84320 ) FS ;
- FILLER_27_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 84320 ) FS ;
- FILLER_27_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 84320 ) FS ;
- FILLER_27_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 84320 ) FS ;
- FILLER_27_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 84320 ) FS ;
- FILLER_27_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 84320 ) FS ;
- FILLER_27_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 84320 ) FS ;
- FILLER_27_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 84320 ) FS ;
- FILLER_27_461 sky130_fd_sc_hd__fill_1 + PLACED ( 217580 84320 ) FS ;
- FILLER_27_464 sky130_fd_sc_hd__decap_4 + PLACED ( 218960 84320 ) FS ;
- FILLER_27_470 sky130_fd_sc_hd__decap_4 + PLACED ( 221720 84320 ) FS ;
- FILLER_27_493 sky130_fd_sc_hd__decap_8 + PLACED ( 232300 84320 ) FS ;
- FILLER_27_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 84320 ) FS ;
- FILLER_27_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 84320 ) FS ;
- FILLER_27_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 84320 ) FS ;
- FILLER_27_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 84320 ) FS ;
- FILLER_28_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 87040 ) N ;
- FILLER_28_116 sky130_fd_sc_hd__decap_12 + PLACED ( 58880 87040 ) N ;
- FILLER_28_128 sky130_fd_sc_hd__decap_12 + PLACED ( 64400 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_8 + PLACED ( 75900 87040 ) N ;
- FILLER_28_161 sky130_fd_sc_hd__decap_3 + PLACED ( 79580 87040 ) N ;
- FILLER_28_166 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 87040 ) N ;
- FILLER_28_172 sky130_fd_sc_hd__decap_6 + PLACED ( 84640 87040 ) N ;
- FILLER_28_180 sky130_fd_sc_hd__decap_12 + PLACED ( 88320 87040 ) N ;
- FILLER_28_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 87040 ) N ;
- FILLER_28_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 87040 ) N ;
- FILLER_28_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 87040 ) N ;
- FILLER_28_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 87040 ) N ;
- FILLER_28_218 sky130_fd_sc_hd__decap_4 + PLACED ( 105800 87040 ) N ;
- FILLER_28_224 sky130_fd_sc_hd__decap_4 + PLACED ( 108560 87040 ) N ;
- FILLER_28_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 87040 ) N ;
- FILLER_28_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 87040 ) N ;
- FILLER_28_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 87040 ) N ;
- FILLER_28_258 sky130_fd_sc_hd__decap_6 + PLACED ( 124200 87040 ) N ;
- FILLER_28_280 sky130_fd_sc_hd__decap_4 + PLACED ( 134320 87040 ) N ;
- FILLER_28_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 87040 ) N ;
- FILLER_28_292 sky130_fd_sc_hd__decap_12 + PLACED ( 139840 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 87040 ) N ;
- FILLER_28_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 87040 ) N ;
- FILLER_28_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 87040 ) N ;
- FILLER_28_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 87040 ) N ;
- FILLER_28_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 87040 ) N ;
- FILLER_28_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 87040 ) N ;
- FILLER_28_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 87040 ) N ;
- FILLER_28_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 87040 ) N ;
- FILLER_28_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 87040 ) N ;
- FILLER_28_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 87040 ) N ;
- FILLER_28_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 87040 ) N ;
- FILLER_28_469 sky130_fd_sc_hd__fill_1 + PLACED ( 221260 87040 ) N ;
- FILLER_28_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 87040 ) N ;
- FILLER_28_496 sky130_fd_sc_hd__decap_12 + PLACED ( 233680 87040 ) N ;
- FILLER_28_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 87040 ) N ;
- FILLER_28_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 87040 ) N ;
- FILLER_28_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 87040 ) N ;
- FILLER_28_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 87040 ) N ;
- FILLER_28_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 87040 ) N ;
- FILLER_28_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 87040 ) N ;
- FILLER_28_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 87040 ) N ;
- FILLER_29_104 sky130_fd_sc_hd__decap_8 + PLACED ( 53360 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_6 + PLACED ( 74060 89760 ) FS ;
- FILLER_29_155 sky130_fd_sc_hd__fill_1 + PLACED ( 76820 89760 ) FS ;
- FILLER_29_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 89760 ) FS ;
- FILLER_29_172 sky130_fd_sc_hd__decap_8 + PLACED ( 84640 89760 ) FS ;
- FILLER_29_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 89760 ) FS ;
- FILLER_29_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 89760 ) FS ;
- FILLER_29_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 89760 ) FS ;
- FILLER_29_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 89760 ) FS ;
- FILLER_29_251 sky130_fd_sc_hd__decap_4 + PLACED ( 120980 89760 ) FS ;
- FILLER_29_255 sky130_fd_sc_hd__fill_1 + PLACED ( 122820 89760 ) FS ;
- FILLER_29_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 89760 ) FS ;
- FILLER_29_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 89760 ) FS ;
- FILLER_29_28 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 89760 ) FS ;
- FILLER_29_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 89760 ) FS ;
- FILLER_29_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 89760 ) FS ;
- FILLER_29_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 89760 ) FS ;
- FILLER_29_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 89760 ) FS ;
- FILLER_29_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 89760 ) FS ;
- FILLER_29_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 89760 ) FS ;
- FILLER_29_34 sky130_fd_sc_hd__decap_8 + PLACED ( 21160 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 89760 ) FS ;
- FILLER_29_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 89760 ) FS ;
- FILLER_29_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 89760 ) FS ;
- FILLER_29_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 89760 ) FS ;
- FILLER_29_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 89760 ) FS ;
- FILLER_29_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 89760 ) FS ;
- FILLER_29_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 89760 ) FS ;
- FILLER_29_42 sky130_fd_sc_hd__fill_2 + PLACED ( 24840 89760 ) FS ;
- FILLER_29_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 89760 ) FS ;
- FILLER_29_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 89760 ) FS ;
- FILLER_29_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 89760 ) FS ;
- FILLER_29_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 89760 ) FS ;
- FILLER_29_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 89760 ) FS ;
- FILLER_29_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 89760 ) FS ;
- FILLER_29_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 89760 ) FS ;
- FILLER_29_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 89760 ) FS ;
- FILLER_29_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 89760 ) FS ;
- FILLER_29_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 89760 ) FS ;
- FILLER_29_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 89760 ) FS ;
- FILLER_29_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 89760 ) FS ;
- FILLER_29_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 89760 ) FS ;
- FILLER_29_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 89760 ) FS ;
- FILLER_29_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 89760 ) FS ;
- FILLER_29_90 sky130_fd_sc_hd__decap_4 + PLACED ( 46920 89760 ) FS ;
- FILLER_29_98 sky130_fd_sc_hd__decap_4 + PLACED ( 50600 89760 ) FS ;
- FILLER_2_104 sky130_fd_sc_hd__decap_4 + PLACED ( 53360 16320 ) N ;
- FILLER_2_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 16320 ) N ;
- FILLER_2_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 16320 ) N ;
- FILLER_2_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 16320 ) N ;
- FILLER_2_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 16320 ) N ;
- FILLER_2_143 sky130_fd_sc_hd__decap_8 + PLACED ( 71300 16320 ) N ;
- FILLER_2_151 sky130_fd_sc_hd__fill_1 + PLACED ( 74980 16320 ) N ;
- FILLER_2_154 sky130_fd_sc_hd__decap_4 + PLACED ( 76360 16320 ) N ;
- FILLER_2_162 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 16320 ) N ;
- FILLER_2_168 sky130_fd_sc_hd__decap_4 + PLACED ( 82800 16320 ) N ;
- FILLER_2_174 sky130_fd_sc_hd__decap_8 + PLACED ( 85560 16320 ) N ;
- FILLER_2_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 16320 ) N ;
- FILLER_2_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 16320 ) N ;
- FILLER_2_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 16320 ) N ;
- FILLER_2_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 16320 ) N ;
- FILLER_2_205 sky130_fd_sc_hd__decap_6 + PLACED ( 99820 16320 ) N ;
- FILLER_2_215 sky130_fd_sc_hd__decap_6 + PLACED ( 104420 16320 ) N ;
- FILLER_2_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 16320 ) N ;
- FILLER_2_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 16320 ) N ;
- FILLER_2_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 16320 ) N ;
- FILLER_2_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 16320 ) N ;
- FILLER_2_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 16320 ) N ;
- FILLER_2_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 16320 ) N ;
- FILLER_2_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 16320 ) N ;
- FILLER_2_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 16320 ) N ;
- FILLER_2_273 sky130_fd_sc_hd__decap_4 + PLACED ( 131100 16320 ) N ;
- FILLER_2_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 16320 ) N ;
- FILLER_2_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 16320 ) N ;
- FILLER_2_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 16320 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 16320 ) N ;
- FILLER_2_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 16320 ) N ;
- FILLER_2_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 16320 ) N ;
- FILLER_2_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 16320 ) N ;
- FILLER_2_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 16320 ) N ;
- FILLER_2_329 sky130_fd_sc_hd__decap_4 + PLACED ( 156860 16320 ) N ;
- FILLER_2_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 16320 ) N ;
- FILLER_2_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 16320 ) N ;
- FILLER_2_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 16320 ) N ;
- FILLER_2_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 16320 ) N ;
- FILLER_2_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 16320 ) N ;
- FILLER_2_369 sky130_fd_sc_hd__decap_8 + PLACED ( 175260 16320 ) N ;
- FILLER_2_38 sky130_fd_sc_hd__decap_6 + PLACED ( 23000 16320 ) N ;
- FILLER_2_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 16320 ) N ;
- FILLER_2_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 16320 ) N ;
- FILLER_2_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 16320 ) N ;
- FILLER_2_405 sky130_fd_sc_hd__decap_4 + PLACED ( 191820 16320 ) N ;
- FILLER_2_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 16320 ) N ;
- FILLER_2_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 16320 ) N ;
- FILLER_2_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 16320 ) N ;
- FILLER_2_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 16320 ) N ;
- FILLER_2_439 sky130_fd_sc_hd__decap_4 + PLACED ( 207460 16320 ) N ;
- FILLER_2_447 sky130_fd_sc_hd__decap_4 + PLACED ( 211140 16320 ) N ;
- FILLER_2_455 sky130_fd_sc_hd__decap_4 + PLACED ( 214820 16320 ) N ;
- FILLER_2_459 sky130_fd_sc_hd__fill_1 + PLACED ( 216660 16320 ) N ;
- FILLER_2_464 sky130_fd_sc_hd__decap_4 + PLACED ( 218960 16320 ) N ;
- FILLER_2_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 16320 ) N ;
- FILLER_2_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 16320 ) N ;
- FILLER_2_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 16320 ) N ;
- FILLER_2_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 16320 ) N ;
- FILLER_2_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 16320 ) N ;
- FILLER_2_53 sky130_fd_sc_hd__decap_6 + PLACED ( 29900 16320 ) N ;
- FILLER_2_59 sky130_fd_sc_hd__fill_1 + PLACED ( 32660 16320 ) N ;
- FILLER_2_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 16320 ) N ;
- FILLER_2_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 16320 ) N ;
- FILLER_2_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 92480 ) N ;
- FILLER_30_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 92480 ) N ;
- FILLER_30_170 sky130_fd_sc_hd__decap_12 + PLACED ( 83720 92480 ) N ;
- FILLER_30_182 sky130_fd_sc_hd__decap_12 + PLACED ( 89240 92480 ) N ;
- FILLER_30_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 92480 ) N ;
- FILLER_30_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 92480 ) N ;
- FILLER_30_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 92480 ) N ;
- FILLER_30_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 92480 ) N ;
- FILLER_30_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 92480 ) N ;
- FILLER_30_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 92480 ) N ;
- FILLER_30_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 92480 ) N ;
- FILLER_30_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 92480 ) N ;
- FILLER_30_274 sky130_fd_sc_hd__decap_4 + PLACED ( 131560 92480 ) N ;
- FILLER_30_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 92480 ) N ;
- FILLER_30_292 sky130_fd_sc_hd__decap_4 + PLACED ( 139840 92480 ) N ;
- FILLER_30_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 92480 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 92480 ) N ;
- FILLER_30_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 92480 ) N ;
- FILLER_30_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 92480 ) N ;
- FILLER_30_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 92480 ) N ;
- FILLER_30_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 92480 ) N ;
- FILLER_30_335 sky130_fd_sc_hd__decap_12 + PLACED ( 159620 92480 ) N ;
- FILLER_30_347 sky130_fd_sc_hd__decap_12 + PLACED ( 165140 92480 ) N ;
- FILLER_30_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 92480 ) N ;
- FILLER_30_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 92480 ) N ;
- FILLER_30_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 92480 ) N ;
- FILLER_30_400 sky130_fd_sc_hd__decap_4 + PLACED ( 189520 92480 ) N ;
- FILLER_30_408 sky130_fd_sc_hd__decap_12 + PLACED ( 193200 92480 ) N ;
- FILLER_30_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 92480 ) N ;
- FILLER_30_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 92480 ) N ;
- FILLER_30_433 sky130_fd_sc_hd__decap_8 + PLACED ( 204700 92480 ) N ;
- FILLER_30_441 sky130_fd_sc_hd__fill_2 + PLACED ( 208380 92480 ) N ;
- FILLER_30_445 sky130_fd_sc_hd__decap_4 + PLACED ( 210220 92480 ) N ;
- FILLER_30_455 sky130_fd_sc_hd__decap_4 + PLACED ( 214820 92480 ) N ;
- FILLER_30_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 92480 ) N ;
- FILLER_30_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 92480 ) N ;
- FILLER_30_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 92480 ) N ;
- FILLER_30_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 92480 ) N ;
- FILLER_30_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 92480 ) N ;
- FILLER_30_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 92480 ) N ;
- FILLER_30_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 92480 ) N ;
- FILLER_30_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 92480 ) N ;
- FILLER_30_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 92480 ) N ;
- FILLER_30_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 92480 ) N ;
- FILLER_31_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 95200 ) FS ;
- FILLER_31_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 95200 ) FS ;
- FILLER_31_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 95200 ) FS ;
- FILLER_31_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 95200 ) FS ;
- FILLER_31_175 sky130_fd_sc_hd__decap_4 + PLACED ( 86020 95200 ) FS ;
- FILLER_31_185 sky130_fd_sc_hd__decap_12 + PLACED ( 90620 95200 ) FS ;
- FILLER_31_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 95200 ) FS ;
- FILLER_31_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 95200 ) FS ;
- FILLER_31_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 95200 ) FS ;
- FILLER_31_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 95200 ) FS ;
- FILLER_31_237 sky130_fd_sc_hd__decap_8 + PLACED ( 114540 95200 ) FS ;
- FILLER_31_245 sky130_fd_sc_hd__fill_2 + PLACED ( 118220 95200 ) FS ;
- FILLER_31_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 95200 ) FS ;
- FILLER_31_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 95200 ) FS ;
- FILLER_31_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 95200 ) FS ;
- FILLER_31_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 95200 ) FS ;
- FILLER_31_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 95200 ) FS ;
- FILLER_31_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 95200 ) FS ;
- FILLER_31_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 95200 ) FS ;
- FILLER_31_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 95200 ) FS ;
- FILLER_31_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 95200 ) FS ;
- FILLER_31_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 95200 ) FS ;
- FILLER_31_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_6 + PLACED ( 171580 95200 ) FS ;
- FILLER_31_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 95200 ) FS ;
- FILLER_31_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 95200 ) FS ;
- FILLER_31_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 95200 ) FS ;
- FILLER_31_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 95200 ) FS ;
- FILLER_31_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 95200 ) FS ;
- FILLER_31_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 95200 ) FS ;
- FILLER_31_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 95200 ) FS ;
- FILLER_31_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 95200 ) FS ;
- FILLER_31_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 95200 ) FS ;
- FILLER_31_451 sky130_fd_sc_hd__decap_8 + PLACED ( 212980 95200 ) FS ;
- FILLER_31_465 sky130_fd_sc_hd__decap_6 + PLACED ( 219420 95200 ) FS ;
- FILLER_31_471 sky130_fd_sc_hd__fill_1 + PLACED ( 222180 95200 ) FS ;
- FILLER_31_476 sky130_fd_sc_hd__decap_4 + PLACED ( 224480 95200 ) FS ;
- FILLER_31_482 sky130_fd_sc_hd__decap_12 + PLACED ( 227240 95200 ) FS ;
- FILLER_31_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 95200 ) FS ;
- FILLER_31_502 sky130_fd_sc_hd__fill_2 + PLACED ( 236440 95200 ) FS ;
- FILLER_31_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 95200 ) FS ;
- FILLER_31_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 95200 ) FS ;
- FILLER_31_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 95200 ) FS ;
- FILLER_31_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 95200 ) FS ;
- FILLER_31_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 95200 ) FS ;
- FILLER_31_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 95200 ) FS ;
- FILLER_31_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 95200 ) FS ;
- FILLER_32_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 97920 ) N ;
- FILLER_32_117 sky130_fd_sc_hd__decap_3 + PLACED ( 59340 97920 ) N ;
- FILLER_32_122 sky130_fd_sc_hd__decap_8 + PLACED ( 61640 97920 ) N ;
- FILLER_32_130 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 97920 ) N ;
- FILLER_32_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 97920 ) N ;
- FILLER_32_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 97920 ) N ;
- FILLER_32_258 sky130_fd_sc_hd__decap_12 + PLACED ( 124200 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 97920 ) N ;
- FILLER_32_270 sky130_fd_sc_hd__decap_12 + PLACED ( 129720 97920 ) N ;
- FILLER_32_282 sky130_fd_sc_hd__decap_12 + PLACED ( 135240 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 97920 ) N ;
- FILLER_32_294 sky130_fd_sc_hd__decap_12 + PLACED ( 140760 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 97920 ) N ;
- FILLER_32_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 97920 ) N ;
- FILLER_32_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 97920 ) N ;
- FILLER_32_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 97920 ) N ;
- FILLER_32_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 97920 ) N ;
- FILLER_32_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 97920 ) N ;
- FILLER_32_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 97920 ) N ;
- FILLER_32_385 sky130_fd_sc_hd__decap_12 + PLACED ( 182620 97920 ) N ;
- FILLER_32_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 97920 ) N ;
- FILLER_32_409 sky130_fd_sc_hd__fill_2 + PLACED ( 193660 97920 ) N ;
- FILLER_32_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 97920 ) N ;
- FILLER_32_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 97920 ) N ;
- FILLER_32_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 97920 ) N ;
- FILLER_32_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 97920 ) N ;
- FILLER_32_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 97920 ) N ;
- FILLER_32_445 sky130_fd_sc_hd__fill_1 + PLACED ( 210220 97920 ) N ;
- FILLER_32_448 sky130_fd_sc_hd__decap_4 + PLACED ( 211600 97920 ) N ;
- FILLER_32_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 97920 ) N ;
- FILLER_32_465 sky130_fd_sc_hd__decap_8 + PLACED ( 219420 97920 ) N ;
- FILLER_32_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 97920 ) N ;
- FILLER_32_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 97920 ) N ;
- FILLER_32_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 97920 ) N ;
- FILLER_32_501 sky130_fd_sc_hd__decap_8 + PLACED ( 235980 97920 ) N ;
- FILLER_32_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 97920 ) N ;
- FILLER_32_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 97920 ) N ;
- FILLER_32_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 97920 ) N ;
- FILLER_32_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 100640 ) FS ;
- FILLER_33_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_3 + PLACED ( 57500 100640 ) FS ;
- FILLER_33_118 sky130_fd_sc_hd__decap_4 + PLACED ( 59800 100640 ) FS ;
- FILLER_33_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 100640 ) FS ;
- FILLER_33_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 100640 ) FS ;
- FILLER_33_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 100640 ) FS ;
- FILLER_33_147 sky130_fd_sc_hd__fill_1 + PLACED ( 73140 100640 ) FS ;
- FILLER_33_152 sky130_fd_sc_hd__decap_4 + PLACED ( 75440 100640 ) FS ;
- FILLER_33_158 sky130_fd_sc_hd__decap_8 + PLACED ( 78200 100640 ) FS ;
- FILLER_33_166 sky130_fd_sc_hd__fill_2 + PLACED ( 81880 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 100640 ) FS ;
- FILLER_33_199 sky130_fd_sc_hd__decap_12 + PLACED ( 97060 100640 ) FS ;
- FILLER_33_211 sky130_fd_sc_hd__decap_12 + PLACED ( 102580 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 100640 ) FS ;
- FILLER_33_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 100640 ) FS ;
- FILLER_33_237 sky130_fd_sc_hd__decap_8 + PLACED ( 114540 100640 ) FS ;
- FILLER_33_245 sky130_fd_sc_hd__fill_2 + PLACED ( 118220 100640 ) FS ;
- FILLER_33_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 100640 ) FS ;
- FILLER_33_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 100640 ) FS ;
- FILLER_33_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 100640 ) FS ;
- FILLER_33_393 sky130_fd_sc_hd__decap_8 + PLACED ( 186300 100640 ) FS ;
- FILLER_33_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 100640 ) FS ;
- FILLER_33_409 sky130_fd_sc_hd__decap_4 + PLACED ( 193660 100640 ) FS ;
- FILLER_33_419 sky130_fd_sc_hd__decap_12 + PLACED ( 198260 100640 ) FS ;
- FILLER_33_431 sky130_fd_sc_hd__decap_12 + PLACED ( 203780 100640 ) FS ;
- FILLER_33_443 sky130_fd_sc_hd__decap_4 + PLACED ( 209300 100640 ) FS ;
- FILLER_33_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 100640 ) FS ;
- FILLER_33_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 100640 ) FS ;
- FILLER_33_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 100640 ) FS ;
- FILLER_33_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 100640 ) FS ;
- FILLER_33_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 100640 ) FS ;
- FILLER_33_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 100640 ) FS ;
- FILLER_33_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 100640 ) FS ;
- FILLER_33_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 100640 ) FS ;
- FILLER_33_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 100640 ) FS ;
- FILLER_33_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 100640 ) FS ;
- FILLER_33_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 103360 ) N ;
- FILLER_34_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 103360 ) N ;
- FILLER_34_181 sky130_fd_sc_hd__fill_1 + PLACED ( 88780 103360 ) N ;
- FILLER_34_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 103360 ) N ;
- FILLER_34_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__fill_2 + PLACED ( 96140 103360 ) N ;
- FILLER_34_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 103360 ) N ;
- FILLER_34_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 103360 ) N ;
- FILLER_34_220 sky130_fd_sc_hd__decap_12 + PLACED ( 106720 103360 ) N ;
- FILLER_34_232 sky130_fd_sc_hd__decap_8 + PLACED ( 112240 103360 ) N ;
- FILLER_34_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 103360 ) N ;
- FILLER_34_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 103360 ) N ;
- FILLER_34_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 103360 ) N ;
- FILLER_34_268 sky130_fd_sc_hd__decap_12 + PLACED ( 128800 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 103360 ) N ;
- FILLER_34_280 sky130_fd_sc_hd__decap_12 + PLACED ( 134320 103360 ) N ;
- FILLER_34_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 103360 ) N ;
- FILLER_34_292 sky130_fd_sc_hd__decap_12 + PLACED ( 139840 103360 ) N ;
- FILLER_34_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 103360 ) N ;
- FILLER_34_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_6 + PLACED ( 153180 103360 ) N ;
- FILLER_34_329 sky130_fd_sc_hd__decap_4 + PLACED ( 156860 103360 ) N ;
- FILLER_34_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 103360 ) N ;
- FILLER_34_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 103360 ) N ;
- FILLER_34_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 103360 ) N ;
- FILLER_34_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 103360 ) N ;
- FILLER_34_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 103360 ) N ;
- FILLER_34_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 103360 ) N ;
- FILLER_34_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 103360 ) N ;
- FILLER_34_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 103360 ) N ;
- FILLER_34_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 103360 ) N ;
- FILLER_34_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 103360 ) N ;
- FILLER_34_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 103360 ) N ;
- FILLER_34_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 103360 ) N ;
- FILLER_34_47 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 103360 ) N ;
- FILLER_34_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 103360 ) N ;
- FILLER_34_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 103360 ) N ;
- FILLER_34_489 sky130_fd_sc_hd__decap_6 + PLACED ( 230460 103360 ) N ;
- FILLER_34_495 sky130_fd_sc_hd__fill_1 + PLACED ( 233220 103360 ) N ;
- FILLER_34_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 103360 ) N ;
- FILLER_34_506 sky130_fd_sc_hd__decap_8 + PLACED ( 238280 103360 ) N ;
- FILLER_34_514 sky130_fd_sc_hd__fill_2 + PLACED ( 241960 103360 ) N ;
- FILLER_34_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 103360 ) N ;
- FILLER_34_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 103360 ) N ;
- FILLER_34_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 106080 ) FS ;
- FILLER_35_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__fill_2 + PLACED ( 83260 106080 ) FS ;
- FILLER_35_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 106080 ) FS ;
- FILLER_35_185 sky130_fd_sc_hd__decap_12 + PLACED ( 90620 106080 ) FS ;
- FILLER_35_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 106080 ) FS ;
- FILLER_35_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 106080 ) FS ;
- FILLER_35_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 106080 ) FS ;
- FILLER_35_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 106080 ) FS ;
- FILLER_35_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 106080 ) FS ;
- FILLER_35_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 106080 ) FS ;
- FILLER_35_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 106080 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 106080 ) FS ;
- FILLER_35_35 sky130_fd_sc_hd__fill_1 + PLACED ( 21620 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 106080 ) FS ;
- FILLER_35_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 106080 ) FS ;
- FILLER_35_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 106080 ) FS ;
- FILLER_35_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 106080 ) FS ;
- FILLER_35_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 106080 ) FS ;
- FILLER_35_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 106080 ) FS ;
- FILLER_35_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 106080 ) FS ;
- FILLER_35_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 106080 ) FS ;
- FILLER_35_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 106080 ) FS ;
- FILLER_35_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 106080 ) FS ;
- FILLER_35_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 106080 ) FS ;
- FILLER_35_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 106080 ) FS ;
- FILLER_35_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 106080 ) FS ;
- FILLER_35_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 106080 ) FS ;
- FILLER_35_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 106080 ) FS ;
- FILLER_35_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 106080 ) FS ;
- FILLER_35_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 106080 ) FS ;
- FILLER_35_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__fill_2 + PLACED ( 70380 108800 ) N ;
- FILLER_36_145 sky130_fd_sc_hd__decap_6 + PLACED ( 72220 108800 ) N ;
- FILLER_36_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 108800 ) N ;
- FILLER_36_151 sky130_fd_sc_hd__fill_1 + PLACED ( 74980 108800 ) N ;
- FILLER_36_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 108800 ) N ;
- FILLER_36_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 108800 ) N ;
- FILLER_36_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 108800 ) N ;
- FILLER_36_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 108800 ) N ;
- FILLER_36_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 108800 ) N ;
- FILLER_36_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 108800 ) N ;
- FILLER_36_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 108800 ) N ;
- FILLER_36_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 108800 ) N ;
- FILLER_36_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 108800 ) N ;
- FILLER_36_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 108800 ) N ;
- FILLER_36_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 108800 ) N ;
- FILLER_36_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 108800 ) N ;
- FILLER_36_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 108800 ) N ;
- FILLER_36_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 108800 ) N ;
- FILLER_36_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 108800 ) N ;
- FILLER_36_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 108800 ) N ;
- FILLER_36_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 108800 ) N ;
- FILLER_36_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 108800 ) N ;
- FILLER_36_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 108800 ) N ;
- FILLER_36_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_8 + PLACED ( 57500 111520 ) FS ;
- FILLER_37_121 sky130_fd_sc_hd__decap_3 + PLACED ( 61180 111520 ) FS ;
- FILLER_37_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 111520 ) FS ;
- FILLER_37_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 111520 ) FS ;
- FILLER_37_195 sky130_fd_sc_hd__decap_4 + PLACED ( 95220 111520 ) FS ;
- FILLER_37_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 111520 ) FS ;
- FILLER_37_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 111520 ) FS ;
- FILLER_37_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 111520 ) FS ;
- FILLER_37_241 sky130_fd_sc_hd__fill_1 + PLACED ( 116380 111520 ) FS ;
- FILLER_37_246 sky130_fd_sc_hd__decap_4 + PLACED ( 118680 111520 ) FS ;
- FILLER_37_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 111520 ) FS ;
- FILLER_37_252 sky130_fd_sc_hd__decap_12 + PLACED ( 121440 111520 ) FS ;
- FILLER_37_264 sky130_fd_sc_hd__decap_12 + PLACED ( 126960 111520 ) FS ;
- FILLER_37_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 111520 ) FS ;
- FILLER_37_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 111520 ) FS ;
- FILLER_37_291 sky130_fd_sc_hd__decap_12 + PLACED ( 139380 111520 ) FS ;
- FILLER_37_303 sky130_fd_sc_hd__decap_12 + PLACED ( 144900 111520 ) FS ;
- FILLER_37_315 sky130_fd_sc_hd__decap_12 + PLACED ( 150420 111520 ) FS ;
- FILLER_37_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 111520 ) FS ;
- FILLER_37_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 111520 ) FS ;
- FILLER_37_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 111520 ) FS ;
- FILLER_37_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 111520 ) FS ;
- FILLER_37_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 111520 ) FS ;
- FILLER_37_429 sky130_fd_sc_hd__decap_6 + PLACED ( 202860 111520 ) FS ;
- FILLER_37_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 111520 ) FS ;
- FILLER_37_451 sky130_fd_sc_hd__decap_12 + PLACED ( 212980 111520 ) FS ;
- FILLER_37_463 sky130_fd_sc_hd__decap_12 + PLACED ( 218500 111520 ) FS ;
- FILLER_37_475 sky130_fd_sc_hd__decap_12 + PLACED ( 224020 111520 ) FS ;
- FILLER_37_487 sky130_fd_sc_hd__decap_12 + PLACED ( 229540 111520 ) FS ;
- FILLER_37_499 sky130_fd_sc_hd__decap_4 + PLACED ( 235060 111520 ) FS ;
- FILLER_37_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 111520 ) FS ;
- FILLER_37_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 111520 ) FS ;
- FILLER_37_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 111520 ) FS ;
- FILLER_37_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 111520 ) FS ;
- FILLER_37_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 111520 ) FS ;
- FILLER_38_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 114240 ) N ;
- FILLER_38_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 114240 ) N ;
- FILLER_38_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 114240 ) N ;
- FILLER_38_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 114240 ) N ;
- FILLER_38_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 114240 ) N ;
- FILLER_38_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 114240 ) N ;
- FILLER_38_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 114240 ) N ;
- FILLER_38_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 114240 ) N ;
- FILLER_38_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 114240 ) N ;
- FILLER_38_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 114240 ) N ;
- FILLER_38_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 114240 ) N ;
- FILLER_38_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 114240 ) N ;
- FILLER_38_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 114240 ) N ;
- FILLER_38_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 114240 ) N ;
- FILLER_38_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 114240 ) N ;
- FILLER_38_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 114240 ) N ;
- FILLER_38_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 114240 ) N ;
- FILLER_38_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 114240 ) N ;
- FILLER_38_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 114240 ) N ;
- FILLER_38_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 114240 ) N ;
- FILLER_38_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 114240 ) N ;
- FILLER_38_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 114240 ) N ;
- FILLER_38_501 sky130_fd_sc_hd__decap_8 + PLACED ( 235980 114240 ) N ;
- FILLER_38_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 114240 ) N ;
- FILLER_38_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 114240 ) N ;
- FILLER_38_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 114240 ) N ;
- FILLER_38_87 sky130_fd_sc_hd__decap_12 + PLACED ( 45540 114240 ) N ;
- FILLER_38_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 114240 ) N ;
- FILLER_39_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 116960 ) FS ;
- FILLER_39_115 sky130_fd_sc_hd__decap_12 + PLACED ( 58420 116960 ) FS ;
- FILLER_39_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 116960 ) FS ;
- FILLER_39_139 sky130_fd_sc_hd__decap_12 + PLACED ( 69460 116960 ) FS ;
- FILLER_39_151 sky130_fd_sc_hd__decap_8 + PLACED ( 74980 116960 ) FS ;
- FILLER_39_159 sky130_fd_sc_hd__decap_3 + PLACED ( 78660 116960 ) FS ;
- FILLER_39_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 116960 ) FS ;
- FILLER_39_188 sky130_fd_sc_hd__decap_4 + PLACED ( 92000 116960 ) FS ;
- FILLER_39_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 116960 ) FS ;
- FILLER_39_196 sky130_fd_sc_hd__decap_12 + PLACED ( 95680 116960 ) FS ;
- FILLER_39_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 116960 ) FS ;
- FILLER_39_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 116960 ) FS ;
- FILLER_39_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 116960 ) FS ;
- FILLER_39_309 sky130_fd_sc_hd__fill_1 + PLACED ( 147660 116960 ) FS ;
- FILLER_39_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 116960 ) FS ;
- FILLER_39_312 sky130_fd_sc_hd__decap_4 + PLACED ( 149040 116960 ) FS ;
- FILLER_39_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 116960 ) FS ;
- FILLER_39_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_6 + PLACED ( 160540 116960 ) FS ;
- FILLER_39_343 sky130_fd_sc_hd__fill_1 + PLACED ( 163300 116960 ) FS ;
- FILLER_39_346 sky130_fd_sc_hd__decap_4 + PLACED ( 164680 116960 ) FS ;
- FILLER_39_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 116960 ) FS ;
- FILLER_39_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 116960 ) FS ;
- FILLER_39_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_8 + PLACED ( 186300 116960 ) FS ;
- FILLER_39_401 sky130_fd_sc_hd__decap_3 + PLACED ( 189980 116960 ) FS ;
- FILLER_39_406 sky130_fd_sc_hd__decap_12 + PLACED ( 192280 116960 ) FS ;
- FILLER_39_418 sky130_fd_sc_hd__decap_12 + PLACED ( 197800 116960 ) FS ;
- FILLER_39_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 116960 ) FS ;
- FILLER_39_430 sky130_fd_sc_hd__decap_12 + PLACED ( 203320 116960 ) FS ;
- FILLER_39_442 sky130_fd_sc_hd__decap_6 + PLACED ( 208840 116960 ) FS ;
- FILLER_39_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 116960 ) FS ;
- FILLER_39_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 116960 ) FS ;
- FILLER_39_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 116960 ) FS ;
- FILLER_39_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 116960 ) FS ;
- FILLER_39_492 sky130_fd_sc_hd__decap_12 + PLACED ( 231840 116960 ) FS ;
- FILLER_39_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 116960 ) FS ;
- FILLER_39_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 116960 ) FS ;
- FILLER_39_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_3 + PLACED ( 31740 116960 ) FS ;
- FILLER_39_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 116960 ) FS ;
- FILLER_39_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 116960 ) FS ;
- FILLER_39_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 116960 ) FS ;
- FILLER_39_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 116960 ) FS ;
- FILLER_3_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 19040 ) FS ;
- FILLER_3_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 19040 ) FS ;
- FILLER_3_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 19040 ) FS ;
- FILLER_3_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 19040 ) FS ;
- FILLER_3_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 19040 ) FS ;
- FILLER_3_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 19040 ) FS ;
- FILLER_3_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 19040 ) FS ;
- FILLER_3_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 19040 ) FS ;
- FILLER_3_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 19040 ) FS ;
- FILLER_3_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 19040 ) FS ;
- FILLER_3_189 sky130_fd_sc_hd__decap_3 + PLACED ( 92460 19040 ) FS ;
- FILLER_3_194 sky130_fd_sc_hd__decap_6 + PLACED ( 94760 19040 ) FS ;
- FILLER_3_202 sky130_fd_sc_hd__decap_8 + PLACED ( 98440 19040 ) FS ;
- FILLER_3_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 19040 ) FS ;
- FILLER_3_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 19040 ) FS ;
- FILLER_3_227 sky130_fd_sc_hd__decap_8 + PLACED ( 109940 19040 ) FS ;
- FILLER_3_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 19040 ) FS ;
- FILLER_3_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 19040 ) FS ;
- FILLER_3_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 19040 ) FS ;
- FILLER_3_251 sky130_fd_sc_hd__decap_6 + PLACED ( 120980 19040 ) FS ;
- FILLER_3_259 sky130_fd_sc_hd__decap_6 + PLACED ( 124660 19040 ) FS ;
- FILLER_3_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_3 + PLACED ( 134780 19040 ) FS ;
- FILLER_3_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 19040 ) FS ;
- FILLER_3_294 sky130_fd_sc_hd__decap_4 + PLACED ( 140760 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 19040 ) FS ;
- FILLER_3_302 sky130_fd_sc_hd__decap_4 + PLACED ( 144440 19040 ) FS ;
- FILLER_3_310 sky130_fd_sc_hd__decap_4 + PLACED ( 148120 19040 ) FS ;
- FILLER_3_314 sky130_fd_sc_hd__fill_1 + PLACED ( 149960 19040 ) FS ;
- FILLER_3_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 19040 ) FS ;
- FILLER_3_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 19040 ) FS ;
- FILLER_3_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 19040 ) FS ;
- FILLER_3_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 19040 ) FS ;
- FILLER_3_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 19040 ) FS ;
- FILLER_3_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 19040 ) FS ;
- FILLER_3_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 19040 ) FS ;
- FILLER_3_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 19040 ) FS ;
- FILLER_3_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 19040 ) FS ;
- FILLER_3_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 19040 ) FS ;
- FILLER_3_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 19040 ) FS ;
- FILLER_3_415 sky130_fd_sc_hd__decap_4 + PLACED ( 196420 19040 ) FS ;
- FILLER_3_423 sky130_fd_sc_hd__decap_4 + PLACED ( 200100 19040 ) FS ;
- FILLER_3_431 sky130_fd_sc_hd__decap_8 + PLACED ( 203780 19040 ) FS ;
- FILLER_3_439 sky130_fd_sc_hd__fill_1 + PLACED ( 207460 19040 ) FS ;
- FILLER_3_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 19040 ) FS ;
- FILLER_3_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 19040 ) FS ;
- FILLER_3_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 19040 ) FS ;
- FILLER_3_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 19040 ) FS ;
- FILLER_3_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 19040 ) FS ;
- FILLER_3_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 19040 ) FS ;
- FILLER_3_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 19040 ) FS ;
- FILLER_3_490 sky130_fd_sc_hd__decap_4 + PLACED ( 230920 19040 ) FS ;
- FILLER_3_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 19040 ) FS ;
- FILLER_3_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 19040 ) FS ;
- FILLER_3_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 19040 ) FS ;
- FILLER_3_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 19040 ) FS ;
- FILLER_3_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 19040 ) FS ;
- FILLER_3_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 19040 ) FS ;
- FILLER_3_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 19040 ) FS ;
- FILLER_3_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 19040 ) FS ;
- FILLER_40_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 119680 ) N ;
- FILLER_40_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 119680 ) N ;
- FILLER_40_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 119680 ) N ;
- FILLER_40_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 119680 ) N ;
- FILLER_40_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_8 + PLACED ( 86940 119680 ) N ;
- FILLER_40_185 sky130_fd_sc_hd__decap_3 + PLACED ( 90620 119680 ) N ;
- FILLER_40_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 119680 ) N ;
- FILLER_40_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 119680 ) N ;
- FILLER_40_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 119680 ) N ;
- FILLER_40_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 119680 ) N ;
- FILLER_40_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_6 + PLACED ( 158700 119680 ) N ;
- FILLER_40_341 sky130_fd_sc_hd__decap_4 + PLACED ( 162380 119680 ) N ;
- FILLER_40_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 119680 ) N ;
- FILLER_40_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 119680 ) N ;
- FILLER_40_377 sky130_fd_sc_hd__decap_6 + PLACED ( 178940 119680 ) N ;
- FILLER_40_383 sky130_fd_sc_hd__fill_1 + PLACED ( 181700 119680 ) N ;
- FILLER_40_386 sky130_fd_sc_hd__decap_4 + PLACED ( 183080 119680 ) N ;
- FILLER_40_404 sky130_fd_sc_hd__decap_4 + PLACED ( 191360 119680 ) N ;
- FILLER_40_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 119680 ) N ;
- FILLER_40_410 sky130_fd_sc_hd__decap_8 + PLACED ( 194120 119680 ) N ;
- FILLER_40_418 sky130_fd_sc_hd__fill_2 + PLACED ( 197800 119680 ) N ;
- FILLER_40_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 119680 ) N ;
- FILLER_40_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 119680 ) N ;
- FILLER_40_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 119680 ) N ;
- FILLER_40_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 119680 ) N ;
- FILLER_40_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 119680 ) N ;
- FILLER_40_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 119680 ) N ;
- FILLER_40_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 119680 ) N ;
- FILLER_40_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 119680 ) N ;
- FILLER_40_501 sky130_fd_sc_hd__decap_12 + PLACED ( 235980 119680 ) N ;
- FILLER_40_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 119680 ) N ;
- FILLER_40_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 119680 ) N ;
- FILLER_40_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 119680 ) N ;
- FILLER_40_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 119680 ) N ;
- FILLER_41_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 122400 ) FS ;
- FILLER_41_117 sky130_fd_sc_hd__decap_12 + PLACED ( 59340 122400 ) FS ;
- FILLER_41_129 sky130_fd_sc_hd__decap_12 + PLACED ( 64860 122400 ) FS ;
- FILLER_41_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 122400 ) FS ;
- FILLER_41_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 122400 ) FS ;
- FILLER_41_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 122400 ) FS ;
- FILLER_41_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 122400 ) FS ;
- FILLER_41_172 sky130_fd_sc_hd__decap_12 + PLACED ( 84640 122400 ) FS ;
- FILLER_41_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 122400 ) FS ;
- FILLER_41_196 sky130_fd_sc_hd__decap_3 + PLACED ( 95680 122400 ) FS ;
- FILLER_41_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 122400 ) FS ;
- FILLER_41_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 122400 ) FS ;
- FILLER_41_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 122400 ) FS ;
- FILLER_41_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 122400 ) FS ;
- FILLER_41_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 122400 ) FS ;
- FILLER_41_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 122400 ) FS ;
- FILLER_41_386 sky130_fd_sc_hd__decap_6 + PLACED ( 183080 122400 ) FS ;
- FILLER_41_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 122400 ) FS ;
- FILLER_41_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 122400 ) FS ;
- FILLER_41_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 122400 ) FS ;
- FILLER_41_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 122400 ) FS ;
- FILLER_41_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 122400 ) FS ;
- FILLER_41_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 122400 ) FS ;
- FILLER_41_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 122400 ) FS ;
- FILLER_41_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 122400 ) FS ;
- FILLER_41_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 122400 ) FS ;
- FILLER_41_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 122400 ) FS ;
- FILLER_41_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 122400 ) FS ;
- FILLER_41_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 122400 ) FS ;
- FILLER_41_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 122400 ) FS ;
- FILLER_41_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 122400 ) FS ;
- FILLER_41_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 122400 ) FS ;
- FILLER_41_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__fill_2 + PLACED ( 42780 122400 ) FS ;
- FILLER_41_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 122400 ) FS ;
- FILLER_41_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 122400 ) FS ;
- FILLER_42_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 125120 ) N ;
- FILLER_42_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 125120 ) N ;
- FILLER_42_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 125120 ) N ;
- FILLER_42_162 sky130_fd_sc_hd__decap_8 + PLACED ( 80040 125120 ) N ;
- FILLER_42_172 sky130_fd_sc_hd__decap_12 + PLACED ( 84640 125120 ) N ;
- FILLER_42_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 125120 ) N ;
- FILLER_42_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 125120 ) N ;
- FILLER_42_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 125120 ) N ;
- FILLER_42_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 125120 ) N ;
- FILLER_42_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 125120 ) N ;
- FILLER_42_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 125120 ) N ;
- FILLER_42_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_6 + PLACED ( 158700 125120 ) N ;
- FILLER_42_341 sky130_fd_sc_hd__decap_8 + PLACED ( 162380 125120 ) N ;
- FILLER_42_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 125120 ) N ;
- FILLER_42_352 sky130_fd_sc_hd__decap_12 + PLACED ( 167440 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 125120 ) N ;
- FILLER_42_373 sky130_fd_sc_hd__decap_3 + PLACED ( 177100 125120 ) N ;
- FILLER_42_378 sky130_fd_sc_hd__decap_8 + PLACED ( 179400 125120 ) N ;
- FILLER_42_395 sky130_fd_sc_hd__decap_12 + PLACED ( 187220 125120 ) N ;
- FILLER_42_407 sky130_fd_sc_hd__decap_12 + PLACED ( 192740 125120 ) N ;
- FILLER_42_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 125120 ) N ;
- FILLER_42_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 125120 ) N ;
- FILLER_42_421 sky130_fd_sc_hd__decap_3 + PLACED ( 199180 125120 ) N ;
- FILLER_42_426 sky130_fd_sc_hd__decap_12 + PLACED ( 201480 125120 ) N ;
- FILLER_42_438 sky130_fd_sc_hd__decap_12 + PLACED ( 207000 125120 ) N ;
- FILLER_42_450 sky130_fd_sc_hd__decap_12 + PLACED ( 212520 125120 ) N ;
- FILLER_42_462 sky130_fd_sc_hd__decap_4 + PLACED ( 218040 125120 ) N ;
- FILLER_42_466 sky130_fd_sc_hd__fill_1 + PLACED ( 219880 125120 ) N ;
- FILLER_42_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 125120 ) N ;
- FILLER_42_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 125120 ) N ;
- FILLER_42_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 125120 ) N ;
- FILLER_42_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 125120 ) N ;
- FILLER_42_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 125120 ) N ;
- FILLER_42_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 125120 ) N ;
- FILLER_42_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 125120 ) N ;
- FILLER_42_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 125120 ) N ;
- FILLER_42_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 125120 ) N ;
- FILLER_42_92 sky130_fd_sc_hd__decap_4 + PLACED ( 47840 125120 ) N ;
- FILLER_43_101 sky130_fd_sc_hd__decap_8 + PLACED ( 51980 127840 ) FS ;
- FILLER_43_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_6 + PLACED ( 74060 127840 ) FS ;
- FILLER_43_155 sky130_fd_sc_hd__fill_1 + PLACED ( 76820 127840 ) FS ;
- FILLER_43_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_3 + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 127840 ) FS ;
- FILLER_43_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 127840 ) FS ;
- FILLER_43_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 127840 ) FS ;
- FILLER_43_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 127840 ) FS ;
- FILLER_43_345 sky130_fd_sc_hd__decap_6 + PLACED ( 164220 127840 ) FS ;
- FILLER_43_351 sky130_fd_sc_hd__fill_1 + PLACED ( 166980 127840 ) FS ;
- FILLER_43_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 127840 ) FS ;
- FILLER_43_366 sky130_fd_sc_hd__decap_12 + PLACED ( 173880 127840 ) FS ;
- FILLER_43_378 sky130_fd_sc_hd__fill_2 + PLACED ( 179400 127840 ) FS ;
- FILLER_43_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 127840 ) FS ;
- FILLER_43_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 127840 ) FS ;
- FILLER_43_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 127840 ) FS ;
- FILLER_43_408 sky130_fd_sc_hd__decap_4 + PLACED ( 193200 127840 ) FS ;
- FILLER_43_414 sky130_fd_sc_hd__decap_4 + PLACED ( 195960 127840 ) FS ;
- FILLER_43_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 127840 ) FS ;
- FILLER_43_422 sky130_fd_sc_hd__decap_4 + PLACED ( 199640 127840 ) FS ;
- FILLER_43_430 sky130_fd_sc_hd__decap_12 + PLACED ( 203320 127840 ) FS ;
- FILLER_43_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 127840 ) FS ;
- FILLER_43_461 sky130_fd_sc_hd__decap_8 + PLACED ( 217580 127840 ) FS ;
- FILLER_43_473 sky130_fd_sc_hd__decap_8 + PLACED ( 223100 127840 ) FS ;
- FILLER_43_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 127840 ) FS ;
- FILLER_43_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 127840 ) FS ;
- FILLER_43_492 sky130_fd_sc_hd__decap_12 + PLACED ( 231840 127840 ) FS ;
- FILLER_43_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 127840 ) FS ;
- FILLER_43_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 127840 ) FS ;
- FILLER_43_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 127840 ) FS ;
- FILLER_43_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 127840 ) FS ;
- FILLER_43_77 sky130_fd_sc_hd__decap_3 + PLACED ( 40940 127840 ) FS ;
- FILLER_43_82 sky130_fd_sc_hd__decap_4 + PLACED ( 43240 127840 ) FS ;
- FILLER_43_88 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 127840 ) FS ;
- FILLER_44_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 130560 ) N ;
- FILLER_44_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 130560 ) N ;
- FILLER_44_112 sky130_fd_sc_hd__decap_12 + PLACED ( 57040 130560 ) N ;
- FILLER_44_124 sky130_fd_sc_hd__decap_12 + PLACED ( 62560 130560 ) N ;
- FILLER_44_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 130560 ) N ;
- FILLER_44_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 130560 ) N ;
- FILLER_44_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 130560 ) N ;
- FILLER_44_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 130560 ) N ;
- FILLER_44_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 130560 ) N ;
- FILLER_44_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 130560 ) N ;
- FILLER_44_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_6 + PLACED ( 158700 130560 ) N ;
- FILLER_44_341 sky130_fd_sc_hd__decap_12 + PLACED ( 162380 130560 ) N ;
- FILLER_44_353 sky130_fd_sc_hd__decap_8 + PLACED ( 167900 130560 ) N ;
- FILLER_44_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 130560 ) N ;
- FILLER_44_370 sky130_fd_sc_hd__decap_12 + PLACED ( 175720 130560 ) N ;
- FILLER_44_382 sky130_fd_sc_hd__decap_12 + PLACED ( 181240 130560 ) N ;
- FILLER_44_394 sky130_fd_sc_hd__decap_12 + PLACED ( 186760 130560 ) N ;
- FILLER_44_406 sky130_fd_sc_hd__decap_12 + PLACED ( 192280 130560 ) N ;
- FILLER_44_418 sky130_fd_sc_hd__fill_2 + PLACED ( 197800 130560 ) N ;
- FILLER_44_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 130560 ) N ;
- FILLER_44_433 sky130_fd_sc_hd__fill_1 + PLACED ( 204700 130560 ) N ;
- FILLER_44_437 sky130_fd_sc_hd__decap_12 + PLACED ( 206540 130560 ) N ;
- FILLER_44_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 130560 ) N ;
- FILLER_44_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 130560 ) N ;
- FILLER_44_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 130560 ) N ;
- FILLER_44_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 130560 ) N ;
- FILLER_44_477 sky130_fd_sc_hd__decap_6 + PLACED ( 224940 130560 ) N ;
- FILLER_44_483 sky130_fd_sc_hd__fill_1 + PLACED ( 227700 130560 ) N ;
- FILLER_44_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 130560 ) N ;
- FILLER_44_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 130560 ) N ;
- FILLER_44_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 130560 ) N ;
- FILLER_44_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 130560 ) N ;
- FILLER_44_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 130560 ) N ;
- FILLER_44_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 130560 ) N ;
- FILLER_44_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 130560 ) N ;
- FILLER_44_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__fill_1 + PLACED ( 44620 130560 ) N ;
- FILLER_44_88 sky130_fd_sc_hd__decap_12 + PLACED ( 46000 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 133280 ) FS ;
- FILLER_45_21 sky130_fd_sc_hd__decap_12 + PLACED ( 15180 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_fd_sc_hd__decap_8 + PLACED ( 114540 133280 ) FS ;
- FILLER_45_245 sky130_fd_sc_hd__fill_2 + PLACED ( 118220 133280 ) FS ;
- FILLER_45_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 133280 ) FS ;
- FILLER_45_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 133280 ) FS ;
- FILLER_45_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 133280 ) FS ;
- FILLER_45_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__fill_2 + PLACED ( 145820 133280 ) FS ;
- FILLER_45_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 133280 ) FS ;
- FILLER_45_33 sky130_fd_sc_hd__decap_12 + PLACED ( 20700 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 133280 ) FS ;
- FILLER_45_345 sky130_fd_sc_hd__decap_8 + PLACED ( 164220 133280 ) FS ;
- FILLER_45_353 sky130_fd_sc_hd__fill_1 + PLACED ( 167900 133280 ) FS ;
- FILLER_45_356 sky130_fd_sc_hd__decap_4 + PLACED ( 169280 133280 ) FS ;
- FILLER_45_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 133280 ) FS ;
- FILLER_45_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 133280 ) FS ;
- FILLER_45_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 133280 ) FS ;
- FILLER_45_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 133280 ) FS ;
- FILLER_45_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 133280 ) FS ;
- FILLER_45_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 133280 ) FS ;
- FILLER_45_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 133280 ) FS ;
- FILLER_45_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 133280 ) FS ;
- FILLER_45_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 133280 ) FS ;
- FILLER_45_45 sky130_fd_sc_hd__decap_8 + PLACED ( 26220 133280 ) FS ;
- FILLER_45_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 133280 ) FS ;
- FILLER_45_473 sky130_fd_sc_hd__decap_4 + PLACED ( 223100 133280 ) FS ;
- FILLER_45_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 133280 ) FS ;
- FILLER_45_480 sky130_fd_sc_hd__decap_12 + PLACED ( 226320 133280 ) FS ;
- FILLER_45_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 133280 ) FS ;
- FILLER_45_502 sky130_fd_sc_hd__fill_2 + PLACED ( 236440 133280 ) FS ;
- FILLER_45_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 133280 ) FS ;
- FILLER_45_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 133280 ) FS ;
- FILLER_45_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 133280 ) FS ;
- FILLER_45_9 sky130_fd_sc_hd__decap_12 + PLACED ( 9660 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 136000 ) N ;
- FILLER_46_15 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 136000 ) N ;
- FILLER_46_179 sky130_fd_sc_hd__decap_12 + PLACED ( 87860 136000 ) N ;
- FILLER_46_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 136000 ) N ;
- FILLER_46_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 136000 ) N ;
- FILLER_46_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 136000 ) N ;
- FILLER_46_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 136000 ) N ;
- FILLER_46_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 136000 ) N ;
- FILLER_46_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 136000 ) N ;
- FILLER_46_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 136000 ) N ;
- FILLER_46_312 sky130_fd_sc_hd__decap_12 + PLACED ( 149040 136000 ) N ;
- FILLER_46_324 sky130_fd_sc_hd__decap_12 + PLACED ( 154560 136000 ) N ;
- FILLER_46_336 sky130_fd_sc_hd__decap_12 + PLACED ( 160080 136000 ) N ;
- FILLER_46_348 sky130_fd_sc_hd__decap_12 + PLACED ( 165600 136000 ) N ;
- FILLER_46_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 136000 ) N ;
- FILLER_46_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 136000 ) N ;
- FILLER_46_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 136000 ) N ;
- FILLER_46_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_6 + PLACED ( 184460 136000 ) N ;
- FILLER_46_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 136000 ) N ;
- FILLER_46_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 136000 ) N ;
- FILLER_46_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 136000 ) N ;
- FILLER_46_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 136000 ) N ;
- FILLER_46_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 136000 ) N ;
- FILLER_46_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 136000 ) N ;
- FILLER_46_45 sky130_fd_sc_hd__fill_1 + PLACED ( 26220 136000 ) N ;
- FILLER_46_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 136000 ) N ;
- FILLER_46_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 136000 ) N ;
- FILLER_46_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 136000 ) N ;
- FILLER_46_477 sky130_fd_sc_hd__decap_3 + PLACED ( 224940 136000 ) N ;
- FILLER_46_48 sky130_fd_sc_hd__decap_4 + PLACED ( 27600 136000 ) N ;
- FILLER_46_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 136000 ) N ;
- FILLER_46_500 sky130_fd_sc_hd__decap_12 + PLACED ( 235520 136000 ) N ;
- FILLER_46_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 136000 ) N ;
- FILLER_46_54 sky130_fd_sc_hd__decap_12 + PLACED ( 30360 136000 ) N ;
- FILLER_46_66 sky130_fd_sc_hd__decap_12 + PLACED ( 35880 136000 ) N ;
- FILLER_46_7 sky130_fd_sc_hd__decap_8 + PLACED ( 8740 136000 ) N ;
- FILLER_46_78 sky130_fd_sc_hd__decap_6 + PLACED ( 41400 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 136000 ) N ;
- FILLER_47_101 sky130_fd_sc_hd__decap_8 + PLACED ( 51980 138720 ) FS ;
- FILLER_47_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 138720 ) FS ;
- FILLER_47_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 138720 ) FS ;
- FILLER_47_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 138720 ) FS ;
- FILLER_47_183 sky130_fd_sc_hd__decap_12 + PLACED ( 89700 138720 ) FS ;
- FILLER_47_195 sky130_fd_sc_hd__decap_4 + PLACED ( 95220 138720 ) FS ;
- FILLER_47_199 sky130_fd_sc_hd__fill_1 + PLACED ( 97060 138720 ) FS ;
- FILLER_47_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 138720 ) FS ;
- FILLER_47_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 138720 ) FS ;
- FILLER_47_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 138720 ) FS ;
- FILLER_47_24 sky130_fd_sc_hd__decap_6 + PLACED ( 16560 138720 ) FS ;
- FILLER_47_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 138720 ) FS ;
- FILLER_47_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 138720 ) FS ;
- FILLER_47_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 138720 ) FS ;
- FILLER_47_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 138720 ) FS ;
- FILLER_47_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 138720 ) FS ;
- FILLER_47_36 sky130_fd_sc_hd__fill_1 + PLACED ( 22080 138720 ) FS ;
- FILLER_47_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 138720 ) FS ;
- FILLER_47_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 138720 ) FS ;
- FILLER_47_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 138720 ) FS ;
- FILLER_47_413 sky130_fd_sc_hd__decap_12 + PLACED ( 195500 138720 ) FS ;
- FILLER_47_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 138720 ) FS ;
- FILLER_47_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 138720 ) FS ;
- FILLER_47_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 138720 ) FS ;
- FILLER_47_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 138720 ) FS ;
- FILLER_47_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 138720 ) FS ;
- FILLER_47_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 138720 ) FS ;
- FILLER_47_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 138720 ) FS ;
- FILLER_47_478 sky130_fd_sc_hd__decap_4 + PLACED ( 225400 138720 ) FS ;
- FILLER_47_482 sky130_fd_sc_hd__fill_1 + PLACED ( 227240 138720 ) FS ;
- FILLER_47_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 138720 ) FS ;
- FILLER_47_496 sky130_fd_sc_hd__decap_8 + PLACED ( 233680 138720 ) FS ;
- FILLER_47_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 138720 ) FS ;
- FILLER_47_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 138720 ) FS ;
- FILLER_47_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 138720 ) FS ;
- FILLER_47_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 138720 ) FS ;
- FILLER_47_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 138720 ) FS ;
- FILLER_47_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 138720 ) FS ;
- FILLER_47_89 sky130_fd_sc_hd__decap_12 + PLACED ( 46460 138720 ) FS ;
- FILLER_48_103 sky130_fd_sc_hd__decap_4 + PLACED ( 52900 141440 ) N ;
- FILLER_48_107 sky130_fd_sc_hd__fill_1 + PLACED ( 54740 141440 ) N ;
- FILLER_48_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 141440 ) N ;
- FILLER_48_110 sky130_fd_sc_hd__decap_12 + PLACED ( 56120 141440 ) N ;
- FILLER_48_122 sky130_fd_sc_hd__decap_12 + PLACED ( 61640 141440 ) N ;
- FILLER_48_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 141440 ) N ;
- FILLER_48_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__fill_2 + PLACED ( 81420 141440 ) N ;
- FILLER_48_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 141440 ) N ;
- FILLER_48_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 141440 ) N ;
- FILLER_48_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 141440 ) N ;
- FILLER_48_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 141440 ) N ;
- FILLER_48_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 141440 ) N ;
- FILLER_48_217 sky130_fd_sc_hd__fill_2 + PLACED ( 105340 141440 ) N ;
- FILLER_48_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 141440 ) N ;
- FILLER_48_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 141440 ) N ;
- FILLER_48_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_8 + PLACED ( 147660 141440 ) N ;
- FILLER_48_31 sky130_fd_sc_hd__decap_6 + PLACED ( 19780 141440 ) N ;
- FILLER_48_317 sky130_fd_sc_hd__fill_2 + PLACED ( 151340 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 141440 ) N ;
- FILLER_48_37 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 141440 ) N ;
- FILLER_48_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 141440 ) N ;
- FILLER_48_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 141440 ) N ;
- FILLER_48_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 141440 ) N ;
- FILLER_48_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 141440 ) N ;
- FILLER_48_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 141440 ) N ;
- FILLER_48_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 141440 ) N ;
- FILLER_48_433 sky130_fd_sc_hd__decap_8 + PLACED ( 204700 141440 ) N ;
- FILLER_48_441 sky130_fd_sc_hd__fill_2 + PLACED ( 208380 141440 ) N ;
- FILLER_48_445 sky130_fd_sc_hd__decap_4 + PLACED ( 210220 141440 ) N ;
- FILLER_48_453 sky130_fd_sc_hd__decap_12 + PLACED ( 213900 141440 ) N ;
- FILLER_48_465 sky130_fd_sc_hd__decap_8 + PLACED ( 219420 141440 ) N ;
- FILLER_48_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 141440 ) N ;
- FILLER_48_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 141440 ) N ;
- FILLER_48_48 sky130_fd_sc_hd__decap_4 + PLACED ( 27600 141440 ) N ;
- FILLER_48_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 141440 ) N ;
- FILLER_48_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 141440 ) N ;
- FILLER_48_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 141440 ) N ;
- FILLER_48_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 141440 ) N ;
- FILLER_48_54 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 141440 ) N ;
- FILLER_48_60 sky130_fd_sc_hd__decap_12 + PLACED ( 33120 141440 ) N ;
- FILLER_48_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_3 + PLACED ( 50140 141440 ) N ;
- FILLER_49_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 144160 ) FS ;
- FILLER_49_115 sky130_fd_sc_hd__decap_12 + PLACED ( 58420 144160 ) FS ;
- FILLER_49_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 144160 ) FS ;
- FILLER_49_139 sky130_fd_sc_hd__decap_12 + PLACED ( 69460 144160 ) FS ;
- FILLER_49_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 144160 ) FS ;
- FILLER_49_151 sky130_fd_sc_hd__decap_12 + PLACED ( 74980 144160 ) FS ;
- FILLER_49_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 144160 ) FS ;
- FILLER_49_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 144160 ) FS ;
- FILLER_49_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 144160 ) FS ;
- FILLER_49_189 sky130_fd_sc_hd__decap_12 + PLACED ( 92460 144160 ) FS ;
- FILLER_49_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 144160 ) FS ;
- FILLER_49_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 144160 ) FS ;
- FILLER_49_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 144160 ) FS ;
- FILLER_49_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_6 + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 144160 ) FS ;
- FILLER_49_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 144160 ) FS ;
- FILLER_49_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 144160 ) FS ;
- FILLER_49_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 144160 ) FS ;
- FILLER_49_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 144160 ) FS ;
- FILLER_49_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 144160 ) FS ;
- FILLER_49_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 144160 ) FS ;
- FILLER_49_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 144160 ) FS ;
- FILLER_49_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 144160 ) FS ;
- FILLER_49_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 144160 ) FS ;
- FILLER_49_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 144160 ) FS ;
- FILLER_49_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 144160 ) FS ;
- FILLER_49_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 144160 ) FS ;
- FILLER_49_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 144160 ) FS ;
- FILLER_49_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 144160 ) FS ;
- FILLER_49_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 144160 ) FS ;
- FILLER_49_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 144160 ) FS ;
- FILLER_49_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 144160 ) FS ;
- FILLER_49_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 144160 ) FS ;
- FILLER_49_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 144160 ) FS ;
- FILLER_49_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 144160 ) FS ;
- FILLER_49_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 144160 ) FS ;
- FILLER_49_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 144160 ) FS ;
- FILLER_49_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 144160 ) FS ;
- FILLER_49_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 144160 ) FS ;
- FILLER_49_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 144160 ) FS ;
- FILLER_49_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 144160 ) FS ;
- FILLER_49_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 144160 ) FS ;
- FILLER_49_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 144160 ) FS ;
- FILLER_49_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 144160 ) FS ;
- FILLER_4_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 21760 ) N ;
- FILLER_4_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 21760 ) N ;
- FILLER_4_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 21760 ) N ;
- FILLER_4_12 sky130_fd_sc_hd__decap_6 + PLACED ( 11040 21760 ) N ;
- FILLER_4_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 21760 ) N ;
- FILLER_4_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 21760 ) N ;
- FILLER_4_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 21760 ) N ;
- FILLER_4_155 sky130_fd_sc_hd__decap_12 + PLACED ( 76820 21760 ) N ;
- FILLER_4_167 sky130_fd_sc_hd__decap_12 + PLACED ( 82340 21760 ) N ;
- FILLER_4_179 sky130_fd_sc_hd__decap_12 + PLACED ( 87860 21760 ) N ;
- FILLER_4_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 21760 ) N ;
- FILLER_4_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_fd_sc_hd__fill_2 + PLACED ( 101660 21760 ) N ;
- FILLER_4_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 21760 ) N ;
- FILLER_4_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 21760 ) N ;
- FILLER_4_231 sky130_fd_sc_hd__decap_6 + PLACED ( 111780 21760 ) N ;
- FILLER_4_239 sky130_fd_sc_hd__decap_4 + PLACED ( 115460 21760 ) N ;
- FILLER_4_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 21760 ) N ;
- FILLER_4_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 21760 ) N ;
- FILLER_4_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 21760 ) N ;
- FILLER_4_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 21760 ) N ;
- FILLER_4_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 21760 ) N ;
- FILLER_4_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 21760 ) N ;
- FILLER_4_287 sky130_fd_sc_hd__decap_4 + PLACED ( 137540 21760 ) N ;
- FILLER_4_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 21760 ) N ;
- FILLER_4_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 21760 ) N ;
- FILLER_4_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 21760 ) N ;
- FILLER_4_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 21760 ) N ;
- FILLER_4_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 21760 ) N ;
- FILLER_4_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 21760 ) N ;
- FILLER_4_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 21760 ) N ;
- FILLER_4_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 21760 ) N ;
- FILLER_4_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 21760 ) N ;
- FILLER_4_35 sky130_fd_sc_hd__decap_8 + PLACED ( 21620 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 21760 ) N ;
- FILLER_4_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 21760 ) N ;
- FILLER_4_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 21760 ) N ;
- FILLER_4_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 21760 ) N ;
- FILLER_4_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 21760 ) N ;
- FILLER_4_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 21760 ) N ;
- FILLER_4_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_4 + PLACED ( 189980 21760 ) N ;
- FILLER_4_409 sky130_fd_sc_hd__decap_4 + PLACED ( 193660 21760 ) N ;
- FILLER_4_413 sky130_fd_sc_hd__fill_1 + PLACED ( 195500 21760 ) N ;
- FILLER_4_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 21760 ) N ;
- FILLER_4_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 21760 ) N ;
- FILLER_4_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 21760 ) N ;
- FILLER_4_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 21760 ) N ;
- FILLER_4_443 sky130_fd_sc_hd__decap_4 + PLACED ( 209300 21760 ) N ;
- FILLER_4_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 21760 ) N ;
- FILLER_4_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 21760 ) N ;
- FILLER_4_467 sky130_fd_sc_hd__decap_8 + PLACED ( 220340 21760 ) N ;
- FILLER_4_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 21760 ) N ;
- FILLER_4_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 21760 ) N ;
- FILLER_4_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 21760 ) N ;
- FILLER_4_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 21760 ) N ;
- FILLER_4_490 sky130_fd_sc_hd__decap_4 + PLACED ( 230920 21760 ) N ;
- FILLER_4_498 sky130_fd_sc_hd__decap_4 + PLACED ( 234600 21760 ) N ;
- FILLER_4_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 21760 ) N ;
- FILLER_4_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 21760 ) N ;
- FILLER_4_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 21760 ) N ;
- FILLER_4_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 21760 ) N ;
- FILLER_4_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 21760 ) N ;
- FILLER_50_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 146880 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 146880 ) N ;
- FILLER_50_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 146880 ) N ;
- FILLER_50_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 146880 ) N ;
- FILLER_50_151 sky130_fd_sc_hd__decap_12 + PLACED ( 74980 146880 ) N ;
- FILLER_50_163 sky130_fd_sc_hd__decap_12 + PLACED ( 80500 146880 ) N ;
- FILLER_50_175 sky130_fd_sc_hd__decap_12 + PLACED ( 86020 146880 ) N ;
- FILLER_50_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_6 + PLACED ( 132940 146880 ) N ;
- FILLER_50_285 sky130_fd_sc_hd__decap_12 + PLACED ( 136620 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_8 + PLACED ( 18860 146880 ) N ;
- FILLER_50_297 sky130_fd_sc_hd__decap_8 + PLACED ( 142140 146880 ) N ;
- FILLER_50_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 146880 ) N ;
- FILLER_50_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 146880 ) N ;
- FILLER_50_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 146880 ) N ;
- FILLER_50_338 sky130_fd_sc_hd__decap_12 + PLACED ( 161000 146880 ) N ;
- FILLER_50_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 146880 ) N ;
- FILLER_50_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 146880 ) N ;
- FILLER_50_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__fill_2 + PLACED ( 184460 146880 ) N ;
- FILLER_50_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 146880 ) N ;
- FILLER_50_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 146880 ) N ;
- FILLER_50_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 146880 ) N ;
- FILLER_50_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 146880 ) N ;
- FILLER_50_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 146880 ) N ;
- FILLER_50_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 146880 ) N ;
- FILLER_50_445 sky130_fd_sc_hd__decap_6 + PLACED ( 210220 146880 ) N ;
- FILLER_50_453 sky130_fd_sc_hd__decap_12 + PLACED ( 213900 146880 ) N ;
- FILLER_50_465 sky130_fd_sc_hd__decap_8 + PLACED ( 219420 146880 ) N ;
- FILLER_50_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 146880 ) N ;
- FILLER_50_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 146880 ) N ;
- FILLER_50_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 146880 ) N ;
- FILLER_50_501 sky130_fd_sc_hd__decap_3 + PLACED ( 235980 146880 ) N ;
- FILLER_50_506 sky130_fd_sc_hd__decap_4 + PLACED ( 238280 146880 ) N ;
- FILLER_50_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 146880 ) N ;
- FILLER_50_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 146880 ) N ;
- FILLER_50_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 146880 ) N ;
- FILLER_50_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 146880 ) N ;
- FILLER_50_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 146880 ) N ;
- FILLER_50_93 sky130_fd_sc_hd__fill_2 + PLACED ( 48300 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 146880 ) N ;
- FILLER_51_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 149600 ) FS ;
- FILLER_51_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 149600 ) FS ;
- FILLER_51_25 sky130_fd_sc_hd__decap_12 + PLACED ( 17020 149600 ) FS ;
- FILLER_51_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 149600 ) FS ;
- FILLER_51_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 149600 ) FS ;
- FILLER_51_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 149600 ) FS ;
- FILLER_51_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 149600 ) FS ;
- FILLER_51_301 sky130_fd_sc_hd__decap_8 + PLACED ( 143980 149600 ) FS ;
- FILLER_51_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 149600 ) FS ;
- FILLER_51_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 149600 ) FS ;
- FILLER_51_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 149600 ) FS ;
- FILLER_51_37 sky130_fd_sc_hd__decap_3 + PLACED ( 22540 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 149600 ) FS ;
- FILLER_51_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 149600 ) FS ;
- FILLER_51_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 149600 ) FS ;
- FILLER_51_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 149600 ) FS ;
- FILLER_51_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 149600 ) FS ;
- FILLER_51_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 149600 ) FS ;
- FILLER_51_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 149600 ) FS ;
- FILLER_51_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 149600 ) FS ;
- FILLER_51_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 149600 ) FS ;
- FILLER_51_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 149600 ) FS ;
- FILLER_51_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 149600 ) FS ;
- FILLER_51_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 149600 ) FS ;
- FILLER_51_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 149600 ) FS ;
- FILLER_51_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 149600 ) FS ;
- FILLER_51_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 149600 ) FS ;
- FILLER_51_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 149600 ) FS ;
- FILLER_51_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 149600 ) FS ;
- FILLER_51_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 149600 ) FS ;
- FILLER_51_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 149600 ) FS ;
- FILLER_51_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 149600 ) FS ;
- FILLER_51_83 sky130_fd_sc_hd__decap_12 + PLACED ( 43700 149600 ) FS ;
- FILLER_51_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 149600 ) FS ;
- FILLER_52_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 152320 ) N ;
- FILLER_52_114 sky130_fd_sc_hd__decap_12 + PLACED ( 57960 152320 ) N ;
- FILLER_52_126 sky130_fd_sc_hd__decap_8 + PLACED ( 63480 152320 ) N ;
- FILLER_52_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 152320 ) N ;
- FILLER_52_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 152320 ) N ;
- FILLER_52_150 sky130_fd_sc_hd__decap_12 + PLACED ( 74520 152320 ) N ;
- FILLER_52_162 sky130_fd_sc_hd__decap_12 + PLACED ( 80040 152320 ) N ;
- FILLER_52_174 sky130_fd_sc_hd__decap_12 + PLACED ( 85560 152320 ) N ;
- FILLER_52_186 sky130_fd_sc_hd__decap_4 + PLACED ( 91080 152320 ) N ;
- FILLER_52_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 152320 ) N ;
- FILLER_52_199 sky130_fd_sc_hd__decap_12 + PLACED ( 97060 152320 ) N ;
- FILLER_52_211 sky130_fd_sc_hd__decap_12 + PLACED ( 102580 152320 ) N ;
- FILLER_52_223 sky130_fd_sc_hd__decap_12 + PLACED ( 108100 152320 ) N ;
- FILLER_52_235 sky130_fd_sc_hd__decap_12 + PLACED ( 113620 152320 ) N ;
- FILLER_52_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 152320 ) N ;
- FILLER_52_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 152320 ) N ;
- FILLER_52_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 152320 ) N ;
- FILLER_52_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 152320 ) N ;
- FILLER_52_335 sky130_fd_sc_hd__decap_12 + PLACED ( 159620 152320 ) N ;
- FILLER_52_347 sky130_fd_sc_hd__decap_12 + PLACED ( 165140 152320 ) N ;
- FILLER_52_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 152320 ) N ;
- FILLER_52_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 152320 ) N ;
- FILLER_52_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 152320 ) N ;
- FILLER_52_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 152320 ) N ;
- FILLER_52_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 152320 ) N ;
- FILLER_52_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 152320 ) N ;
- FILLER_52_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 152320 ) N ;
- FILLER_52_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 152320 ) N ;
- FILLER_52_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 152320 ) N ;
- FILLER_52_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 152320 ) N ;
- FILLER_52_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 152320 ) N ;
- FILLER_52_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 152320 ) N ;
- FILLER_52_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 152320 ) N ;
- FILLER_52_50 sky130_fd_sc_hd__decap_4 + PLACED ( 28520 152320 ) N ;
- FILLER_52_501 sky130_fd_sc_hd__decap_12 + PLACED ( 235980 152320 ) N ;
- FILLER_52_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 152320 ) N ;
- FILLER_52_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 152320 ) N ;
- FILLER_52_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 152320 ) N ;
- FILLER_52_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 152320 ) N ;
- FILLER_52_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 152320 ) N ;
- FILLER_52_96 sky130_fd_sc_hd__decap_4 + PLACED ( 49680 152320 ) N ;
- FILLER_53_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 155040 ) FS ;
- FILLER_53_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 155040 ) FS ;
- FILLER_53_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 155040 ) FS ;
- FILLER_53_139 sky130_fd_sc_hd__decap_12 + PLACED ( 69460 155040 ) FS ;
- FILLER_53_151 sky130_fd_sc_hd__decap_12 + PLACED ( 74980 155040 ) FS ;
- FILLER_53_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 155040 ) FS ;
- FILLER_53_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 155040 ) FS ;
- FILLER_53_190 sky130_fd_sc_hd__decap_4 + PLACED ( 92920 155040 ) FS ;
- FILLER_53_203 sky130_fd_sc_hd__decap_12 + PLACED ( 98900 155040 ) FS ;
- FILLER_53_21 sky130_fd_sc_hd__decap_12 + PLACED ( 15180 155040 ) FS ;
- FILLER_53_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 155040 ) FS ;
- FILLER_53_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 155040 ) FS ;
- FILLER_53_33 sky130_fd_sc_hd__decap_8 + PLACED ( 20700 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 155040 ) FS ;
- FILLER_53_339 sky130_fd_sc_hd__decap_12 + PLACED ( 161460 155040 ) FS ;
- FILLER_53_351 sky130_fd_sc_hd__decap_12 + PLACED ( 166980 155040 ) FS ;
- FILLER_53_363 sky130_fd_sc_hd__decap_12 + PLACED ( 172500 155040 ) FS ;
- FILLER_53_375 sky130_fd_sc_hd__decap_12 + PLACED ( 178020 155040 ) FS ;
- FILLER_53_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 155040 ) FS ;
- FILLER_53_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 155040 ) FS ;
- FILLER_53_405 sky130_fd_sc_hd__decap_3 + PLACED ( 191820 155040 ) FS ;
- FILLER_53_41 sky130_fd_sc_hd__decap_3 + PLACED ( 24380 155040 ) FS ;
- FILLER_53_410 sky130_fd_sc_hd__decap_4 + PLACED ( 194120 155040 ) FS ;
- FILLER_53_423 sky130_fd_sc_hd__decap_12 + PLACED ( 200100 155040 ) FS ;
- FILLER_53_435 sky130_fd_sc_hd__decap_12 + PLACED ( 205620 155040 ) FS ;
- FILLER_53_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 155040 ) FS ;
- FILLER_53_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 155040 ) FS ;
- FILLER_53_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 155040 ) FS ;
- FILLER_53_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 155040 ) FS ;
- FILLER_53_473 sky130_fd_sc_hd__fill_2 + PLACED ( 223100 155040 ) FS ;
- FILLER_53_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 155040 ) FS ;
- FILLER_53_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 155040 ) FS ;
- FILLER_53_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 155040 ) FS ;
- FILLER_53_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 155040 ) FS ;
- FILLER_53_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 155040 ) FS ;
- FILLER_53_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 155040 ) FS ;
- FILLER_53_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 155040 ) FS ;
- FILLER_53_83 sky130_fd_sc_hd__decap_12 + PLACED ( 43700 155040 ) FS ;
- FILLER_53_9 sky130_fd_sc_hd__decap_12 + PLACED ( 9660 155040 ) FS ;
- FILLER_53_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 157760 ) N ;
- FILLER_54_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 157760 ) N ;
- FILLER_54_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 157760 ) N ;
- FILLER_54_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 157760 ) N ;
- FILLER_54_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 157760 ) N ;
- FILLER_54_334 sky130_fd_sc_hd__decap_4 + PLACED ( 159160 157760 ) N ;
- FILLER_54_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 157760 ) N ;
- FILLER_54_348 sky130_fd_sc_hd__decap_12 + PLACED ( 165600 157760 ) N ;
- FILLER_54_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 157760 ) N ;
- FILLER_54_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 157760 ) N ;
- FILLER_54_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 157760 ) N ;
- FILLER_54_413 sky130_fd_sc_hd__fill_1 + PLACED ( 195500 157760 ) N ;
- FILLER_54_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 157760 ) N ;
- FILLER_54_430 sky130_fd_sc_hd__decap_12 + PLACED ( 203320 157760 ) N ;
- FILLER_54_442 sky130_fd_sc_hd__decap_12 + PLACED ( 208840 157760 ) N ;
- FILLER_54_454 sky130_fd_sc_hd__decap_4 + PLACED ( 214360 157760 ) N ;
- FILLER_54_460 sky130_fd_sc_hd__decap_4 + PLACED ( 217120 157760 ) N ;
- FILLER_54_468 sky130_fd_sc_hd__decap_8 + PLACED ( 220800 157760 ) N ;
- FILLER_54_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 157760 ) N ;
- FILLER_54_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 157760 ) N ;
- FILLER_54_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 157760 ) N ;
- FILLER_54_492 sky130_fd_sc_hd__decap_8 + PLACED ( 231840 157760 ) N ;
- FILLER_54_500 sky130_fd_sc_hd__fill_2 + PLACED ( 235520 157760 ) N ;
- FILLER_54_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 157760 ) N ;
- FILLER_54_51 sky130_fd_sc_hd__decap_12 + PLACED ( 28980 157760 ) N ;
- FILLER_54_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 157760 ) N ;
- FILLER_54_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 157760 ) N ;
- FILLER_54_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 157760 ) N ;
- FILLER_54_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 157760 ) N ;
- FILLER_55_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 160480 ) FS ;
- FILLER_55_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 160480 ) FS ;
- FILLER_55_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_fd_sc_hd__fill_2 + PLACED ( 120060 160480 ) FS ;
- FILLER_55_253 sky130_fd_sc_hd__decap_8 + PLACED ( 121900 160480 ) FS ;
- FILLER_55_261 sky130_fd_sc_hd__fill_1 + PLACED ( 125580 160480 ) FS ;
- FILLER_55_264 sky130_fd_sc_hd__decap_12 + PLACED ( 126960 160480 ) FS ;
- FILLER_55_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 160480 ) FS ;
- FILLER_55_31 sky130_fd_sc_hd__decap_8 + PLACED ( 19780 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 160480 ) FS ;
- FILLER_55_340 sky130_fd_sc_hd__decap_12 + PLACED ( 161920 160480 ) FS ;
- FILLER_55_352 sky130_fd_sc_hd__decap_12 + PLACED ( 167440 160480 ) FS ;
- FILLER_55_364 sky130_fd_sc_hd__decap_12 + PLACED ( 172960 160480 ) FS ;
- FILLER_55_376 sky130_fd_sc_hd__decap_12 + PLACED ( 178480 160480 ) FS ;
- FILLER_55_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 160480 ) FS ;
- FILLER_55_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 160480 ) FS ;
- FILLER_55_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 160480 ) FS ;
- FILLER_55_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 160480 ) FS ;
- FILLER_55_419 sky130_fd_sc_hd__decap_4 + PLACED ( 198260 160480 ) FS ;
- FILLER_55_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 160480 ) FS ;
- FILLER_55_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 160480 ) FS ;
- FILLER_55_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 160480 ) FS ;
- FILLER_55_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 160480 ) FS ;
- FILLER_55_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 160480 ) FS ;
- FILLER_55_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 160480 ) FS ;
- FILLER_55_473 sky130_fd_sc_hd__decap_8 + PLACED ( 223100 160480 ) FS ;
- FILLER_55_481 sky130_fd_sc_hd__fill_1 + PLACED ( 226780 160480 ) FS ;
- FILLER_55_484 sky130_fd_sc_hd__decap_4 + PLACED ( 228160 160480 ) FS ;
- FILLER_55_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 160480 ) FS ;
- FILLER_55_502 sky130_fd_sc_hd__fill_2 + PLACED ( 236440 160480 ) FS ;
- FILLER_55_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 160480 ) FS ;
- FILLER_55_508 sky130_fd_sc_hd__decap_8 + PLACED ( 239200 160480 ) FS ;
- FILLER_55_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 160480 ) FS ;
- FILLER_55_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 160480 ) FS ;
- FILLER_55_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 160480 ) FS ;
- FILLER_55_79 sky130_fd_sc_hd__decap_12 + PLACED ( 41860 160480 ) FS ;
- FILLER_55_91 sky130_fd_sc_hd__decap_12 + PLACED ( 47380 160480 ) FS ;
- FILLER_56_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 163200 ) N ;
- FILLER_56_11 sky130_fd_sc_hd__fill_2 + PLACED ( 10580 163200 ) N ;
- FILLER_56_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 163200 ) N ;
- FILLER_56_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 163200 ) N ;
- FILLER_56_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 163200 ) N ;
- FILLER_56_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 163200 ) N ;
- FILLER_56_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 163200 ) N ;
- FILLER_56_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 163200 ) N ;
- FILLER_56_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 163200 ) N ;
- FILLER_56_274 sky130_fd_sc_hd__decap_12 + PLACED ( 131560 163200 ) N ;
- FILLER_56_286 sky130_fd_sc_hd__decap_12 + PLACED ( 137080 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_6 + PLACED ( 18860 163200 ) N ;
- FILLER_56_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 163200 ) N ;
- FILLER_56_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 163200 ) N ;
- FILLER_56_327 sky130_fd_sc_hd__decap_4 + PLACED ( 155940 163200 ) N ;
- FILLER_56_331 sky130_fd_sc_hd__fill_1 + PLACED ( 157780 163200 ) N ;
- FILLER_56_334 sky130_fd_sc_hd__decap_4 + PLACED ( 159160 163200 ) N ;
- FILLER_56_338 sky130_fd_sc_hd__fill_1 + PLACED ( 161000 163200 ) N ;
- FILLER_56_341 sky130_fd_sc_hd__decap_12 + PLACED ( 162380 163200 ) N ;
- FILLER_56_353 sky130_fd_sc_hd__decap_8 + PLACED ( 167900 163200 ) N ;
- FILLER_56_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 163200 ) N ;
- FILLER_56_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 163200 ) N ;
- FILLER_56_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 163200 ) N ;
- FILLER_56_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 163200 ) N ;
- FILLER_56_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 163200 ) N ;
- FILLER_56_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 163200 ) N ;
- FILLER_56_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 163200 ) N ;
- FILLER_56_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 163200 ) N ;
- FILLER_56_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 163200 ) N ;
- FILLER_56_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 163200 ) N ;
- FILLER_56_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 163200 ) N ;
- FILLER_56_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 163200 ) N ;
- FILLER_56_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 163200 ) N ;
- FILLER_56_489 sky130_fd_sc_hd__decap_3 + PLACED ( 230460 163200 ) N ;
- FILLER_56_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 163200 ) N ;
- FILLER_56_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 163200 ) N ;
- FILLER_56_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 163200 ) N ;
- FILLER_56_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 163200 ) N ;
- FILLER_56_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 163200 ) N ;
- FILLER_56_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 163200 ) N ;
- FILLER_56_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 163200 ) N ;
- FILLER_56_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 165920 ) FS ;
- FILLER_57_13 sky130_fd_sc_hd__decap_3 + PLACED ( 11500 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 165920 ) FS ;
- FILLER_57_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 165920 ) FS ;
- FILLER_57_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 165920 ) FS ;
- FILLER_57_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 165920 ) FS ;
- FILLER_57_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 165920 ) FS ;
- FILLER_57_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 165920 ) FS ;
- FILLER_57_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 165920 ) FS ;
- FILLER_57_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 165920 ) FS ;
- FILLER_57_235 sky130_fd_sc_hd__decap_12 + PLACED ( 113620 165920 ) FS ;
- FILLER_57_247 sky130_fd_sc_hd__decap_12 + PLACED ( 119140 165920 ) FS ;
- FILLER_57_259 sky130_fd_sc_hd__decap_12 + PLACED ( 124660 165920 ) FS ;
- FILLER_57_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 165920 ) FS ;
- FILLER_57_307 sky130_fd_sc_hd__decap_8 + PLACED ( 146740 165920 ) FS ;
- FILLER_57_315 sky130_fd_sc_hd__decap_3 + PLACED ( 150420 165920 ) FS ;
- FILLER_57_320 sky130_fd_sc_hd__decap_8 + PLACED ( 152720 165920 ) FS ;
- FILLER_57_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 165920 ) FS ;
- FILLER_57_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 165920 ) FS ;
- FILLER_57_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 165920 ) FS ;
- FILLER_57_357 sky130_fd_sc_hd__decap_12 + PLACED ( 169740 165920 ) FS ;
- FILLER_57_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 165920 ) FS ;
- FILLER_57_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 165920 ) FS ;
- FILLER_57_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 165920 ) FS ;
- FILLER_57_402 sky130_fd_sc_hd__decap_12 + PLACED ( 190440 165920 ) FS ;
- FILLER_57_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 165920 ) FS ;
- FILLER_57_414 sky130_fd_sc_hd__decap_8 + PLACED ( 195960 165920 ) FS ;
- FILLER_57_422 sky130_fd_sc_hd__fill_2 + PLACED ( 199640 165920 ) FS ;
- FILLER_57_426 sky130_fd_sc_hd__decap_4 + PLACED ( 201480 165920 ) FS ;
- FILLER_57_442 sky130_fd_sc_hd__decap_6 + PLACED ( 208840 165920 ) FS ;
- FILLER_57_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 165920 ) FS ;
- FILLER_57_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 165920 ) FS ;
- FILLER_57_473 sky130_fd_sc_hd__decap_8 + PLACED ( 223100 165920 ) FS ;
- FILLER_57_481 sky130_fd_sc_hd__decap_3 + PLACED ( 226780 165920 ) FS ;
- FILLER_57_487 sky130_fd_sc_hd__decap_6 + PLACED ( 229540 165920 ) FS ;
- FILLER_57_493 sky130_fd_sc_hd__fill_1 + PLACED ( 232300 165920 ) FS ;
- FILLER_57_496 sky130_fd_sc_hd__decap_8 + PLACED ( 233680 165920 ) FS ;
- FILLER_57_5 sky130_fd_sc_hd__decap_8 + PLACED ( 7820 165920 ) FS ;
- FILLER_57_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 165920 ) FS ;
- FILLER_57_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 165920 ) FS ;
- FILLER_57_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 165920 ) FS ;
- FILLER_57_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 168640 ) N ;
- FILLER_58_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 168640 ) N ;
- FILLER_58_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 168640 ) N ;
- FILLER_58_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 168640 ) N ;
- FILLER_58_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 168640 ) N ;
- FILLER_58_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 168640 ) N ;
- FILLER_58_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 168640 ) N ;
- FILLER_58_243 sky130_fd_sc_hd__fill_2 + PLACED ( 117300 168640 ) N ;
- FILLER_58_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 168640 ) N ;
- FILLER_58_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 168640 ) N ;
- FILLER_58_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 168640 ) N ;
- FILLER_58_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 168640 ) N ;
- FILLER_58_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 168640 ) N ;
- FILLER_58_318 sky130_fd_sc_hd__decap_8 + PLACED ( 151800 168640 ) N ;
- FILLER_58_326 sky130_fd_sc_hd__fill_1 + PLACED ( 155480 168640 ) N ;
- FILLER_58_336 sky130_fd_sc_hd__decap_4 + PLACED ( 160080 168640 ) N ;
- FILLER_58_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 168640 ) N ;
- FILLER_58_354 sky130_fd_sc_hd__decap_8 + PLACED ( 168360 168640 ) N ;
- FILLER_58_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 168640 ) N ;
- FILLER_58_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 168640 ) N ;
- FILLER_58_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 168640 ) N ;
- FILLER_58_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 168640 ) N ;
- FILLER_58_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 168640 ) N ;
- FILLER_58_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 168640 ) N ;
- FILLER_58_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 168640 ) N ;
- FILLER_58_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 168640 ) N ;
- FILLER_58_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 168640 ) N ;
- FILLER_58_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 168640 ) N ;
- FILLER_58_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 168640 ) N ;
- FILLER_58_477 sky130_fd_sc_hd__decap_3 + PLACED ( 224940 168640 ) N ;
- FILLER_58_482 sky130_fd_sc_hd__decap_4 + PLACED ( 227240 168640 ) N ;
- FILLER_58_488 sky130_fd_sc_hd__decap_8 + PLACED ( 230000 168640 ) N ;
- FILLER_58_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 168640 ) N ;
- FILLER_58_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 168640 ) N ;
- FILLER_58_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 168640 ) N ;
- FILLER_59_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 171360 ) FS ;
- FILLER_59_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 171360 ) FS ;
- FILLER_59_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 171360 ) FS ;
- FILLER_59_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 171360 ) FS ;
- FILLER_59_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 171360 ) FS ;
- FILLER_59_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 171360 ) FS ;
- FILLER_59_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__fill_2 + PLACED ( 145820 171360 ) FS ;
- FILLER_59_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 171360 ) FS ;
- FILLER_59_313 sky130_fd_sc_hd__fill_1 + PLACED ( 149500 171360 ) FS ;
- FILLER_59_316 sky130_fd_sc_hd__decap_4 + PLACED ( 150880 171360 ) FS ;
- FILLER_59_322 sky130_fd_sc_hd__decap_4 + PLACED ( 153640 171360 ) FS ;
- FILLER_59_328 sky130_fd_sc_hd__decap_8 + PLACED ( 156400 171360 ) FS ;
- FILLER_59_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_fd_sc_hd__decap_6 + PLACED ( 171580 171360 ) FS ;
- FILLER_59_367 sky130_fd_sc_hd__fill_1 + PLACED ( 174340 171360 ) FS ;
- FILLER_59_370 sky130_fd_sc_hd__decap_12 + PLACED ( 175720 171360 ) FS ;
- FILLER_59_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 171360 ) FS ;
- FILLER_59_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 171360 ) FS ;
- FILLER_59_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 171360 ) FS ;
- FILLER_59_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 171360 ) FS ;
- FILLER_59_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 171360 ) FS ;
- FILLER_59_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 171360 ) FS ;
- FILLER_59_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 171360 ) FS ;
- FILLER_59_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 171360 ) FS ;
- FILLER_59_449 sky130_fd_sc_hd__fill_2 + PLACED ( 212060 171360 ) FS ;
- FILLER_59_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 171360 ) FS ;
- FILLER_59_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 171360 ) FS ;
- FILLER_59_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 171360 ) FS ;
- FILLER_59_485 sky130_fd_sc_hd__decap_3 + PLACED ( 228620 171360 ) FS ;
- FILLER_59_490 sky130_fd_sc_hd__decap_8 + PLACED ( 230920 171360 ) FS ;
- FILLER_59_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 171360 ) FS ;
- FILLER_59_505 sky130_fd_sc_hd__fill_2 + PLACED ( 237820 171360 ) FS ;
- FILLER_59_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 171360 ) FS ;
- FILLER_59_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 171360 ) FS ;
- FILLER_59_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 171360 ) FS ;
- FILLER_59_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 171360 ) FS ;
- FILLER_59_81 sky130_fd_sc_hd__decap_4 + PLACED ( 42780 171360 ) FS ;
- FILLER_59_88 sky130_fd_sc_hd__decap_12 + PLACED ( 46000 171360 ) FS ;
- FILLER_5_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 24480 ) FS ;
- FILLER_5_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 24480 ) FS ;
- FILLER_5_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 24480 ) FS ;
- FILLER_5_128 sky130_fd_sc_hd__decap_4 + PLACED ( 64400 24480 ) FS ;
- FILLER_5_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 24480 ) FS ;
- FILLER_5_134 sky130_fd_sc_hd__decap_4 + PLACED ( 67160 24480 ) FS ;
- FILLER_5_140 sky130_fd_sc_hd__decap_4 + PLACED ( 69920 24480 ) FS ;
- FILLER_5_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 24480 ) FS ;
- FILLER_5_152 sky130_fd_sc_hd__decap_8 + PLACED ( 75440 24480 ) FS ;
- FILLER_5_160 sky130_fd_sc_hd__fill_2 + PLACED ( 79120 24480 ) FS ;
- FILLER_5_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 24480 ) FS ;
- FILLER_5_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__fill_2 + PLACED ( 120060 24480 ) FS ;
- FILLER_5_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 24480 ) FS ;
- FILLER_5_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 24480 ) FS ;
- FILLER_5_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 24480 ) FS ;
- FILLER_5_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__fill_2 + PLACED ( 134780 24480 ) FS ;
- FILLER_5_285 sky130_fd_sc_hd__decap_8 + PLACED ( 136620 24480 ) FS ;
- FILLER_5_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 24480 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 24480 ) FS ;
- FILLER_5_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 24480 ) FS ;
- FILLER_5_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 24480 ) FS ;
- FILLER_5_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 24480 ) FS ;
- FILLER_5_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 24480 ) FS ;
- FILLER_5_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 24480 ) FS ;
- FILLER_5_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__fill_2 + PLACED ( 160540 24480 ) FS ;
- FILLER_5_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 24480 ) FS ;
- FILLER_5_341 sky130_fd_sc_hd__decap_12 + PLACED ( 162380 24480 ) FS ;
- FILLER_5_353 sky130_fd_sc_hd__decap_6 + PLACED ( 167900 24480 ) FS ;
- FILLER_5_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 24480 ) FS ;
- FILLER_5_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 24480 ) FS ;
- FILLER_5_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 24480 ) FS ;
- FILLER_5_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 24480 ) FS ;
- FILLER_5_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 24480 ) FS ;
- FILLER_5_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 24480 ) FS ;
- FILLER_5_399 sky130_fd_sc_hd__decap_4 + PLACED ( 189060 24480 ) FS ;
- FILLER_5_405 sky130_fd_sc_hd__decap_6 + PLACED ( 191820 24480 ) FS ;
- FILLER_5_411 sky130_fd_sc_hd__fill_1 + PLACED ( 194580 24480 ) FS ;
- FILLER_5_414 sky130_fd_sc_hd__decap_4 + PLACED ( 195960 24480 ) FS ;
- FILLER_5_420 sky130_fd_sc_hd__decap_4 + PLACED ( 198720 24480 ) FS ;
- FILLER_5_426 sky130_fd_sc_hd__decap_4 + PLACED ( 201480 24480 ) FS ;
- FILLER_5_432 sky130_fd_sc_hd__decap_4 + PLACED ( 204240 24480 ) FS ;
- FILLER_5_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 24480 ) FS ;
- FILLER_5_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 24480 ) FS ;
- FILLER_5_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 24480 ) FS ;
- FILLER_5_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 24480 ) FS ;
- FILLER_5_455 sky130_fd_sc_hd__fill_1 + PLACED ( 214820 24480 ) FS ;
- FILLER_5_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 24480 ) FS ;
- FILLER_5_467 sky130_fd_sc_hd__decap_4 + PLACED ( 220340 24480 ) FS ;
- FILLER_5_475 sky130_fd_sc_hd__decap_4 + PLACED ( 224020 24480 ) FS ;
- FILLER_5_483 sky130_fd_sc_hd__decap_4 + PLACED ( 227700 24480 ) FS ;
- FILLER_5_487 sky130_fd_sc_hd__fill_1 + PLACED ( 229540 24480 ) FS ;
- FILLER_5_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 24480 ) FS ;
- FILLER_5_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 24480 ) FS ;
- FILLER_5_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 24480 ) FS ;
- FILLER_5_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 24480 ) FS ;
- FILLER_5_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 24480 ) FS ;
- FILLER_5_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 24480 ) FS ;
- FILLER_5_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 24480 ) FS ;
- FILLER_5_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 24480 ) FS ;
- FILLER_5_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 24480 ) FS ;
- FILLER_5_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 24480 ) FS ;
- FILLER_60_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 174080 ) N ;
- FILLER_60_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 174080 ) N ;
- FILLER_60_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 174080 ) N ;
- FILLER_60_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 174080 ) N ;
- FILLER_60_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 174080 ) N ;
- FILLER_60_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_fd_sc_hd__decap_8 + PLACED ( 96140 174080 ) N ;
- FILLER_60_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 174080 ) N ;
- FILLER_60_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 174080 ) N ;
- FILLER_60_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 174080 ) N ;
- FILLER_60_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_fd_sc_hd__decap_6 + PLACED ( 138460 174080 ) N ;
- FILLER_60_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 174080 ) N ;
- FILLER_60_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 174080 ) N ;
- FILLER_60_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 174080 ) N ;
- FILLER_60_311 sky130_fd_sc_hd__decap_8 + PLACED ( 148580 174080 ) N ;
- FILLER_60_319 sky130_fd_sc_hd__fill_2 + PLACED ( 152260 174080 ) N ;
- FILLER_60_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 174080 ) N ;
- FILLER_60_335 sky130_fd_sc_hd__decap_12 + PLACED ( 159620 174080 ) N ;
- FILLER_60_347 sky130_fd_sc_hd__decap_12 + PLACED ( 165140 174080 ) N ;
- FILLER_60_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 174080 ) N ;
- FILLER_60_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 174080 ) N ;
- FILLER_60_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 174080 ) N ;
- FILLER_60_386 sky130_fd_sc_hd__decap_4 + PLACED ( 183080 174080 ) N ;
- FILLER_60_392 sky130_fd_sc_hd__decap_12 + PLACED ( 185840 174080 ) N ;
- FILLER_60_404 sky130_fd_sc_hd__decap_12 + PLACED ( 191360 174080 ) N ;
- FILLER_60_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 174080 ) N ;
- FILLER_60_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 174080 ) N ;
- FILLER_60_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 174080 ) N ;
- FILLER_60_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 174080 ) N ;
- FILLER_60_445 sky130_fd_sc_hd__decap_4 + PLACED ( 210220 174080 ) N ;
- FILLER_60_449 sky130_fd_sc_hd__fill_1 + PLACED ( 212060 174080 ) N ;
- FILLER_60_452 sky130_fd_sc_hd__decap_12 + PLACED ( 213440 174080 ) N ;
- FILLER_60_464 sky130_fd_sc_hd__decap_12 + PLACED ( 218960 174080 ) N ;
- FILLER_60_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 174080 ) N ;
- FILLER_60_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 174080 ) N ;
- FILLER_60_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 174080 ) N ;
- FILLER_60_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 174080 ) N ;
- FILLER_60_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 174080 ) N ;
- FILLER_60_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 174080 ) N ;
- FILLER_60_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 174080 ) N ;
- FILLER_60_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 174080 ) N ;
- FILLER_60_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 174080 ) N ;
- FILLER_60_87 sky130_fd_sc_hd__decap_12 + PLACED ( 45540 174080 ) N ;
- FILLER_60_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 174080 ) N ;
- FILLER_61_104 sky130_fd_sc_hd__decap_8 + PLACED ( 53360 176800 ) FS ;
- FILLER_61_115 sky130_fd_sc_hd__decap_6 + PLACED ( 58420 176800 ) FS ;
- FILLER_61_12 sky130_fd_sc_hd__decap_12 + PLACED ( 11040 176800 ) FS ;
- FILLER_61_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 176800 ) FS ;
- FILLER_61_133 sky130_fd_sc_hd__decap_4 + PLACED ( 66700 176800 ) FS ;
- FILLER_61_139 sky130_fd_sc_hd__decap_4 + PLACED ( 69460 176800 ) FS ;
- FILLER_61_147 sky130_fd_sc_hd__decap_12 + PLACED ( 73140 176800 ) FS ;
- FILLER_61_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 176800 ) FS ;
- FILLER_61_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 176800 ) FS ;
- FILLER_61_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 176800 ) FS ;
- FILLER_61_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 176800 ) FS ;
- FILLER_61_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 176800 ) FS ;
- FILLER_61_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 176800 ) FS ;
- FILLER_61_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 176800 ) FS ;
- FILLER_61_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 176800 ) FS ;
- FILLER_61_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 176800 ) FS ;
- FILLER_61_24 sky130_fd_sc_hd__decap_12 + PLACED ( 16560 176800 ) FS ;
- FILLER_61_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 176800 ) FS ;
- FILLER_61_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 176800 ) FS ;
- FILLER_61_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 176800 ) FS ;
- FILLER_61_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 176800 ) FS ;
- FILLER_61_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 176800 ) FS ;
- FILLER_61_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 176800 ) FS ;
- FILLER_61_311 sky130_fd_sc_hd__fill_1 + PLACED ( 148580 176800 ) FS ;
- FILLER_61_314 sky130_fd_sc_hd__decap_12 + PLACED ( 149960 176800 ) FS ;
- FILLER_61_326 sky130_fd_sc_hd__decap_8 + PLACED ( 155480 176800 ) FS ;
- FILLER_61_334 sky130_fd_sc_hd__fill_2 + PLACED ( 159160 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 176800 ) FS ;
- FILLER_61_36 sky130_fd_sc_hd__decap_12 + PLACED ( 22080 176800 ) FS ;
- FILLER_61_361 sky130_fd_sc_hd__fill_2 + PLACED ( 171580 176800 ) FS ;
- FILLER_61_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 176800 ) FS ;
- FILLER_61_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 176800 ) FS ;
- FILLER_61_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 176800 ) FS ;
- FILLER_61_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 176800 ) FS ;
- FILLER_61_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 176800 ) FS ;
- FILLER_61_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 176800 ) FS ;
- FILLER_61_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 176800 ) FS ;
- FILLER_61_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 176800 ) FS ;
- FILLER_61_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 176800 ) FS ;
- FILLER_61_449 sky130_fd_sc_hd__decap_3 + PLACED ( 212060 176800 ) FS ;
- FILLER_61_456 sky130_fd_sc_hd__decap_12 + PLACED ( 215280 176800 ) FS ;
- FILLER_61_468 sky130_fd_sc_hd__decap_12 + PLACED ( 220800 176800 ) FS ;
- FILLER_61_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 176800 ) FS ;
- FILLER_61_480 sky130_fd_sc_hd__decap_12 + PLACED ( 226320 176800 ) FS ;
- FILLER_61_492 sky130_fd_sc_hd__decap_12 + PLACED ( 231840 176800 ) FS ;
- FILLER_61_507 sky130_fd_sc_hd__decap_8 + PLACED ( 238740 176800 ) FS ;
- FILLER_61_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 176800 ) FS ;
- FILLER_61_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 176800 ) FS ;
- FILLER_61_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 176800 ) FS ;
- FILLER_61_81 sky130_fd_sc_hd__decap_4 + PLACED ( 42780 176800 ) FS ;
- FILLER_62_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 179520 ) N ;
- FILLER_62_119 sky130_fd_sc_hd__decap_12 + PLACED ( 60260 179520 ) N ;
- FILLER_62_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 179520 ) N ;
- FILLER_62_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 179520 ) N ;
- FILLER_62_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 179520 ) N ;
- FILLER_62_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 179520 ) N ;
- FILLER_62_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 179520 ) N ;
- FILLER_62_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 179520 ) N ;
- FILLER_62_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 179520 ) N ;
- FILLER_62_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 179520 ) N ;
- FILLER_62_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 179520 ) N ;
- FILLER_62_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 179520 ) N ;
- FILLER_62_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 179520 ) N ;
- FILLER_62_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 179520 ) N ;
- FILLER_62_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 179520 ) N ;
- FILLER_62_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 179520 ) N ;
- FILLER_62_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 179520 ) N ;
- FILLER_62_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 179520 ) N ;
- FILLER_62_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 179520 ) N ;
- FILLER_62_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 179520 ) N ;
- FILLER_62_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 179520 ) N ;
- FILLER_62_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 179520 ) N ;
- FILLER_62_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 179520 ) N ;
- FILLER_62_313 sky130_fd_sc_hd__fill_1 + PLACED ( 149500 179520 ) N ;
- FILLER_62_318 sky130_fd_sc_hd__decap_12 + PLACED ( 151800 179520 ) N ;
- FILLER_62_330 sky130_fd_sc_hd__decap_12 + PLACED ( 157320 179520 ) N ;
- FILLER_62_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 179520 ) N ;
- FILLER_62_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 179520 ) N ;
- FILLER_62_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 179520 ) N ;
- FILLER_62_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 179520 ) N ;
- FILLER_62_385 sky130_fd_sc_hd__decap_12 + PLACED ( 182620 179520 ) N ;
- FILLER_62_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 179520 ) N ;
- FILLER_62_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 179520 ) N ;
- FILLER_62_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 179520 ) N ;
- FILLER_62_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 179520 ) N ;
- FILLER_62_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 179520 ) N ;
- FILLER_62_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 179520 ) N ;
- FILLER_62_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 179520 ) N ;
- FILLER_62_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 179520 ) N ;
- FILLER_62_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 179520 ) N ;
- FILLER_62_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 179520 ) N ;
- FILLER_62_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 179520 ) N ;
- FILLER_62_489 sky130_fd_sc_hd__decap_8 + PLACED ( 230460 179520 ) N ;
- FILLER_62_499 sky130_fd_sc_hd__decap_6 + PLACED ( 235060 179520 ) N ;
- FILLER_62_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 179520 ) N ;
- FILLER_62_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 179520 ) N ;
- FILLER_62_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 179520 ) N ;
- FILLER_62_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 179520 ) N ;
- FILLER_62_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 179520 ) N ;
- FILLER_62_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 179520 ) N ;
- FILLER_62_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 179520 ) N ;
- FILLER_62_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 179520 ) N ;
- FILLER_63_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 182240 ) FS ;
- FILLER_63_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 182240 ) FS ;
- FILLER_63_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 182240 ) FS ;
- FILLER_63_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 182240 ) FS ;
- FILLER_63_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 182240 ) FS ;
- FILLER_63_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 182240 ) FS ;
- FILLER_63_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 182240 ) FS ;
- FILLER_63_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 182240 ) FS ;
- FILLER_63_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 182240 ) FS ;
- FILLER_63_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 182240 ) FS ;
- FILLER_63_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 182240 ) FS ;
- FILLER_63_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 182240 ) FS ;
- FILLER_63_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 182240 ) FS ;
- FILLER_63_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 182240 ) FS ;
- FILLER_63_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 182240 ) FS ;
- FILLER_63_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 182240 ) FS ;
- FILLER_63_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 182240 ) FS ;
- FILLER_63_243 sky130_fd_sc_hd__decap_4 + PLACED ( 117300 182240 ) FS ;
- FILLER_63_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 182240 ) FS ;
- FILLER_63_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 182240 ) FS ;
- FILLER_63_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 182240 ) FS ;
- FILLER_63_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 182240 ) FS ;
- FILLER_63_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 182240 ) FS ;
- FILLER_63_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 182240 ) FS ;
- FILLER_63_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 182240 ) FS ;
- FILLER_63_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 182240 ) FS ;
- FILLER_63_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 182240 ) FS ;
- FILLER_63_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 182240 ) FS ;
- FILLER_63_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 182240 ) FS ;
- FILLER_63_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 182240 ) FS ;
- FILLER_63_363 sky130_fd_sc_hd__decap_12 + PLACED ( 172500 182240 ) FS ;
- FILLER_63_375 sky130_fd_sc_hd__decap_12 + PLACED ( 178020 182240 ) FS ;
- FILLER_63_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 182240 ) FS ;
- FILLER_63_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 182240 ) FS ;
- FILLER_63_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 182240 ) FS ;
- FILLER_63_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 182240 ) FS ;
- FILLER_63_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 182240 ) FS ;
- FILLER_63_417 sky130_fd_sc_hd__fill_2 + PLACED ( 197340 182240 ) FS ;
- FILLER_63_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 182240 ) FS ;
- FILLER_63_434 sky130_fd_sc_hd__decap_12 + PLACED ( 205160 182240 ) FS ;
- FILLER_63_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 182240 ) FS ;
- FILLER_63_449 sky130_fd_sc_hd__decap_6 + PLACED ( 212060 182240 ) FS ;
- FILLER_63_455 sky130_fd_sc_hd__fill_1 + PLACED ( 214820 182240 ) FS ;
- FILLER_63_458 sky130_fd_sc_hd__decap_4 + PLACED ( 216200 182240 ) FS ;
- FILLER_63_466 sky130_fd_sc_hd__decap_12 + PLACED ( 219880 182240 ) FS ;
- FILLER_63_478 sky130_fd_sc_hd__decap_6 + PLACED ( 225400 182240 ) FS ;
- FILLER_63_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 182240 ) FS ;
- FILLER_63_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 182240 ) FS ;
- FILLER_63_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 182240 ) FS ;
- FILLER_63_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 182240 ) FS ;
- FILLER_63_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 182240 ) FS ;
- FILLER_63_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 182240 ) FS ;
- FILLER_63_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 182240 ) FS ;
- FILLER_63_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 182240 ) FS ;
- FILLER_63_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 182240 ) FS ;
- FILLER_63_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 182240 ) FS ;
- FILLER_64_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 184960 ) N ;
- FILLER_64_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 184960 ) N ;
- FILLER_64_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 184960 ) N ;
- FILLER_64_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 184960 ) N ;
- FILLER_64_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 184960 ) N ;
- FILLER_64_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 184960 ) N ;
- FILLER_64_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 184960 ) N ;
- FILLER_64_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 184960 ) N ;
- FILLER_64_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 184960 ) N ;
- FILLER_64_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 184960 ) N ;
- FILLER_64_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 184960 ) N ;
- FILLER_64_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 184960 ) N ;
- FILLER_64_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 184960 ) N ;
- FILLER_64_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 184960 ) N ;
- FILLER_64_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 184960 ) N ;
- FILLER_64_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 184960 ) N ;
- FILLER_64_240 sky130_fd_sc_hd__decap_12 + PLACED ( 115920 184960 ) N ;
- FILLER_64_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 184960 ) N ;
- FILLER_64_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 184960 ) N ;
- FILLER_64_271 sky130_fd_sc_hd__decap_4 + PLACED ( 130180 184960 ) N ;
- FILLER_64_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 184960 ) N ;
- FILLER_64_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 184960 ) N ;
- FILLER_64_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 184960 ) N ;
- FILLER_64_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 184960 ) N ;
- FILLER_64_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 184960 ) N ;
- FILLER_64_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 184960 ) N ;
- FILLER_64_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 184960 ) N ;
- FILLER_64_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 184960 ) N ;
- FILLER_64_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 184960 ) N ;
- FILLER_64_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 184960 ) N ;
- FILLER_64_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 184960 ) N ;
- FILLER_64_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 184960 ) N ;
- FILLER_64_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 184960 ) N ;
- FILLER_64_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 184960 ) N ;
- FILLER_64_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 184960 ) N ;
- FILLER_64_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 184960 ) N ;
- FILLER_64_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 184960 ) N ;
- FILLER_64_421 sky130_fd_sc_hd__fill_1 + PLACED ( 199180 184960 ) N ;
- FILLER_64_424 sky130_fd_sc_hd__decap_12 + PLACED ( 200560 184960 ) N ;
- FILLER_64_436 sky130_fd_sc_hd__decap_12 + PLACED ( 206080 184960 ) N ;
- FILLER_64_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 184960 ) N ;
- FILLER_64_448 sky130_fd_sc_hd__decap_12 + PLACED ( 211600 184960 ) N ;
- FILLER_64_460 sky130_fd_sc_hd__decap_8 + PLACED ( 217120 184960 ) N ;
- FILLER_64_468 sky130_fd_sc_hd__fill_2 + PLACED ( 220800 184960 ) N ;
- FILLER_64_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 184960 ) N ;
- FILLER_64_479 sky130_fd_sc_hd__decap_12 + PLACED ( 225860 184960 ) N ;
- FILLER_64_491 sky130_fd_sc_hd__fill_1 + PLACED ( 231380 184960 ) N ;
- FILLER_64_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 184960 ) N ;
- FILLER_64_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 184960 ) N ;
- FILLER_64_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 184960 ) N ;
- FILLER_64_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 184960 ) N ;
- FILLER_64_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 184960 ) N ;
- FILLER_64_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 184960 ) N ;
- FILLER_64_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 184960 ) N ;
- FILLER_64_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 184960 ) N ;
- FILLER_64_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 184960 ) N ;
- FILLER_64_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 184960 ) N ;
- FILLER_65_104 sky130_fd_sc_hd__decap_8 + PLACED ( 53360 187680 ) FS ;
- FILLER_65_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 187680 ) FS ;
- FILLER_65_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 187680 ) FS ;
- FILLER_65_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 187680 ) FS ;
- FILLER_65_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 187680 ) FS ;
- FILLER_65_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 187680 ) FS ;
- FILLER_65_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 187680 ) FS ;
- FILLER_65_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 187680 ) FS ;
- FILLER_65_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 187680 ) FS ;
- FILLER_65_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 187680 ) FS ;
- FILLER_65_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 187680 ) FS ;
- FILLER_65_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 187680 ) FS ;
- FILLER_65_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 187680 ) FS ;
- FILLER_65_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 187680 ) FS ;
- FILLER_65_225 sky130_fd_sc_hd__decap_8 + PLACED ( 109020 187680 ) FS ;
- FILLER_65_233 sky130_fd_sc_hd__fill_1 + PLACED ( 112700 187680 ) FS ;
- FILLER_65_236 sky130_fd_sc_hd__decap_4 + PLACED ( 114080 187680 ) FS ;
- FILLER_65_25 sky130_fd_sc_hd__decap_12 + PLACED ( 17020 187680 ) FS ;
- FILLER_65_259 sky130_fd_sc_hd__decap_12 + PLACED ( 124660 187680 ) FS ;
- FILLER_65_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 187680 ) FS ;
- FILLER_65_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 187680 ) FS ;
- FILLER_65_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 187680 ) FS ;
- FILLER_65_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 187680 ) FS ;
- FILLER_65_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 187680 ) FS ;
- FILLER_65_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 187680 ) FS ;
- FILLER_65_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 187680 ) FS ;
- FILLER_65_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 187680 ) FS ;
- FILLER_65_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 187680 ) FS ;
- FILLER_65_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 187680 ) FS ;
- FILLER_65_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 187680 ) FS ;
- FILLER_65_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 187680 ) FS ;
- FILLER_65_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 187680 ) FS ;
- FILLER_65_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 187680 ) FS ;
- FILLER_65_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 187680 ) FS ;
- FILLER_65_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 187680 ) FS ;
- FILLER_65_393 sky130_fd_sc_hd__decap_8 + PLACED ( 186300 187680 ) FS ;
- FILLER_65_401 sky130_fd_sc_hd__fill_1 + PLACED ( 189980 187680 ) FS ;
- FILLER_65_404 sky130_fd_sc_hd__decap_4 + PLACED ( 191360 187680 ) FS ;
- FILLER_65_422 sky130_fd_sc_hd__decap_4 + PLACED ( 199640 187680 ) FS ;
- FILLER_65_428 sky130_fd_sc_hd__decap_4 + PLACED ( 202400 187680 ) FS ;
- FILLER_65_434 sky130_fd_sc_hd__decap_12 + PLACED ( 205160 187680 ) FS ;
- FILLER_65_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 187680 ) FS ;
- FILLER_65_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 187680 ) FS ;
- FILLER_65_461 sky130_fd_sc_hd__fill_1 + PLACED ( 217580 187680 ) FS ;
- FILLER_65_464 sky130_fd_sc_hd__decap_4 + PLACED ( 218960 187680 ) FS ;
- FILLER_65_470 sky130_fd_sc_hd__decap_8 + PLACED ( 221720 187680 ) FS ;
- FILLER_65_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 187680 ) FS ;
- FILLER_65_494 sky130_fd_sc_hd__decap_8 + PLACED ( 232760 187680 ) FS ;
- FILLER_65_502 sky130_fd_sc_hd__fill_2 + PLACED ( 236440 187680 ) FS ;
- FILLER_65_507 sky130_fd_sc_hd__decap_8 + PLACED ( 238740 187680 ) FS ;
- FILLER_65_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 187680 ) FS ;
- FILLER_65_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 187680 ) FS ;
- FILLER_65_59 sky130_fd_sc_hd__decap_6 + PLACED ( 32660 187680 ) FS ;
- FILLER_65_65 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 187680 ) FS ;
- FILLER_65_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 187680 ) FS ;
- FILLER_65_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 187680 ) FS ;
- FILLER_65_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 187680 ) FS ;
- FILLER_66_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 190400 ) N ;
- FILLER_66_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 190400 ) N ;
- FILLER_66_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 190400 ) N ;
- FILLER_66_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 190400 ) N ;
- FILLER_66_141 sky130_fd_sc_hd__decap_8 + PLACED ( 70380 190400 ) N ;
- FILLER_66_149 sky130_fd_sc_hd__decap_3 + PLACED ( 74060 190400 ) N ;
- FILLER_66_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 190400 ) N ;
- FILLER_66_154 sky130_fd_sc_hd__decap_4 + PLACED ( 76360 190400 ) N ;
- FILLER_66_162 sky130_fd_sc_hd__decap_12 + PLACED ( 80040 190400 ) N ;
- FILLER_66_174 sky130_fd_sc_hd__decap_12 + PLACED ( 85560 190400 ) N ;
- FILLER_66_186 sky130_fd_sc_hd__decap_8 + PLACED ( 91080 190400 ) N ;
- FILLER_66_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 190400 ) N ;
- FILLER_66_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 190400 ) N ;
- FILLER_66_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 190400 ) N ;
- FILLER_66_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 190400 ) N ;
- FILLER_66_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 190400 ) N ;
- FILLER_66_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 190400 ) N ;
- FILLER_66_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 190400 ) N ;
- FILLER_66_255 sky130_fd_sc_hd__decap_12 + PLACED ( 122820 190400 ) N ;
- FILLER_66_267 sky130_fd_sc_hd__decap_12 + PLACED ( 128340 190400 ) N ;
- FILLER_66_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 190400 ) N ;
- FILLER_66_279 sky130_fd_sc_hd__decap_12 + PLACED ( 133860 190400 ) N ;
- FILLER_66_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 190400 ) N ;
- FILLER_66_291 sky130_fd_sc_hd__decap_12 + PLACED ( 139380 190400 ) N ;
- FILLER_66_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 190400 ) N ;
- FILLER_66_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 190400 ) N ;
- FILLER_66_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 190400 ) N ;
- FILLER_66_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 190400 ) N ;
- FILLER_66_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 190400 ) N ;
- FILLER_66_333 sky130_fd_sc_hd__fill_2 + PLACED ( 158700 190400 ) N ;
- FILLER_66_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 190400 ) N ;
- FILLER_66_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 190400 ) N ;
- FILLER_66_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 190400 ) N ;
- FILLER_66_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 190400 ) N ;
- FILLER_66_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 190400 ) N ;
- FILLER_66_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 190400 ) N ;
- FILLER_66_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 190400 ) N ;
- FILLER_66_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 190400 ) N ;
- FILLER_66_401 sky130_fd_sc_hd__decap_4 + PLACED ( 189980 190400 ) N ;
- FILLER_66_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 190400 ) N ;
- FILLER_66_41 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 190400 ) N ;
- FILLER_66_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 190400 ) N ;
- FILLER_66_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 190400 ) N ;
- FILLER_66_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 190400 ) N ;
- FILLER_66_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 190400 ) N ;
- FILLER_66_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 190400 ) N ;
- FILLER_66_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 190400 ) N ;
- FILLER_66_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 190400 ) N ;
- FILLER_66_479 sky130_fd_sc_hd__decap_12 + PLACED ( 225860 190400 ) N ;
- FILLER_66_491 sky130_fd_sc_hd__decap_12 + PLACED ( 231380 190400 ) N ;
- FILLER_66_503 sky130_fd_sc_hd__decap_4 + PLACED ( 236900 190400 ) N ;
- FILLER_66_507 sky130_fd_sc_hd__fill_1 + PLACED ( 238740 190400 ) N ;
- FILLER_66_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 190400 ) N ;
- FILLER_66_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 190400 ) N ;
- FILLER_66_64 sky130_fd_sc_hd__decap_4 + PLACED ( 34960 190400 ) N ;
- FILLER_66_70 sky130_fd_sc_hd__decap_4 + PLACED ( 37720 190400 ) N ;
- FILLER_66_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 190400 ) N ;
- FILLER_66_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 190400 ) N ;
- FILLER_66_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 190400 ) N ;
- FILLER_67_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 193120 ) FS ;
- FILLER_67_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 193120 ) FS ;
- FILLER_67_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 193120 ) FS ;
- FILLER_67_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 193120 ) FS ;
- FILLER_67_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 193120 ) FS ;
- FILLER_67_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 193120 ) FS ;
- FILLER_67_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 193120 ) FS ;
- FILLER_67_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 193120 ) FS ;
- FILLER_67_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 193120 ) FS ;
- FILLER_67_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 193120 ) FS ;
- FILLER_67_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 193120 ) FS ;
- FILLER_67_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 193120 ) FS ;
- FILLER_67_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 193120 ) FS ;
- FILLER_67_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 193120 ) FS ;
- FILLER_67_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 193120 ) FS ;
- FILLER_67_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 193120 ) FS ;
- FILLER_67_23 sky130_fd_sc_hd__fill_1 + PLACED ( 16100 193120 ) FS ;
- FILLER_67_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 193120 ) FS ;
- FILLER_67_241 sky130_fd_sc_hd__fill_1 + PLACED ( 116380 193120 ) FS ;
- FILLER_67_244 sky130_fd_sc_hd__decap_4 + PLACED ( 117760 193120 ) FS ;
- FILLER_67_250 sky130_fd_sc_hd__decap_4 + PLACED ( 120520 193120 ) FS ;
- FILLER_67_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 193120 ) FS ;
- FILLER_67_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 193120 ) FS ;
- FILLER_67_269 sky130_fd_sc_hd__decap_8 + PLACED ( 129260 193120 ) FS ;
- FILLER_67_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 193120 ) FS ;
- FILLER_67_281 sky130_fd_sc_hd__decap_8 + PLACED ( 134780 193120 ) FS ;
- FILLER_67_289 sky130_fd_sc_hd__fill_2 + PLACED ( 138460 193120 ) FS ;
- FILLER_67_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 193120 ) FS ;
- FILLER_67_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 193120 ) FS ;
- FILLER_67_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 193120 ) FS ;
- FILLER_67_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 193120 ) FS ;
- FILLER_67_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 193120 ) FS ;
- FILLER_67_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 193120 ) FS ;
- FILLER_67_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 193120 ) FS ;
- FILLER_67_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 193120 ) FS ;
- FILLER_67_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 193120 ) FS ;
- FILLER_67_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 193120 ) FS ;
- FILLER_67_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 193120 ) FS ;
- FILLER_67_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 193120 ) FS ;
- FILLER_67_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 193120 ) FS ;
- FILLER_67_393 sky130_fd_sc_hd__decap_8 + PLACED ( 186300 193120 ) FS ;
- FILLER_67_401 sky130_fd_sc_hd__decap_3 + PLACED ( 189980 193120 ) FS ;
- FILLER_67_406 sky130_fd_sc_hd__decap_4 + PLACED ( 192280 193120 ) FS ;
- FILLER_67_422 sky130_fd_sc_hd__decap_12 + PLACED ( 199640 193120 ) FS ;
- FILLER_67_434 sky130_fd_sc_hd__decap_12 + PLACED ( 205160 193120 ) FS ;
- FILLER_67_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 193120 ) FS ;
- FILLER_67_449 sky130_fd_sc_hd__decap_8 + PLACED ( 212060 193120 ) FS ;
- FILLER_67_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 193120 ) FS ;
- FILLER_67_467 sky130_fd_sc_hd__decap_12 + PLACED ( 220340 193120 ) FS ;
- FILLER_67_479 sky130_fd_sc_hd__decap_12 + PLACED ( 225860 193120 ) FS ;
- FILLER_67_491 sky130_fd_sc_hd__decap_12 + PLACED ( 231380 193120 ) FS ;
- FILLER_67_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 193120 ) FS ;
- FILLER_67_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 193120 ) FS ;
- FILLER_67_505 sky130_fd_sc_hd__decap_8 + PLACED ( 237820 193120 ) FS ;
- FILLER_67_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 193120 ) FS ;
- FILLER_67_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 193120 ) FS ;
- FILLER_67_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 193120 ) FS ;
- FILLER_67_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 193120 ) FS ;
- FILLER_67_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 193120 ) FS ;
- FILLER_68_109 sky130_fd_sc_hd__fill_1 + PLACED ( 55660 195840 ) N ;
- FILLER_68_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 195840 ) N ;
- FILLER_68_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 195840 ) N ;
- FILLER_68_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 195840 ) N ;
- FILLER_68_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 195840 ) N ;
- FILLER_68_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 195840 ) N ;
- FILLER_68_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 195840 ) N ;
- FILLER_68_148 sky130_fd_sc_hd__decap_4 + PLACED ( 73600 195840 ) N ;
- FILLER_68_156 sky130_fd_sc_hd__decap_12 + PLACED ( 77280 195840 ) N ;
- FILLER_68_168 sky130_fd_sc_hd__decap_12 + PLACED ( 82800 195840 ) N ;
- FILLER_68_180 sky130_fd_sc_hd__decap_12 + PLACED ( 88320 195840 ) N ;
- FILLER_68_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 195840 ) N ;
- FILLER_68_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 195840 ) N ;
- FILLER_68_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 195840 ) N ;
- FILLER_68_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 195840 ) N ;
- FILLER_68_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 195840 ) N ;
- FILLER_68_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 195840 ) N ;
- FILLER_68_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 195840 ) N ;
- FILLER_68_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 195840 ) N ;
- FILLER_68_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 195840 ) N ;
- FILLER_68_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 195840 ) N ;
- FILLER_68_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 195840 ) N ;
- FILLER_68_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 195840 ) N ;
- FILLER_68_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 195840 ) N ;
- FILLER_68_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 195840 ) N ;
- FILLER_68_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 195840 ) N ;
- FILLER_68_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 195840 ) N ;
- FILLER_68_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 195840 ) N ;
- FILLER_68_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 195840 ) N ;
- FILLER_68_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 195840 ) N ;
- FILLER_68_335 sky130_fd_sc_hd__decap_12 + PLACED ( 159620 195840 ) N ;
- FILLER_68_347 sky130_fd_sc_hd__decap_12 + PLACED ( 165140 195840 ) N ;
- FILLER_68_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 195840 ) N ;
- FILLER_68_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 195840 ) N ;
- FILLER_68_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 195840 ) N ;
- FILLER_68_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 195840 ) N ;
- FILLER_68_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 195840 ) N ;
- FILLER_68_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 195840 ) N ;
- FILLER_68_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 195840 ) N ;
- FILLER_68_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 195840 ) N ;
- FILLER_68_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 195840 ) N ;
- FILLER_68_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 195840 ) N ;
- FILLER_68_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 195840 ) N ;
- FILLER_68_441 sky130_fd_sc_hd__decap_8 + PLACED ( 208380 195840 ) N ;
- FILLER_68_449 sky130_fd_sc_hd__fill_2 + PLACED ( 212060 195840 ) N ;
- FILLER_68_453 sky130_fd_sc_hd__decap_12 + PLACED ( 213900 195840 ) N ;
- FILLER_68_465 sky130_fd_sc_hd__decap_8 + PLACED ( 219420 195840 ) N ;
- FILLER_68_473 sky130_fd_sc_hd__decap_3 + PLACED ( 223100 195840 ) N ;
- FILLER_68_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 195840 ) N ;
- FILLER_68_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 195840 ) N ;
- FILLER_68_501 sky130_fd_sc_hd__fill_1 + PLACED ( 235980 195840 ) N ;
- FILLER_68_504 sky130_fd_sc_hd__decap_4 + PLACED ( 237360 195840 ) N ;
- FILLER_68_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 195840 ) N ;
- FILLER_68_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 195840 ) N ;
- FILLER_68_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 195840 ) N ;
- FILLER_68_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 195840 ) N ;
- FILLER_68_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 195840 ) N ;
- FILLER_68_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 195840 ) N ;
- FILLER_68_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 195840 ) N ;
- FILLER_69_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 198560 ) FS ;
- FILLER_69_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 198560 ) FS ;
- FILLER_69_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 198560 ) FS ;
- FILLER_69_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 198560 ) FS ;
- FILLER_69_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 198560 ) FS ;
- FILLER_69_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 198560 ) FS ;
- FILLER_69_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 198560 ) FS ;
- FILLER_69_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 198560 ) FS ;
- FILLER_69_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 198560 ) FS ;
- FILLER_69_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 198560 ) FS ;
- FILLER_69_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 198560 ) FS ;
- FILLER_69_176 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 198560 ) FS ;
- FILLER_69_186 sky130_fd_sc_hd__decap_12 + PLACED ( 91080 198560 ) FS ;
- FILLER_69_198 sky130_fd_sc_hd__decap_12 + PLACED ( 96600 198560 ) FS ;
- FILLER_69_20 sky130_fd_sc_hd__fill_2 + PLACED ( 14720 198560 ) FS ;
- FILLER_69_210 sky130_fd_sc_hd__decap_12 + PLACED ( 102120 198560 ) FS ;
- FILLER_69_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 198560 ) FS ;
- FILLER_69_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 198560 ) FS ;
- FILLER_69_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 198560 ) FS ;
- FILLER_69_24 sky130_fd_sc_hd__decap_12 + PLACED ( 16560 198560 ) FS ;
- FILLER_69_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 198560 ) FS ;
- FILLER_69_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 198560 ) FS ;
- FILLER_69_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 198560 ) FS ;
- FILLER_69_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 198560 ) FS ;
- FILLER_69_281 sky130_fd_sc_hd__decap_6 + PLACED ( 134780 198560 ) FS ;
- FILLER_69_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 198560 ) FS ;
- FILLER_69_297 sky130_fd_sc_hd__decap_12 + PLACED ( 142140 198560 ) FS ;
- FILLER_69_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 198560 ) FS ;
- FILLER_69_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 198560 ) FS ;
- FILLER_69_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 198560 ) FS ;
- FILLER_69_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 198560 ) FS ;
- FILLER_69_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 198560 ) FS ;
- FILLER_69_36 sky130_fd_sc_hd__decap_12 + PLACED ( 22080 198560 ) FS ;
- FILLER_69_361 sky130_fd_sc_hd__decap_6 + PLACED ( 171580 198560 ) FS ;
- FILLER_69_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 198560 ) FS ;
- FILLER_69_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 198560 ) FS ;
- FILLER_69_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 198560 ) FS ;
- FILLER_69_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 198560 ) FS ;
- FILLER_69_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 198560 ) FS ;
- FILLER_69_417 sky130_fd_sc_hd__decap_6 + PLACED ( 197340 198560 ) FS ;
- FILLER_69_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 198560 ) FS ;
- FILLER_69_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 198560 ) FS ;
- FILLER_69_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 198560 ) FS ;
- FILLER_69_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 198560 ) FS ;
- FILLER_69_459 sky130_fd_sc_hd__decap_12 + PLACED ( 216660 198560 ) FS ;
- FILLER_69_471 sky130_fd_sc_hd__decap_12 + PLACED ( 222180 198560 ) FS ;
- FILLER_69_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 198560 ) FS ;
- FILLER_69_483 sky130_fd_sc_hd__decap_12 + PLACED ( 227700 198560 ) FS ;
- FILLER_69_495 sky130_fd_sc_hd__decap_3 + PLACED ( 233220 198560 ) FS ;
- FILLER_69_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 198560 ) FS ;
- FILLER_69_510 sky130_fd_sc_hd__decap_6 + PLACED ( 240120 198560 ) FS ;
- FILLER_69_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 198560 ) FS ;
- FILLER_69_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 198560 ) FS ;
- FILLER_69_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 198560 ) FS ;
- FILLER_69_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 198560 ) FS ;
- FILLER_69_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 198560 ) FS ;
- FILLER_6_115 sky130_fd_sc_hd__decap_6 + PLACED ( 58420 27200 ) N ;
- FILLER_6_121 sky130_fd_sc_hd__fill_1 + PLACED ( 61180 27200 ) N ;
- FILLER_6_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 27200 ) N ;
- FILLER_6_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 27200 ) N ;
- FILLER_6_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 27200 ) N ;
- FILLER_6_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 27200 ) N ;
- FILLER_6_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 27200 ) N ;
- FILLER_6_149 sky130_fd_sc_hd__decap_8 + PLACED ( 74060 27200 ) N ;
- FILLER_6_157 sky130_fd_sc_hd__fill_1 + PLACED ( 77740 27200 ) N ;
- FILLER_6_160 sky130_fd_sc_hd__decap_4 + PLACED ( 79120 27200 ) N ;
- FILLER_6_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 27200 ) N ;
- FILLER_6_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 27200 ) N ;
- FILLER_6_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 27200 ) N ;
- FILLER_6_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 27200 ) N ;
- FILLER_6_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 27200 ) N ;
- FILLER_6_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 27200 ) N ;
- FILLER_6_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 27200 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 27200 ) N ;
- FILLER_6_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 27200 ) N ;
- FILLER_6_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 27200 ) N ;
- FILLER_6_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 27200 ) N ;
- FILLER_6_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 27200 ) N ;
- FILLER_6_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 27200 ) N ;
- FILLER_6_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 27200 ) N ;
- FILLER_6_398 sky130_fd_sc_hd__decap_4 + PLACED ( 188600 27200 ) N ;
- FILLER_6_404 sky130_fd_sc_hd__decap_4 + PLACED ( 191360 27200 ) N ;
- FILLER_6_410 sky130_fd_sc_hd__decap_4 + PLACED ( 194120 27200 ) N ;
- FILLER_6_416 sky130_fd_sc_hd__decap_4 + PLACED ( 196880 27200 ) N ;
- FILLER_6_421 sky130_fd_sc_hd__fill_2 + PLACED ( 199180 27200 ) N ;
- FILLER_6_425 sky130_fd_sc_hd__decap_4 + PLACED ( 201020 27200 ) N ;
- FILLER_6_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 27200 ) N ;
- FILLER_6_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 27200 ) N ;
- FILLER_6_443 sky130_fd_sc_hd__decap_4 + PLACED ( 209300 27200 ) N ;
- FILLER_6_449 sky130_fd_sc_hd__decap_4 + PLACED ( 212060 27200 ) N ;
- FILLER_6_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 27200 ) N ;
- FILLER_6_455 sky130_fd_sc_hd__decap_4 + PLACED ( 214820 27200 ) N ;
- FILLER_6_461 sky130_fd_sc_hd__decap_4 + PLACED ( 217580 27200 ) N ;
- FILLER_6_465 sky130_fd_sc_hd__fill_1 + PLACED ( 219420 27200 ) N ;
- FILLER_6_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 27200 ) N ;
- FILLER_6_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 27200 ) N ;
- FILLER_6_489 sky130_fd_sc_hd__decap_8 + PLACED ( 230460 27200 ) N ;
- FILLER_6_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 27200 ) N ;
- FILLER_6_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 27200 ) N ;
- FILLER_6_502 sky130_fd_sc_hd__decap_4 + PLACED ( 236440 27200 ) N ;
- FILLER_6_510 sky130_fd_sc_hd__decap_6 + PLACED ( 240120 27200 ) N ;
- FILLER_6_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 27200 ) N ;
- FILLER_6_64 sky130_fd_sc_hd__decap_4 + PLACED ( 34960 27200 ) N ;
- FILLER_6_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 27200 ) N ;
- FILLER_6_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 27200 ) N ;
- FILLER_6_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 27200 ) N ;
- FILLER_6_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 27200 ) N ;
- FILLER_70_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 201280 ) N ;
- FILLER_70_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 201280 ) N ;
- FILLER_70_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 201280 ) N ;
- FILLER_70_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 201280 ) N ;
- FILLER_70_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 201280 ) N ;
- FILLER_70_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 201280 ) N ;
- FILLER_70_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 201280 ) N ;
- FILLER_70_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 201280 ) N ;
- FILLER_70_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 201280 ) N ;
- FILLER_70_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 201280 ) N ;
- FILLER_70_209 sky130_fd_sc_hd__decap_4 + PLACED ( 101660 201280 ) N ;
- FILLER_70_216 sky130_fd_sc_hd__decap_12 + PLACED ( 104880 201280 ) N ;
- FILLER_70_228 sky130_fd_sc_hd__decap_12 + PLACED ( 110400 201280 ) N ;
- FILLER_70_240 sky130_fd_sc_hd__decap_12 + PLACED ( 115920 201280 ) N ;
- FILLER_70_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 201280 ) N ;
- FILLER_70_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 201280 ) N ;
- FILLER_70_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 201280 ) N ;
- FILLER_70_277 sky130_fd_sc_hd__decap_4 + PLACED ( 132940 201280 ) N ;
- FILLER_70_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 201280 ) N ;
- FILLER_70_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 201280 ) N ;
- FILLER_70_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 201280 ) N ;
- FILLER_70_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 201280 ) N ;
- FILLER_70_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 201280 ) N ;
- FILLER_70_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 201280 ) N ;
- FILLER_70_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 201280 ) N ;
- FILLER_70_311 sky130_fd_sc_hd__decap_12 + PLACED ( 148580 201280 ) N ;
- FILLER_70_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 201280 ) N ;
- FILLER_70_335 sky130_fd_sc_hd__decap_12 + PLACED ( 159620 201280 ) N ;
- FILLER_70_347 sky130_fd_sc_hd__decap_12 + PLACED ( 165140 201280 ) N ;
- FILLER_70_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 201280 ) N ;
- FILLER_70_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 201280 ) N ;
- FILLER_70_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 201280 ) N ;
- FILLER_70_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 201280 ) N ;
- FILLER_70_385 sky130_fd_sc_hd__decap_8 + PLACED ( 182620 201280 ) N ;
- FILLER_70_393 sky130_fd_sc_hd__fill_2 + PLACED ( 186300 201280 ) N ;
- FILLER_70_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 201280 ) N ;
- FILLER_70_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 201280 ) N ;
- FILLER_70_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 201280 ) N ;
- FILLER_70_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 201280 ) N ;
- FILLER_70_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 201280 ) N ;
- FILLER_70_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 201280 ) N ;
- FILLER_70_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 201280 ) N ;
- FILLER_70_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 201280 ) N ;
- FILLER_70_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 201280 ) N ;
- FILLER_70_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 201280 ) N ;
- FILLER_70_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 201280 ) N ;
- FILLER_70_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 201280 ) N ;
- FILLER_70_501 sky130_fd_sc_hd__fill_2 + PLACED ( 235980 201280 ) N ;
- FILLER_70_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 201280 ) N ;
- FILLER_70_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 201280 ) N ;
- FILLER_70_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 201280 ) N ;
- FILLER_70_53 sky130_fd_sc_hd__decap_4 + PLACED ( 29900 201280 ) N ;
- FILLER_70_60 sky130_fd_sc_hd__decap_12 + PLACED ( 33120 201280 ) N ;
- FILLER_70_72 sky130_fd_sc_hd__fill_2 + PLACED ( 38640 201280 ) N ;
- FILLER_70_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 201280 ) N ;
- FILLER_70_87 sky130_fd_sc_hd__decap_12 + PLACED ( 45540 201280 ) N ;
- FILLER_70_99 sky130_fd_sc_hd__decap_8 + PLACED ( 51060 201280 ) N ;
- FILLER_71_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 204000 ) FS ;
- FILLER_71_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 204000 ) FS ;
- FILLER_71_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 204000 ) FS ;
- FILLER_71_125 sky130_fd_sc_hd__decap_3 + PLACED ( 63020 204000 ) FS ;
- FILLER_71_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 204000 ) FS ;
- FILLER_71_136 sky130_fd_sc_hd__decap_12 + PLACED ( 68080 204000 ) FS ;
- FILLER_71_148 sky130_fd_sc_hd__decap_12 + PLACED ( 73600 204000 ) FS ;
- FILLER_71_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 204000 ) FS ;
- FILLER_71_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 204000 ) FS ;
- FILLER_71_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 204000 ) FS ;
- FILLER_71_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 204000 ) FS ;
- FILLER_71_193 sky130_fd_sc_hd__fill_2 + PLACED ( 94300 204000 ) FS ;
- FILLER_71_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 204000 ) FS ;
- FILLER_71_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 204000 ) FS ;
- FILLER_71_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 204000 ) FS ;
- FILLER_71_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 204000 ) FS ;
- FILLER_71_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 204000 ) FS ;
- FILLER_71_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 204000 ) FS ;
- FILLER_71_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 204000 ) FS ;
- FILLER_71_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 204000 ) FS ;
- FILLER_71_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 204000 ) FS ;
- FILLER_71_281 sky130_fd_sc_hd__decap_6 + PLACED ( 134780 204000 ) FS ;
- FILLER_71_287 sky130_fd_sc_hd__fill_1 + PLACED ( 137540 204000 ) FS ;
- FILLER_71_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 204000 ) FS ;
- FILLER_71_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 204000 ) FS ;
- FILLER_71_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 204000 ) FS ;
- FILLER_71_308 sky130_fd_sc_hd__decap_12 + PLACED ( 147200 204000 ) FS ;
- FILLER_71_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 204000 ) FS ;
- FILLER_71_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 204000 ) FS ;
- FILLER_71_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 204000 ) FS ;
- FILLER_71_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 204000 ) FS ;
- FILLER_71_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 204000 ) FS ;
- FILLER_71_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 204000 ) FS ;
- FILLER_71_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 204000 ) FS ;
- FILLER_71_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 204000 ) FS ;
- FILLER_71_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 204000 ) FS ;
- FILLER_71_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 204000 ) FS ;
- FILLER_71_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 204000 ) FS ;
- FILLER_71_413 sky130_fd_sc_hd__decap_12 + PLACED ( 195500 204000 ) FS ;
- FILLER_71_425 sky130_fd_sc_hd__decap_12 + PLACED ( 201020 204000 ) FS ;
- FILLER_71_437 sky130_fd_sc_hd__decap_8 + PLACED ( 206540 204000 ) FS ;
- FILLER_71_445 sky130_fd_sc_hd__decap_3 + PLACED ( 210220 204000 ) FS ;
- FILLER_71_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 204000 ) FS ;
- FILLER_71_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 204000 ) FS ;
- FILLER_71_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 204000 ) FS ;
- FILLER_71_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 204000 ) FS ;
- FILLER_71_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 204000 ) FS ;
- FILLER_71_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 204000 ) FS ;
- FILLER_71_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 204000 ) FS ;
- FILLER_71_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 204000 ) FS ;
- FILLER_71_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 204000 ) FS ;
- FILLER_71_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 204000 ) FS ;
- FILLER_71_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 204000 ) FS ;
- FILLER_71_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 204000 ) FS ;
- FILLER_71_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 204000 ) FS ;
- FILLER_71_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 204000 ) FS ;
- FILLER_72_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 206720 ) N ;
- FILLER_72_12 sky130_fd_sc_hd__decap_12 + PLACED ( 11040 206720 ) N ;
- FILLER_72_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 206720 ) N ;
- FILLER_72_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 206720 ) N ;
- FILLER_72_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 206720 ) N ;
- FILLER_72_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 206720 ) N ;
- FILLER_72_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 206720 ) N ;
- FILLER_72_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 206720 ) N ;
- FILLER_72_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 206720 ) N ;
- FILLER_72_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 206720 ) N ;
- FILLER_72_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 206720 ) N ;
- FILLER_72_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 206720 ) N ;
- FILLER_72_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 206720 ) N ;
- FILLER_72_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 206720 ) N ;
- FILLER_72_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 206720 ) N ;
- FILLER_72_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 206720 ) N ;
- FILLER_72_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 206720 ) N ;
- FILLER_72_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 206720 ) N ;
- FILLER_72_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 206720 ) N ;
- FILLER_72_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 206720 ) N ;
- FILLER_72_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 206720 ) N ;
- FILLER_72_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 206720 ) N ;
- FILLER_72_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 206720 ) N ;
- FILLER_72_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 206720 ) N ;
- FILLER_72_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 206720 ) N ;
- FILLER_72_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 206720 ) N ;
- FILLER_72_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 206720 ) N ;
- FILLER_72_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 206720 ) N ;
- FILLER_72_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 206720 ) N ;
- FILLER_72_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 206720 ) N ;
- FILLER_72_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 206720 ) N ;
- FILLER_72_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 206720 ) N ;
- FILLER_72_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 206720 ) N ;
- FILLER_72_385 sky130_fd_sc_hd__decap_8 + PLACED ( 182620 206720 ) N ;
- FILLER_72_395 sky130_fd_sc_hd__decap_12 + PLACED ( 187220 206720 ) N ;
- FILLER_72_407 sky130_fd_sc_hd__decap_12 + PLACED ( 192740 206720 ) N ;
- FILLER_72_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 206720 ) N ;
- FILLER_72_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 206720 ) N ;
- FILLER_72_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 206720 ) N ;
- FILLER_72_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 206720 ) N ;
- FILLER_72_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 206720 ) N ;
- FILLER_72_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 206720 ) N ;
- FILLER_72_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 206720 ) N ;
- FILLER_72_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 206720 ) N ;
- FILLER_72_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 206720 ) N ;
- FILLER_72_489 sky130_fd_sc_hd__decap_12 + PLACED ( 230460 206720 ) N ;
- FILLER_72_501 sky130_fd_sc_hd__decap_12 + PLACED ( 235980 206720 ) N ;
- FILLER_72_513 sky130_fd_sc_hd__decap_3 + PLACED ( 241500 206720 ) N ;
- FILLER_72_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 206720 ) N ;
- FILLER_72_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 206720 ) N ;
- FILLER_72_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 206720 ) N ;
- FILLER_72_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 206720 ) N ;
- FILLER_72_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 206720 ) N ;
- FILLER_72_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 206720 ) N ;
- FILLER_72_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 206720 ) N ;
- FILLER_73_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 209440 ) FS ;
- FILLER_73_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 209440 ) FS ;
- FILLER_73_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 209440 ) FS ;
- FILLER_73_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 209440 ) FS ;
- FILLER_73_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 209440 ) FS ;
- FILLER_73_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 209440 ) FS ;
- FILLER_73_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 209440 ) FS ;
- FILLER_73_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 209440 ) FS ;
- FILLER_73_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 209440 ) FS ;
- FILLER_73_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 209440 ) FS ;
- FILLER_73_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 209440 ) FS ;
- FILLER_73_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 209440 ) FS ;
- FILLER_73_197 sky130_fd_sc_hd__fill_1 + PLACED ( 96140 209440 ) FS ;
- FILLER_73_200 sky130_fd_sc_hd__decap_12 + PLACED ( 97520 209440 ) FS ;
- FILLER_73_212 sky130_fd_sc_hd__fill_2 + PLACED ( 103040 209440 ) FS ;
- FILLER_73_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 209440 ) FS ;
- FILLER_73_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 209440 ) FS ;
- FILLER_73_231 sky130_fd_sc_hd__decap_4 + PLACED ( 111780 209440 ) FS ;
- FILLER_73_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 209440 ) FS ;
- FILLER_73_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 209440 ) FS ;
- FILLER_73_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 209440 ) FS ;
- FILLER_73_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 209440 ) FS ;
- FILLER_73_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 209440 ) FS ;
- FILLER_73_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 209440 ) FS ;
- FILLER_73_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 209440 ) FS ;
- FILLER_73_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 209440 ) FS ;
- FILLER_73_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 209440 ) FS ;
- FILLER_73_307 sky130_fd_sc_hd__decap_12 + PLACED ( 146740 209440 ) FS ;
- FILLER_73_319 sky130_fd_sc_hd__decap_12 + PLACED ( 152260 209440 ) FS ;
- FILLER_73_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 209440 ) FS ;
- FILLER_73_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 209440 ) FS ;
- FILLER_73_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 209440 ) FS ;
- FILLER_73_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 209440 ) FS ;
- FILLER_73_35 sky130_fd_sc_hd__decap_3 + PLACED ( 21620 209440 ) FS ;
- FILLER_73_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 209440 ) FS ;
- FILLER_73_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 209440 ) FS ;
- FILLER_73_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 209440 ) FS ;
- FILLER_73_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 209440 ) FS ;
- FILLER_73_393 sky130_fd_sc_hd__fill_2 + PLACED ( 186300 209440 ) FS ;
- FILLER_73_414 sky130_fd_sc_hd__decap_12 + PLACED ( 195960 209440 ) FS ;
- FILLER_73_426 sky130_fd_sc_hd__decap_12 + PLACED ( 201480 209440 ) FS ;
- FILLER_73_438 sky130_fd_sc_hd__decap_8 + PLACED ( 207000 209440 ) FS ;
- FILLER_73_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 209440 ) FS ;
- FILLER_73_446 sky130_fd_sc_hd__fill_2 + PLACED ( 210680 209440 ) FS ;
- FILLER_73_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 209440 ) FS ;
- FILLER_73_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 209440 ) FS ;
- FILLER_73_473 sky130_fd_sc_hd__decap_12 + PLACED ( 223100 209440 ) FS ;
- FILLER_73_485 sky130_fd_sc_hd__decap_12 + PLACED ( 228620 209440 ) FS ;
- FILLER_73_497 sky130_fd_sc_hd__fill_1 + PLACED ( 234140 209440 ) FS ;
- FILLER_73_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 209440 ) FS ;
- FILLER_73_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 209440 ) FS ;
- FILLER_73_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 209440 ) FS ;
- FILLER_73_509 sky130_fd_sc_hd__fill_1 + PLACED ( 239660 209440 ) FS ;
- FILLER_73_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 209440 ) FS ;
- FILLER_73_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 209440 ) FS ;
- FILLER_73_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 209440 ) FS ;
- FILLER_73_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 209440 ) FS ;
- FILLER_73_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 209440 ) FS ;
- FILLER_74_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 212160 ) N ;
- FILLER_74_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 212160 ) N ;
- FILLER_74_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 212160 ) N ;
- FILLER_74_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 212160 ) N ;
- FILLER_74_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 212160 ) N ;
- FILLER_74_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 212160 ) N ;
- FILLER_74_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 212160 ) N ;
- FILLER_74_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 212160 ) N ;
- FILLER_74_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 212160 ) N ;
- FILLER_74_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 212160 ) N ;
- FILLER_74_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 212160 ) N ;
- FILLER_74_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 212160 ) N ;
- FILLER_74_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 212160 ) N ;
- FILLER_74_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 212160 ) N ;
- FILLER_74_226 sky130_fd_sc_hd__decap_12 + PLACED ( 109480 212160 ) N ;
- FILLER_74_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 212160 ) N ;
- FILLER_74_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 212160 ) N ;
- FILLER_74_255 sky130_fd_sc_hd__decap_8 + PLACED ( 122820 212160 ) N ;
- FILLER_74_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 212160 ) N ;
- FILLER_74_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 212160 ) N ;
- FILLER_74_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 212160 ) N ;
- FILLER_74_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 212160 ) N ;
- FILLER_74_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 212160 ) N ;
- FILLER_74_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 212160 ) N ;
- FILLER_74_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 212160 ) N ;
- FILLER_74_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 212160 ) N ;
- FILLER_74_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 212160 ) N ;
- FILLER_74_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 212160 ) N ;
- FILLER_74_321 sky130_fd_sc_hd__decap_8 + PLACED ( 153180 212160 ) N ;
- FILLER_74_329 sky130_fd_sc_hd__fill_1 + PLACED ( 156860 212160 ) N ;
- FILLER_74_332 sky130_fd_sc_hd__decap_12 + PLACED ( 158240 212160 ) N ;
- FILLER_74_344 sky130_fd_sc_hd__decap_12 + PLACED ( 163760 212160 ) N ;
- FILLER_74_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 212160 ) N ;
- FILLER_74_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 212160 ) N ;
- FILLER_74_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 212160 ) N ;
- FILLER_74_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 212160 ) N ;
- FILLER_74_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 212160 ) N ;
- FILLER_74_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 212160 ) N ;
- FILLER_74_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 212160 ) N ;
- FILLER_74_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 212160 ) N ;
- FILLER_74_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 212160 ) N ;
- FILLER_74_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 212160 ) N ;
- FILLER_74_445 sky130_fd_sc_hd__decap_12 + PLACED ( 210220 212160 ) N ;
- FILLER_74_457 sky130_fd_sc_hd__decap_12 + PLACED ( 215740 212160 ) N ;
- FILLER_74_469 sky130_fd_sc_hd__decap_6 + PLACED ( 221260 212160 ) N ;
- FILLER_74_475 sky130_fd_sc_hd__fill_1 + PLACED ( 224020 212160 ) N ;
- FILLER_74_477 sky130_fd_sc_hd__decap_12 + PLACED ( 224940 212160 ) N ;
- FILLER_74_489 sky130_fd_sc_hd__fill_2 + PLACED ( 230460 212160 ) N ;
- FILLER_74_493 sky130_fd_sc_hd__decap_6 + PLACED ( 232300 212160 ) N ;
- FILLER_74_499 sky130_fd_sc_hd__fill_1 + PLACED ( 235060 212160 ) N ;
- FILLER_74_502 sky130_fd_sc_hd__decap_4 + PLACED ( 236440 212160 ) N ;
- FILLER_74_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 212160 ) N ;
- FILLER_74_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 212160 ) N ;
- FILLER_74_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 212160 ) N ;
- FILLER_74_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 212160 ) N ;
- FILLER_74_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 212160 ) N ;
- FILLER_74_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 212160 ) N ;
- FILLER_74_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 212160 ) N ;
- FILLER_75_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 214880 ) FS ;
- FILLER_75_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 214880 ) FS ;
- FILLER_75_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 214880 ) FS ;
- FILLER_75_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 214880 ) FS ;
- FILLER_75_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 214880 ) FS ;
- FILLER_75_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 214880 ) FS ;
- FILLER_75_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 214880 ) FS ;
- FILLER_75_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 214880 ) FS ;
- FILLER_75_17 sky130_fd_sc_hd__decap_12 + PLACED ( 13340 214880 ) FS ;
- FILLER_75_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 214880 ) FS ;
- FILLER_75_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 214880 ) FS ;
- FILLER_75_205 sky130_fd_sc_hd__decap_6 + PLACED ( 99820 214880 ) FS ;
- FILLER_75_211 sky130_fd_sc_hd__fill_1 + PLACED ( 102580 214880 ) FS ;
- FILLER_75_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 214880 ) FS ;
- FILLER_75_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 214880 ) FS ;
- FILLER_75_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 214880 ) FS ;
- FILLER_75_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 214880 ) FS ;
- FILLER_75_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 214880 ) FS ;
- FILLER_75_250 sky130_fd_sc_hd__decap_12 + PLACED ( 120520 214880 ) FS ;
- FILLER_75_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 214880 ) FS ;
- FILLER_75_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 214880 ) FS ;
- FILLER_75_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 214880 ) FS ;
- FILLER_75_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 214880 ) FS ;
- FILLER_75_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 214880 ) FS ;
- FILLER_75_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 214880 ) FS ;
- FILLER_75_317 sky130_fd_sc_hd__fill_1 + PLACED ( 151340 214880 ) FS ;
- FILLER_75_330 sky130_fd_sc_hd__decap_6 + PLACED ( 157320 214880 ) FS ;
- FILLER_75_340 sky130_fd_sc_hd__decap_12 + PLACED ( 161920 214880 ) FS ;
- FILLER_75_352 sky130_fd_sc_hd__decap_12 + PLACED ( 167440 214880 ) FS ;
- FILLER_75_364 sky130_fd_sc_hd__decap_12 + PLACED ( 172960 214880 ) FS ;
- FILLER_75_376 sky130_fd_sc_hd__decap_12 + PLACED ( 178480 214880 ) FS ;
- FILLER_75_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 214880 ) FS ;
- FILLER_75_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 214880 ) FS ;
- FILLER_75_405 sky130_fd_sc_hd__decap_12 + PLACED ( 191820 214880 ) FS ;
- FILLER_75_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 214880 ) FS ;
- FILLER_75_417 sky130_fd_sc_hd__decap_12 + PLACED ( 197340 214880 ) FS ;
- FILLER_75_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 214880 ) FS ;
- FILLER_75_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 214880 ) FS ;
- FILLER_75_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 214880 ) FS ;
- FILLER_75_449 sky130_fd_sc_hd__decap_12 + PLACED ( 212060 214880 ) FS ;
- FILLER_75_461 sky130_fd_sc_hd__decap_12 + PLACED ( 217580 214880 ) FS ;
- FILLER_75_473 sky130_fd_sc_hd__decap_6 + PLACED ( 223100 214880 ) FS ;
- FILLER_75_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 214880 ) FS ;
- FILLER_75_485 sky130_fd_sc_hd__fill_1 + PLACED ( 228620 214880 ) FS ;
- FILLER_75_488 sky130_fd_sc_hd__decap_4 + PLACED ( 230000 214880 ) FS ;
- FILLER_75_494 sky130_fd_sc_hd__decap_4 + PLACED ( 232760 214880 ) FS ;
- FILLER_75_5 sky130_fd_sc_hd__decap_12 + PLACED ( 7820 214880 ) FS ;
- FILLER_75_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 214880 ) FS ;
- FILLER_75_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 214880 ) FS ;
- FILLER_75_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 214880 ) FS ;
- FILLER_75_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 214880 ) FS ;
- FILLER_75_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 214880 ) FS ;
- FILLER_75_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 214880 ) FS ;
- FILLER_75_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 214880 ) FS ;
- FILLER_75_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 214880 ) FS ;
- FILLER_75_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 214880 ) FS ;
- FILLER_76_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 217600 ) N ;
- FILLER_76_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 217600 ) N ;
- FILLER_76_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 217600 ) N ;
- FILLER_76_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 217600 ) N ;
- FILLER_76_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 217600 ) N ;
- FILLER_76_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 217600 ) N ;
- FILLER_76_153 sky130_fd_sc_hd__decap_8 + PLACED ( 75900 217600 ) N ;
- FILLER_76_161 sky130_fd_sc_hd__fill_1 + PLACED ( 79580 217600 ) N ;
- FILLER_76_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 217600 ) N ;
- FILLER_76_172 sky130_fd_sc_hd__decap_12 + PLACED ( 84640 217600 ) N ;
- FILLER_76_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 217600 ) N ;
- FILLER_76_197 sky130_fd_sc_hd__fill_1 + PLACED ( 96140 217600 ) N ;
- FILLER_76_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 217600 ) N ;
- FILLER_76_210 sky130_fd_sc_hd__decap_4 + PLACED ( 102120 217600 ) N ;
- FILLER_76_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 217600 ) N ;
- FILLER_76_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 217600 ) N ;
- FILLER_76_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 217600 ) N ;
- FILLER_76_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 217600 ) N ;
- FILLER_76_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 217600 ) N ;
- FILLER_76_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 217600 ) N ;
- FILLER_76_277 sky130_fd_sc_hd__decap_4 + PLACED ( 132940 217600 ) N ;
- FILLER_76_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 217600 ) N ;
- FILLER_76_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 217600 ) N ;
- FILLER_76_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 217600 ) N ;
- FILLER_76_292 sky130_fd_sc_hd__decap_12 + PLACED ( 139840 217600 ) N ;
- FILLER_76_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 217600 ) N ;
- FILLER_76_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 217600 ) N ;
- FILLER_76_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 217600 ) N ;
- FILLER_76_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 217600 ) N ;
- FILLER_76_345 sky130_fd_sc_hd__decap_3 + PLACED ( 164220 217600 ) N ;
- FILLER_76_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 217600 ) N ;
- FILLER_76_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 217600 ) N ;
- FILLER_76_365 sky130_fd_sc_hd__decap_6 + PLACED ( 173420 217600 ) N ;
- FILLER_76_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 217600 ) N ;
- FILLER_76_385 sky130_fd_sc_hd__decap_12 + PLACED ( 182620 217600 ) N ;
- FILLER_76_397 sky130_fd_sc_hd__decap_12 + PLACED ( 188140 217600 ) N ;
- FILLER_76_409 sky130_fd_sc_hd__decap_8 + PLACED ( 193660 217600 ) N ;
- FILLER_76_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 217600 ) N ;
- FILLER_76_417 sky130_fd_sc_hd__decap_3 + PLACED ( 197340 217600 ) N ;
- FILLER_76_421 sky130_fd_sc_hd__decap_12 + PLACED ( 199180 217600 ) N ;
- FILLER_76_433 sky130_fd_sc_hd__decap_3 + PLACED ( 204700 217600 ) N ;
- FILLER_76_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 217600 ) N ;
- FILLER_76_446 sky130_fd_sc_hd__decap_12 + PLACED ( 210680 217600 ) N ;
- FILLER_76_458 sky130_fd_sc_hd__decap_12 + PLACED ( 216200 217600 ) N ;
- FILLER_76_470 sky130_fd_sc_hd__decap_6 + PLACED ( 221720 217600 ) N ;
- FILLER_76_477 sky130_fd_sc_hd__fill_1 + PLACED ( 224940 217600 ) N ;
- FILLER_76_480 sky130_fd_sc_hd__decap_6 + PLACED ( 226320 217600 ) N ;
- FILLER_76_486 sky130_fd_sc_hd__fill_1 + PLACED ( 229080 217600 ) N ;
- FILLER_76_490 sky130_fd_sc_hd__decap_8 + PLACED ( 230920 217600 ) N ;
- FILLER_76_498 sky130_fd_sc_hd__fill_1 + PLACED ( 234600 217600 ) N ;
- FILLER_76_501 sky130_fd_sc_hd__decap_4 + PLACED ( 235980 217600 ) N ;
- FILLER_76_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 217600 ) N ;
- FILLER_76_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 217600 ) N ;
- FILLER_76_53 sky130_fd_sc_hd__fill_1 + PLACED ( 29900 217600 ) N ;
- FILLER_76_60 sky130_fd_sc_hd__decap_4 + PLACED ( 33120 217600 ) N ;
- FILLER_76_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 217600 ) N ;
- FILLER_76_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 217600 ) N ;
- FILLER_76_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 217600 ) N ;
- FILLER_76_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 217600 ) N ;
- FILLER_77_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 220320 ) FS ;
- FILLER_77_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 220320 ) FS ;
- FILLER_77_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 220320 ) FS ;
- FILLER_77_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 220320 ) FS ;
- FILLER_77_121 sky130_fd_sc_hd__fill_1 + PLACED ( 61180 220320 ) FS ;
- FILLER_77_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 220320 ) FS ;
- FILLER_77_147 sky130_fd_sc_hd__decap_4 + PLACED ( 73140 220320 ) FS ;
- FILLER_77_153 sky130_fd_sc_hd__decap_8 + PLACED ( 75900 220320 ) FS ;
- FILLER_77_161 sky130_fd_sc_hd__fill_1 + PLACED ( 79580 220320 ) FS ;
- FILLER_77_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 220320 ) FS ;
- FILLER_77_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 220320 ) FS ;
- FILLER_77_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 220320 ) FS ;
- FILLER_77_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 220320 ) FS ;
- FILLER_77_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 220320 ) FS ;
- FILLER_77_21 sky130_fd_sc_hd__decap_12 + PLACED ( 15180 220320 ) FS ;
- FILLER_77_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 220320 ) FS ;
- FILLER_77_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 220320 ) FS ;
- FILLER_77_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 220320 ) FS ;
- FILLER_77_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 220320 ) FS ;
- FILLER_77_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 220320 ) FS ;
- FILLER_77_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 220320 ) FS ;
- FILLER_77_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 220320 ) FS ;
- FILLER_77_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 220320 ) FS ;
- FILLER_77_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 220320 ) FS ;
- FILLER_77_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 220320 ) FS ;
- FILLER_77_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 220320 ) FS ;
- FILLER_77_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 220320 ) FS ;
- FILLER_77_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 220320 ) FS ;
- FILLER_77_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 220320 ) FS ;
- FILLER_77_33 sky130_fd_sc_hd__decap_12 + PLACED ( 20700 220320 ) FS ;
- FILLER_77_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 220320 ) FS ;
- FILLER_77_337 sky130_fd_sc_hd__decap_6 + PLACED ( 160540 220320 ) FS ;
- FILLER_77_343 sky130_fd_sc_hd__fill_1 + PLACED ( 163300 220320 ) FS ;
- FILLER_77_346 sky130_fd_sc_hd__decap_4 + PLACED ( 164680 220320 ) FS ;
- FILLER_77_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 220320 ) FS ;
- FILLER_77_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 220320 ) FS ;
- FILLER_77_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 220320 ) FS ;
- FILLER_77_396 sky130_fd_sc_hd__decap_12 + PLACED ( 187680 220320 ) FS ;
- FILLER_77_408 sky130_fd_sc_hd__decap_8 + PLACED ( 193200 220320 ) FS ;
- FILLER_77_416 sky130_fd_sc_hd__decap_3 + PLACED ( 196880 220320 ) FS ;
- FILLER_77_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 220320 ) FS ;
- FILLER_77_429 sky130_fd_sc_hd__decap_12 + PLACED ( 202860 220320 ) FS ;
- FILLER_77_441 sky130_fd_sc_hd__decap_6 + PLACED ( 208380 220320 ) FS ;
- FILLER_77_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 220320 ) FS ;
- FILLER_77_449 sky130_fd_sc_hd__decap_8 + PLACED ( 212060 220320 ) FS ;
- FILLER_77_45 sky130_fd_sc_hd__decap_8 + PLACED ( 26220 220320 ) FS ;
- FILLER_77_457 sky130_fd_sc_hd__fill_1 + PLACED ( 215740 220320 ) FS ;
- FILLER_77_460 sky130_fd_sc_hd__decap_6 + PLACED ( 217120 220320 ) FS ;
- FILLER_77_468 sky130_fd_sc_hd__decap_4 + PLACED ( 220800 220320 ) FS ;
- FILLER_77_474 sky130_fd_sc_hd__decap_4 + PLACED ( 223560 220320 ) FS ;
- FILLER_77_480 sky130_fd_sc_hd__decap_4 + PLACED ( 226320 220320 ) FS ;
- FILLER_77_486 sky130_fd_sc_hd__decap_4 + PLACED ( 229080 220320 ) FS ;
- FILLER_77_492 sky130_fd_sc_hd__decap_4 + PLACED ( 231840 220320 ) FS ;
- FILLER_77_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 220320 ) FS ;
- FILLER_77_509 sky130_fd_sc_hd__decap_6 + PLACED ( 239660 220320 ) FS ;
- FILLER_77_515 sky130_fd_sc_hd__fill_1 + PLACED ( 242420 220320 ) FS ;
- FILLER_77_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 220320 ) FS ;
- FILLER_77_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 220320 ) FS ;
- FILLER_77_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 220320 ) FS ;
- FILLER_77_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 220320 ) FS ;
- FILLER_77_9 sky130_fd_sc_hd__decap_12 + PLACED ( 9660 220320 ) FS ;
- FILLER_77_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 220320 ) FS ;
- FILLER_78_103 sky130_fd_sc_hd__decap_4 + PLACED ( 52900 223040 ) N ;
- FILLER_78_107 sky130_fd_sc_hd__fill_1 + PLACED ( 54740 223040 ) N ;
- FILLER_78_110 sky130_fd_sc_hd__decap_4 + PLACED ( 56120 223040 ) N ;
- FILLER_78_114 sky130_fd_sc_hd__fill_1 + PLACED ( 57960 223040 ) N ;
- FILLER_78_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 223040 ) N ;
- FILLER_78_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 223040 ) N ;
- FILLER_78_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 223040 ) N ;
- FILLER_78_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 223040 ) N ;
- FILLER_78_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 223040 ) N ;
- FILLER_78_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 223040 ) N ;
- FILLER_78_162 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 223040 ) N ;
- FILLER_78_168 sky130_fd_sc_hd__decap_6 + PLACED ( 82800 223040 ) N ;
- FILLER_78_174 sky130_fd_sc_hd__fill_1 + PLACED ( 85560 223040 ) N ;
- FILLER_78_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 223040 ) N ;
- FILLER_78_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 223040 ) N ;
- FILLER_78_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 223040 ) N ;
- FILLER_78_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 223040 ) N ;
- FILLER_78_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 223040 ) N ;
- FILLER_78_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 223040 ) N ;
- FILLER_78_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 223040 ) N ;
- FILLER_78_226 sky130_fd_sc_hd__decap_4 + PLACED ( 109480 223040 ) N ;
- FILLER_78_236 sky130_fd_sc_hd__decap_12 + PLACED ( 114080 223040 ) N ;
- FILLER_78_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 223040 ) N ;
- FILLER_78_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 223040 ) N ;
- FILLER_78_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 223040 ) N ;
- FILLER_78_264 sky130_fd_sc_hd__decap_6 + PLACED ( 126960 223040 ) N ;
- FILLER_78_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 223040 ) N ;
- FILLER_78_272 sky130_fd_sc_hd__decap_4 + PLACED ( 130640 223040 ) N ;
- FILLER_78_278 sky130_fd_sc_hd__decap_12 + PLACED ( 133400 223040 ) N ;
- FILLER_78_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 223040 ) N ;
- FILLER_78_290 sky130_fd_sc_hd__decap_3 + PLACED ( 138920 223040 ) N ;
- FILLER_78_295 sky130_fd_sc_hd__decap_12 + PLACED ( 141220 223040 ) N ;
- FILLER_78_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 223040 ) N ;
- FILLER_78_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 223040 ) N ;
- FILLER_78_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 223040 ) N ;
- FILLER_78_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 223040 ) N ;
- FILLER_78_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 223040 ) N ;
- FILLER_78_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 223040 ) N ;
- FILLER_78_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 223040 ) N ;
- FILLER_78_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 223040 ) N ;
- FILLER_78_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 223040 ) N ;
- FILLER_78_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 223040 ) N ;
- FILLER_78_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 223040 ) N ;
- FILLER_78_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 223040 ) N ;
- FILLER_78_401 sky130_fd_sc_hd__decap_12 + PLACED ( 189980 223040 ) N ;
- FILLER_78_413 sky130_fd_sc_hd__decap_6 + PLACED ( 195500 223040 ) N ;
- FILLER_78_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 223040 ) N ;
- FILLER_78_423 sky130_fd_sc_hd__decap_4 + PLACED ( 200100 223040 ) N ;
- FILLER_78_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 223040 ) N ;
- FILLER_78_433 sky130_fd_sc_hd__decap_12 + PLACED ( 204700 223040 ) N ;
- FILLER_78_445 sky130_fd_sc_hd__fill_1 + PLACED ( 210220 223040 ) N ;
- FILLER_78_448 sky130_fd_sc_hd__decap_4 + PLACED ( 211600 223040 ) N ;
- FILLER_78_454 sky130_fd_sc_hd__decap_4 + PLACED ( 214360 223040 ) N ;
- FILLER_78_460 sky130_fd_sc_hd__decap_4 + PLACED ( 217120 223040 ) N ;
- FILLER_78_466 sky130_fd_sc_hd__decap_4 + PLACED ( 219880 223040 ) N ;
- FILLER_78_47 sky130_fd_sc_hd__fill_1 + PLACED ( 27140 223040 ) N ;
- FILLER_78_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 223040 ) N ;
- FILLER_78_484 sky130_fd_sc_hd__decap_8 + PLACED ( 228160 223040 ) N ;
- FILLER_78_492 sky130_fd_sc_hd__fill_1 + PLACED ( 231840 223040 ) N ;
- FILLER_78_497 sky130_fd_sc_hd__decap_4 + PLACED ( 234140 223040 ) N ;
- FILLER_78_503 sky130_fd_sc_hd__decap_4 + PLACED ( 236900 223040 ) N ;
- FILLER_78_507 sky130_fd_sc_hd__fill_1 + PLACED ( 238740 223040 ) N ;
- FILLER_78_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 223040 ) N ;
- FILLER_78_57 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 223040 ) N ;
- FILLER_78_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 223040 ) N ;
- FILLER_78_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 223040 ) N ;
- FILLER_78_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 223040 ) N ;
- FILLER_78_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 223040 ) N ;
- FILLER_78_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 223040 ) N ;
- FILLER_78_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 223040 ) N ;
- FILLER_79_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 225760 ) FS ;
- FILLER_79_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 225760 ) FS ;
- FILLER_79_115 sky130_fd_sc_hd__decap_6 + PLACED ( 58420 225760 ) FS ;
- FILLER_79_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 225760 ) FS ;
- FILLER_79_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 225760 ) FS ;
- FILLER_79_13 sky130_fd_sc_hd__decap_8 + PLACED ( 11500 225760 ) FS ;
- FILLER_79_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 225760 ) FS ;
- FILLER_79_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 225760 ) FS ;
- FILLER_79_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 225760 ) FS ;
- FILLER_79_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 225760 ) FS ;
- FILLER_79_171 sky130_fd_sc_hd__decap_8 + PLACED ( 84180 225760 ) FS ;
- FILLER_79_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 225760 ) FS ;
- FILLER_79_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 225760 ) FS ;
- FILLER_79_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 225760 ) FS ;
- FILLER_79_198 sky130_fd_sc_hd__decap_12 + PLACED ( 96600 225760 ) FS ;
- FILLER_79_210 sky130_fd_sc_hd__decap_12 + PLACED ( 102120 225760 ) FS ;
- FILLER_79_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 225760 ) FS ;
- FILLER_79_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 225760 ) FS ;
- FILLER_79_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 225760 ) FS ;
- FILLER_79_237 sky130_fd_sc_hd__decap_6 + PLACED ( 114540 225760 ) FS ;
- FILLER_79_243 sky130_fd_sc_hd__fill_1 + PLACED ( 117300 225760 ) FS ;
- FILLER_79_246 sky130_fd_sc_hd__decap_4 + PLACED ( 118680 225760 ) FS ;
- FILLER_79_252 sky130_fd_sc_hd__decap_4 + PLACED ( 121440 225760 ) FS ;
- FILLER_79_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 225760 ) FS ;
- FILLER_79_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 225760 ) FS ;
- FILLER_79_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 225760 ) FS ;
- FILLER_79_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 225760 ) FS ;
- FILLER_79_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 225760 ) FS ;
- FILLER_79_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 225760 ) FS ;
- FILLER_79_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 225760 ) FS ;
- FILLER_79_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 225760 ) FS ;
- FILLER_79_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 225760 ) FS ;
- FILLER_79_319 sky130_fd_sc_hd__decap_12 + PLACED ( 152260 225760 ) FS ;
- FILLER_79_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 225760 ) FS ;
- FILLER_79_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 225760 ) FS ;
- FILLER_79_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 225760 ) FS ;
- FILLER_79_349 sky130_fd_sc_hd__decap_3 + PLACED ( 166060 225760 ) FS ;
- FILLER_79_35 sky130_fd_sc_hd__fill_2 + PLACED ( 21620 225760 ) FS ;
- FILLER_79_354 sky130_fd_sc_hd__decap_8 + PLACED ( 168360 225760 ) FS ;
- FILLER_79_362 sky130_fd_sc_hd__fill_1 + PLACED ( 172040 225760 ) FS ;
- FILLER_79_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 225760 ) FS ;
- FILLER_79_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 225760 ) FS ;
- FILLER_79_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 225760 ) FS ;
- FILLER_79_383 sky130_fd_sc_hd__decap_8 + PLACED ( 181700 225760 ) FS ;
- FILLER_79_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 225760 ) FS ;
- FILLER_79_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 225760 ) FS ;
- FILLER_79_395 sky130_fd_sc_hd__decap_12 + PLACED ( 187220 225760 ) FS ;
- FILLER_79_407 sky130_fd_sc_hd__decap_12 + PLACED ( 192740 225760 ) FS ;
- FILLER_79_421 sky130_fd_sc_hd__decap_4 + PLACED ( 199180 225760 ) FS ;
- FILLER_79_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 225760 ) FS ;
- FILLER_79_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 225760 ) FS ;
- FILLER_79_441 sky130_fd_sc_hd__fill_1 + PLACED ( 208380 225760 ) FS ;
- FILLER_79_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 225760 ) FS ;
- FILLER_79_449 sky130_fd_sc_hd__fill_2 + PLACED ( 212060 225760 ) FS ;
- FILLER_79_453 sky130_fd_sc_hd__decap_4 + PLACED ( 213900 225760 ) FS ;
- FILLER_79_459 sky130_fd_sc_hd__decap_4 + PLACED ( 216660 225760 ) FS ;
- FILLER_79_465 sky130_fd_sc_hd__decap_4 + PLACED ( 219420 225760 ) FS ;
- FILLER_79_47 sky130_fd_sc_hd__fill_1 + PLACED ( 27140 225760 ) FS ;
- FILLER_79_471 sky130_fd_sc_hd__decap_4 + PLACED ( 222180 225760 ) FS ;
- FILLER_79_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 225760 ) FS ;
- FILLER_79_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 225760 ) FS ;
- FILLER_79_493 sky130_fd_sc_hd__decap_4 + PLACED ( 232300 225760 ) FS ;
- FILLER_79_499 sky130_fd_sc_hd__decap_4 + PLACED ( 235060 225760 ) FS ;
- FILLER_79_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 225760 ) FS ;
- FILLER_79_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 225760 ) FS ;
- FILLER_79_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 225760 ) FS ;
- FILLER_79_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 225760 ) FS ;
- FILLER_79_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 225760 ) FS ;
- FILLER_79_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 225760 ) FS ;
- FILLER_79_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 225760 ) FS ;
- FILLER_79_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 225760 ) FS ;
- FILLER_79_85 sky130_fd_sc_hd__decap_3 + PLACED ( 44620 225760 ) FS ;
- FILLER_79_90 sky130_fd_sc_hd__decap_6 + PLACED ( 46920 225760 ) FS ;
- FILLER_79_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 225760 ) FS ;
- FILLER_79_99 sky130_fd_sc_hd__decap_6 + PLACED ( 51060 225760 ) FS ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 29920 ) FS ;
- FILLER_7_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 29920 ) FS ;
- FILLER_7_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 29920 ) FS ;
- FILLER_7_127 sky130_fd_sc_hd__fill_1 + PLACED ( 63940 29920 ) FS ;
- FILLER_7_147 sky130_fd_sc_hd__decap_4 + PLACED ( 73140 29920 ) FS ;
- FILLER_7_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 29920 ) FS ;
- FILLER_7_157 sky130_fd_sc_hd__fill_1 + PLACED ( 77740 29920 ) FS ;
- FILLER_7_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 29920 ) FS ;
- FILLER_7_172 sky130_fd_sc_hd__decap_12 + PLACED ( 84640 29920 ) FS ;
- FILLER_7_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 29920 ) FS ;
- FILLER_7_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 29920 ) FS ;
- FILLER_7_196 sky130_fd_sc_hd__decap_12 + PLACED ( 95680 29920 ) FS ;
- FILLER_7_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 29920 ) FS ;
- FILLER_7_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 29920 ) FS ;
- FILLER_7_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 29920 ) FS ;
- FILLER_7_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 29920 ) FS ;
- FILLER_7_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 29920 ) FS ;
- FILLER_7_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 29920 ) FS ;
- FILLER_7_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_6 + PLACED ( 140300 29920 ) FS ;
- FILLER_7_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 29920 ) FS ;
- FILLER_7_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 29920 ) FS ;
- FILLER_7_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 29920 ) FS ;
- FILLER_7_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_8 + PLACED ( 160540 29920 ) FS ;
- FILLER_7_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 29920 ) FS ;
- FILLER_7_355 sky130_fd_sc_hd__decap_4 + PLACED ( 168820 29920 ) FS ;
- FILLER_7_363 sky130_fd_sc_hd__decap_12 + PLACED ( 172500 29920 ) FS ;
- FILLER_7_375 sky130_fd_sc_hd__decap_8 + PLACED ( 178020 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 29920 ) FS ;
- FILLER_7_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 29920 ) FS ;
- FILLER_7_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 29920 ) FS ;
- FILLER_7_399 sky130_fd_sc_hd__fill_1 + PLACED ( 189060 29920 ) FS ;
- FILLER_7_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 29920 ) FS ;
- FILLER_7_408 sky130_fd_sc_hd__decap_4 + PLACED ( 193200 29920 ) FS ;
- FILLER_7_414 sky130_fd_sc_hd__decap_4 + PLACED ( 195960 29920 ) FS ;
- FILLER_7_420 sky130_fd_sc_hd__decap_4 + PLACED ( 198720 29920 ) FS ;
- FILLER_7_426 sky130_fd_sc_hd__decap_4 + PLACED ( 201480 29920 ) FS ;
- FILLER_7_432 sky130_fd_sc_hd__decap_4 + PLACED ( 204240 29920 ) FS ;
- FILLER_7_438 sky130_fd_sc_hd__decap_4 + PLACED ( 207000 29920 ) FS ;
- FILLER_7_444 sky130_fd_sc_hd__decap_4 + PLACED ( 209760 29920 ) FS ;
- FILLER_7_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 29920 ) FS ;
- FILLER_7_457 sky130_fd_sc_hd__decap_6 + PLACED ( 215740 29920 ) FS ;
- FILLER_7_463 sky130_fd_sc_hd__fill_1 + PLACED ( 218500 29920 ) FS ;
- FILLER_7_468 sky130_fd_sc_hd__decap_4 + PLACED ( 220800 29920 ) FS ;
- FILLER_7_472 sky130_fd_sc_hd__fill_1 + PLACED ( 222640 29920 ) FS ;
- FILLER_7_475 sky130_fd_sc_hd__decap_4 + PLACED ( 224020 29920 ) FS ;
- FILLER_7_483 sky130_fd_sc_hd__decap_4 + PLACED ( 227700 29920 ) FS ;
- FILLER_7_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 29920 ) FS ;
- FILLER_7_491 sky130_fd_sc_hd__decap_4 + PLACED ( 231380 29920 ) FS ;
- FILLER_7_499 sky130_fd_sc_hd__decap_4 + PLACED ( 235060 29920 ) FS ;
- FILLER_7_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 29920 ) FS ;
- FILLER_7_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 29920 ) FS ;
- FILLER_7_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 29920 ) FS ;
- FILLER_7_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 29920 ) FS ;
- FILLER_7_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 29920 ) FS ;
- FILLER_7_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 29920 ) FS ;
- FILLER_7_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 29920 ) FS ;
- FILLER_7_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 29920 ) FS ;
- FILLER_7_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 29920 ) FS ;
- FILLER_7_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 29920 ) FS ;
- FILLER_80_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 228480 ) N ;
- FILLER_80_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 228480 ) N ;
- FILLER_80_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 228480 ) N ;
- FILLER_80_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 228480 ) N ;
- FILLER_80_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 228480 ) N ;
- FILLER_80_128 sky130_fd_sc_hd__decap_4 + PLACED ( 64400 228480 ) N ;
- FILLER_80_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 228480 ) N ;
- FILLER_80_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 228480 ) N ;
- FILLER_80_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 228480 ) N ;
- FILLER_80_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 228480 ) N ;
- FILLER_80_155 sky130_fd_sc_hd__decap_8 + PLACED ( 76820 228480 ) N ;
- FILLER_80_163 sky130_fd_sc_hd__fill_1 + PLACED ( 80500 228480 ) N ;
- FILLER_80_166 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 228480 ) N ;
- FILLER_80_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 228480 ) N ;
- FILLER_80_178 sky130_fd_sc_hd__decap_8 + PLACED ( 87400 228480 ) N ;
- FILLER_80_186 sky130_fd_sc_hd__fill_1 + PLACED ( 91080 228480 ) N ;
- FILLER_80_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 228480 ) N ;
- FILLER_80_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 228480 ) N ;
- FILLER_80_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 228480 ) N ;
- FILLER_80_205 sky130_fd_sc_hd__decap_8 + PLACED ( 99820 228480 ) N ;
- FILLER_80_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 228480 ) N ;
- FILLER_80_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 228480 ) N ;
- FILLER_80_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 228480 ) N ;
- FILLER_80_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 228480 ) N ;
- FILLER_80_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 228480 ) N ;
- FILLER_80_239 sky130_fd_sc_hd__decap_4 + PLACED ( 115460 228480 ) N ;
- FILLER_80_243 sky130_fd_sc_hd__fill_1 + PLACED ( 117300 228480 ) N ;
- FILLER_80_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 228480 ) N ;
- FILLER_80_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 228480 ) N ;
- FILLER_80_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 228480 ) N ;
- FILLER_80_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 228480 ) N ;
- FILLER_80_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 228480 ) N ;
- FILLER_80_271 sky130_fd_sc_hd__decap_6 + PLACED ( 130180 228480 ) N ;
- FILLER_80_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 228480 ) N ;
- FILLER_80_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 228480 ) N ;
- FILLER_80_302 sky130_fd_sc_hd__decap_6 + PLACED ( 144440 228480 ) N ;
- FILLER_80_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 228480 ) N ;
- FILLER_80_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 228480 ) N ;
- FILLER_80_317 sky130_fd_sc_hd__decap_6 + PLACED ( 151340 228480 ) N ;
- FILLER_80_323 sky130_fd_sc_hd__fill_1 + PLACED ( 154100 228480 ) N ;
- FILLER_80_326 sky130_fd_sc_hd__decap_12 + PLACED ( 155480 228480 ) N ;
- FILLER_80_338 sky130_fd_sc_hd__decap_3 + PLACED ( 161000 228480 ) N ;
- FILLER_80_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 228480 ) N ;
- FILLER_80_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 228480 ) N ;
- FILLER_80_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 228480 ) N ;
- FILLER_80_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 228480 ) N ;
- FILLER_80_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 228480 ) N ;
- FILLER_80_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 228480 ) N ;
- FILLER_80_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 228480 ) N ;
- FILLER_80_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 228480 ) N ;
- FILLER_80_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 228480 ) N ;
- FILLER_80_409 sky130_fd_sc_hd__decap_4 + PLACED ( 193660 228480 ) N ;
- FILLER_80_415 sky130_fd_sc_hd__decap_4 + PLACED ( 196420 228480 ) N ;
- FILLER_80_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 228480 ) N ;
- FILLER_80_423 sky130_fd_sc_hd__decap_4 + PLACED ( 200100 228480 ) N ;
- FILLER_80_427 sky130_fd_sc_hd__fill_1 + PLACED ( 201940 228480 ) N ;
- FILLER_80_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 228480 ) N ;
- FILLER_80_430 sky130_fd_sc_hd__decap_4 + PLACED ( 203320 228480 ) N ;
- FILLER_80_436 sky130_fd_sc_hd__decap_4 + PLACED ( 206080 228480 ) N ;
- FILLER_80_442 sky130_fd_sc_hd__decap_4 + PLACED ( 208840 228480 ) N ;
- FILLER_80_448 sky130_fd_sc_hd__decap_4 + PLACED ( 211600 228480 ) N ;
- FILLER_80_456 sky130_fd_sc_hd__decap_4 + PLACED ( 215280 228480 ) N ;
- FILLER_80_464 sky130_fd_sc_hd__decap_4 + PLACED ( 218960 228480 ) N ;
- FILLER_80_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 228480 ) N ;
- FILLER_80_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 228480 ) N ;
- FILLER_80_489 sky130_fd_sc_hd__decap_6 + PLACED ( 230460 228480 ) N ;
- FILLER_80_499 sky130_fd_sc_hd__decap_4 + PLACED ( 235060 228480 ) N ;
- FILLER_80_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 228480 ) N ;
- FILLER_80_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 228480 ) N ;
- FILLER_80_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 228480 ) N ;
- FILLER_80_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 228480 ) N ;
- FILLER_80_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 228480 ) N ;
- FILLER_80_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 228480 ) N ;
- FILLER_80_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 228480 ) N ;
- FILLER_80_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 228480 ) N ;
- FILLER_80_91 sky130_fd_sc_hd__decap_6 + PLACED ( 47380 228480 ) N ;
- FILLER_81_106 sky130_fd_sc_hd__decap_6 + PLACED ( 54280 231200 ) FS ;
- FILLER_81_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 231200 ) FS ;
- FILLER_81_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 231200 ) FS ;
- FILLER_81_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 231200 ) FS ;
- FILLER_81_133 sky130_fd_sc_hd__decap_4 + PLACED ( 66700 231200 ) FS ;
- FILLER_81_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 231200 ) FS ;
- FILLER_81_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 231200 ) FS ;
- FILLER_81_150 sky130_fd_sc_hd__decap_8 + PLACED ( 74520 231200 ) FS ;
- FILLER_81_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 231200 ) FS ;
- FILLER_81_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 231200 ) FS ;
- FILLER_81_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 231200 ) FS ;
- FILLER_81_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 231200 ) FS ;
- FILLER_81_190 sky130_fd_sc_hd__decap_4 + PLACED ( 92920 231200 ) FS ;
- FILLER_81_194 sky130_fd_sc_hd__fill_1 + PLACED ( 94760 231200 ) FS ;
- FILLER_81_199 sky130_fd_sc_hd__decap_8 + PLACED ( 97060 231200 ) FS ;
- FILLER_81_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 231200 ) FS ;
- FILLER_81_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 231200 ) FS ;
- FILLER_81_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 231200 ) FS ;
- FILLER_81_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 231200 ) FS ;
- FILLER_81_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 231200 ) FS ;
- FILLER_81_237 sky130_fd_sc_hd__decap_6 + PLACED ( 114540 231200 ) FS ;
- FILLER_81_243 sky130_fd_sc_hd__fill_1 + PLACED ( 117300 231200 ) FS ;
- FILLER_81_248 sky130_fd_sc_hd__decap_8 + PLACED ( 119600 231200 ) FS ;
- FILLER_81_260 sky130_fd_sc_hd__decap_4 + PLACED ( 125120 231200 ) FS ;
- FILLER_81_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 231200 ) FS ;
- FILLER_81_270 sky130_fd_sc_hd__fill_1 + PLACED ( 129720 231200 ) FS ;
- FILLER_81_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 231200 ) FS ;
- FILLER_81_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 231200 ) FS ;
- FILLER_81_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 231200 ) FS ;
- FILLER_81_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 231200 ) FS ;
- FILLER_81_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 231200 ) FS ;
- FILLER_81_299 sky130_fd_sc_hd__decap_6 + PLACED ( 143060 231200 ) FS ;
- FILLER_81_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 231200 ) FS ;
- FILLER_81_309 sky130_fd_sc_hd__decap_8 + PLACED ( 147660 231200 ) FS ;
- FILLER_81_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 231200 ) FS ;
- FILLER_81_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 231200 ) FS ;
- FILLER_81_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 231200 ) FS ;
- FILLER_81_341 sky130_fd_sc_hd__decap_4 + PLACED ( 162380 231200 ) FS ;
- FILLER_81_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 231200 ) FS ;
- FILLER_81_353 sky130_fd_sc_hd__fill_1 + PLACED ( 167900 231200 ) FS ;
- FILLER_81_358 sky130_fd_sc_hd__decap_8 + PLACED ( 170200 231200 ) FS ;
- FILLER_81_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 231200 ) FS ;
- FILLER_81_370 sky130_fd_sc_hd__decap_4 + PLACED ( 175720 231200 ) FS ;
- FILLER_81_376 sky130_fd_sc_hd__decap_4 + PLACED ( 178480 231200 ) FS ;
- FILLER_81_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 231200 ) FS ;
- FILLER_81_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 231200 ) FS ;
- FILLER_81_397 sky130_fd_sc_hd__decap_6 + PLACED ( 188140 231200 ) FS ;
- FILLER_81_407 sky130_fd_sc_hd__decap_8 + PLACED ( 192740 231200 ) FS ;
- FILLER_81_419 sky130_fd_sc_hd__decap_8 + PLACED ( 198260 231200 ) FS ;
- FILLER_81_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 231200 ) FS ;
- FILLER_81_435 sky130_fd_sc_hd__fill_1 + PLACED ( 205620 231200 ) FS ;
- FILLER_81_442 sky130_fd_sc_hd__decap_6 + PLACED ( 208840 231200 ) FS ;
- FILLER_81_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 231200 ) FS ;
- FILLER_81_453 sky130_fd_sc_hd__decap_6 + PLACED ( 213900 231200 ) FS ;
- FILLER_81_459 sky130_fd_sc_hd__fill_1 + PLACED ( 216660 231200 ) FS ;
- FILLER_81_464 sky130_fd_sc_hd__decap_4 + PLACED ( 218960 231200 ) FS ;
- FILLER_81_472 sky130_fd_sc_hd__decap_8 + PLACED ( 222640 231200 ) FS ;
- FILLER_81_486 sky130_fd_sc_hd__decap_8 + PLACED ( 229080 231200 ) FS ;
- FILLER_81_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 231200 ) FS ;
- FILLER_81_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 231200 ) FS ;
- FILLER_81_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 231200 ) FS ;
- FILLER_81_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 231200 ) FS ;
- FILLER_81_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 231200 ) FS ;
- FILLER_81_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 231200 ) FS ;
- FILLER_81_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 231200 ) FS ;
- FILLER_81_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 231200 ) FS ;
- FILLER_81_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 231200 ) FS ;
- FILLER_81_88 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 231200 ) FS ;
- FILLER_82_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 233920 ) N ;
- FILLER_82_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 233920 ) N ;
- FILLER_82_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 233920 ) N ;
- FILLER_82_121 sky130_fd_sc_hd__decap_6 + PLACED ( 61180 233920 ) N ;
- FILLER_82_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 233920 ) N ;
- FILLER_82_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 233920 ) N ;
- FILLER_82_141 sky130_fd_sc_hd__fill_1 + PLACED ( 70380 233920 ) N ;
- FILLER_82_146 sky130_fd_sc_hd__decap_8 + PLACED ( 72680 233920 ) N ;
- FILLER_82_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 233920 ) N ;
- FILLER_82_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 233920 ) N ;
- FILLER_82_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 233920 ) N ;
- FILLER_82_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 233920 ) N ;
- FILLER_82_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 233920 ) N ;
- FILLER_82_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 233920 ) N ;
- FILLER_82_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 233920 ) N ;
- FILLER_82_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 233920 ) N ;
- FILLER_82_209 sky130_fd_sc_hd__decap_6 + PLACED ( 101660 233920 ) N ;
- FILLER_82_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 233920 ) N ;
- FILLER_82_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 233920 ) N ;
- FILLER_82_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 233920 ) N ;
- FILLER_82_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 233920 ) N ;
- FILLER_82_231 sky130_fd_sc_hd__decap_8 + PLACED ( 111780 233920 ) N ;
- FILLER_82_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 233920 ) N ;
- FILLER_82_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 233920 ) N ;
- FILLER_82_257 sky130_fd_sc_hd__decap_6 + PLACED ( 123740 233920 ) N ;
- FILLER_82_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 233920 ) N ;
- FILLER_82_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 233920 ) N ;
- FILLER_82_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 233920 ) N ;
- FILLER_82_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 233920 ) N ;
- FILLER_82_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 233920 ) N ;
- FILLER_82_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 233920 ) N ;
- FILLER_82_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 233920 ) N ;
- FILLER_82_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 233920 ) N ;
- FILLER_82_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 233920 ) N ;
- FILLER_82_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 233920 ) N ;
- FILLER_82_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 233920 ) N ;
- FILLER_82_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 233920 ) N ;
- FILLER_82_325 sky130_fd_sc_hd__fill_1 + PLACED ( 155020 233920 ) N ;
- FILLER_82_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 233920 ) N ;
- FILLER_82_337 sky130_fd_sc_hd__fill_1 + PLACED ( 160540 233920 ) N ;
- FILLER_82_342 sky130_fd_sc_hd__decap_8 + PLACED ( 162840 233920 ) N ;
- FILLER_82_35 sky130_fd_sc_hd__decap_8 + PLACED ( 21620 233920 ) N ;
- FILLER_82_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 233920 ) N ;
- FILLER_82_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 233920 ) N ;
- FILLER_82_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 233920 ) N ;
- FILLER_82_373 sky130_fd_sc_hd__fill_1 + PLACED ( 177100 233920 ) N ;
- FILLER_82_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 233920 ) N ;
- FILLER_82_386 sky130_fd_sc_hd__decap_6 + PLACED ( 183080 233920 ) N ;
- FILLER_82_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 233920 ) N ;
- FILLER_82_405 sky130_fd_sc_hd__decap_6 + PLACED ( 191820 233920 ) N ;
- FILLER_82_415 sky130_fd_sc_hd__decap_4 + PLACED ( 196420 233920 ) N ;
- FILLER_82_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 233920 ) N ;
- FILLER_82_421 sky130_fd_sc_hd__fill_2 + PLACED ( 199180 233920 ) N ;
- FILLER_82_427 sky130_fd_sc_hd__decap_8 + PLACED ( 201940 233920 ) N ;
- FILLER_82_43 sky130_fd_sc_hd__fill_1 + PLACED ( 25300 233920 ) N ;
- FILLER_82_435 sky130_fd_sc_hd__fill_1 + PLACED ( 205620 233920 ) N ;
- FILLER_82_440 sky130_fd_sc_hd__decap_8 + PLACED ( 207920 233920 ) N ;
- FILLER_82_449 sky130_fd_sc_hd__fill_1 + PLACED ( 212060 233920 ) N ;
- FILLER_82_452 sky130_fd_sc_hd__decap_4 + PLACED ( 213440 233920 ) N ;
- FILLER_82_462 sky130_fd_sc_hd__decap_4 + PLACED ( 218040 233920 ) N ;
- FILLER_82_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 233920 ) N ;
- FILLER_82_477 sky130_fd_sc_hd__decap_4 + PLACED ( 224940 233920 ) N ;
- FILLER_82_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 233920 ) N ;
- FILLER_82_487 sky130_fd_sc_hd__decap_4 + PLACED ( 229540 233920 ) N ;
- FILLER_82_497 sky130_fd_sc_hd__decap_6 + PLACED ( 234140 233920 ) N ;
- FILLER_82_503 sky130_fd_sc_hd__fill_1 + PLACED ( 236900 233920 ) N ;
- FILLER_82_505 sky130_fd_sc_hd__fill_1 + PLACED ( 237820 233920 ) N ;
- FILLER_82_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 233920 ) N ;
- FILLER_82_61 sky130_fd_sc_hd__decap_6 + PLACED ( 33580 233920 ) N ;
- FILLER_82_67 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 233920 ) N ;
- FILLER_82_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 233920 ) N ;
- FILLER_82_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 233920 ) N ;
- FILLER_82_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 233920 ) N ;
- FILLER_82_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 233920 ) N ;
- FILLER_8_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 32640 ) N ;
- FILLER_8_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 32640 ) N ;
- FILLER_8_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 32640 ) N ;
- FILLER_8_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 32640 ) N ;
- FILLER_8_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 32640 ) N ;
- FILLER_8_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_fd_sc_hd__decap_8 + PLACED ( 70380 32640 ) N ;
- FILLER_8_149 sky130_fd_sc_hd__fill_2 + PLACED ( 74060 32640 ) N ;
- FILLER_8_170 sky130_fd_sc_hd__decap_4 + PLACED ( 83720 32640 ) N ;
- FILLER_8_176 sky130_fd_sc_hd__decap_12 + PLACED ( 86480 32640 ) N ;
- FILLER_8_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 32640 ) N ;
- FILLER_8_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_6 + PLACED ( 107180 32640 ) N ;
- FILLER_8_227 sky130_fd_sc_hd__fill_1 + PLACED ( 109940 32640 ) N ;
- FILLER_8_231 sky130_fd_sc_hd__decap_8 + PLACED ( 111780 32640 ) N ;
- FILLER_8_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 32640 ) N ;
- FILLER_8_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 32640 ) N ;
- FILLER_8_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 32640 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 32640 ) N ;
- FILLER_8_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 32640 ) N ;
- FILLER_8_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 32640 ) N ;
- FILLER_8_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 32640 ) N ;
- FILLER_8_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 32640 ) N ;
- FILLER_8_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 32640 ) N ;
- FILLER_8_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 32640 ) N ;
- FILLER_8_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 32640 ) N ;
- FILLER_8_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 32640 ) N ;
- FILLER_8_400 sky130_fd_sc_hd__decap_6 + PLACED ( 189520 32640 ) N ;
- FILLER_8_415 sky130_fd_sc_hd__decap_4 + PLACED ( 196420 32640 ) N ;
- FILLER_8_419 sky130_fd_sc_hd__fill_1 + PLACED ( 198260 32640 ) N ;
- FILLER_8_423 sky130_fd_sc_hd__decap_6 + PLACED ( 200100 32640 ) N ;
- FILLER_8_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 32640 ) N ;
- FILLER_8_431 sky130_fd_sc_hd__decap_4 + PLACED ( 203780 32640 ) N ;
- FILLER_8_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 32640 ) N ;
- FILLER_8_447 sky130_fd_sc_hd__decap_6 + PLACED ( 211140 32640 ) N ;
- FILLER_8_455 sky130_fd_sc_hd__decap_8 + PLACED ( 214820 32640 ) N ;
- FILLER_8_472 sky130_fd_sc_hd__decap_4 + PLACED ( 222640 32640 ) N ;
- FILLER_8_477 sky130_fd_sc_hd__fill_2 + PLACED ( 224940 32640 ) N ;
- FILLER_8_481 sky130_fd_sc_hd__decap_4 + PLACED ( 226780 32640 ) N ;
- FILLER_8_489 sky130_fd_sc_hd__decap_4 + PLACED ( 230460 32640 ) N ;
- FILLER_8_497 sky130_fd_sc_hd__decap_4 + PLACED ( 234140 32640 ) N ;
- FILLER_8_505 sky130_fd_sc_hd__decap_4 + PLACED ( 237820 32640 ) N ;
- FILLER_8_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 32640 ) N ;
- FILLER_8_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 32640 ) N ;
- FILLER_8_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 32640 ) N ;
- FILLER_8_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 32640 ) N ;
- FILLER_8_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 32640 ) N ;
- FILLER_8_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 32640 ) N ;
- FILLER_8_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 32640 ) N ;
- FILLER_8_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 32640 ) N ;
- FILLER_9_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 35360 ) FS ;
- FILLER_9_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 35360 ) FS ;
- FILLER_9_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
- FILLER_9_115 sky130_fd_sc_hd__decap_12 + PLACED ( 58420 35360 ) FS ;
- FILLER_9_127 sky130_fd_sc_hd__decap_12 + PLACED ( 63940 35360 ) FS ;
- FILLER_9_139 sky130_fd_sc_hd__decap_12 + PLACED ( 69460 35360 ) FS ;
- FILLER_9_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 35360 ) FS ;
- FILLER_9_151 sky130_fd_sc_hd__decap_12 + PLACED ( 74980 35360 ) FS ;
- FILLER_9_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 35360 ) FS ;
- FILLER_9_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 35360 ) FS ;
- FILLER_9_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 35360 ) FS ;
- FILLER_9_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 35360 ) FS ;
- FILLER_9_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 35360 ) FS ;
- FILLER_9_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 35360 ) FS ;
- FILLER_9_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 35360 ) FS ;
- FILLER_9_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 35360 ) FS ;
- FILLER_9_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 35360 ) FS ;
- FILLER_9_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 35360 ) FS ;
- FILLER_9_285 sky130_fd_sc_hd__fill_1 + PLACED ( 136620 35360 ) FS ;
- FILLER_9_288 sky130_fd_sc_hd__decap_12 + PLACED ( 138000 35360 ) FS ;
- FILLER_9_300 sky130_fd_sc_hd__decap_12 + PLACED ( 143520 35360 ) FS ;
- FILLER_9_312 sky130_fd_sc_hd__decap_12 + PLACED ( 149040 35360 ) FS ;
- FILLER_9_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 35360 ) FS ;
- FILLER_9_324 sky130_fd_sc_hd__decap_12 + PLACED ( 154560 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 35360 ) FS ;
- FILLER_9_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 35360 ) FS ;
- FILLER_9_362 sky130_fd_sc_hd__decap_8 + PLACED ( 172040 35360 ) FS ;
- FILLER_9_370 sky130_fd_sc_hd__fill_2 + PLACED ( 175720 35360 ) FS ;
- FILLER_9_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 35360 ) FS ;
- FILLER_9_382 sky130_fd_sc_hd__decap_8 + PLACED ( 181240 35360 ) FS ;
- FILLER_9_390 sky130_fd_sc_hd__fill_2 + PLACED ( 184920 35360 ) FS ;
- FILLER_9_395 sky130_fd_sc_hd__decap_8 + PLACED ( 187220 35360 ) FS ;
- FILLER_9_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 35360 ) FS ;
- FILLER_9_403 sky130_fd_sc_hd__fill_1 + PLACED ( 190900 35360 ) FS ;
- FILLER_9_406 sky130_fd_sc_hd__decap_4 + PLACED ( 192280 35360 ) FS ;
- FILLER_9_412 sky130_fd_sc_hd__decap_4 + PLACED ( 195040 35360 ) FS ;
- FILLER_9_418 sky130_fd_sc_hd__decap_4 + PLACED ( 197800 35360 ) FS ;
- FILLER_9_424 sky130_fd_sc_hd__decap_4 + PLACED ( 200560 35360 ) FS ;
- FILLER_9_430 sky130_fd_sc_hd__decap_4 + PLACED ( 203320 35360 ) FS ;
- FILLER_9_434 sky130_fd_sc_hd__fill_1 + PLACED ( 205160 35360 ) FS ;
- FILLER_9_437 sky130_fd_sc_hd__decap_4 + PLACED ( 206540 35360 ) FS ;
- FILLER_9_443 sky130_fd_sc_hd__decap_4 + PLACED ( 209300 35360 ) FS ;
- FILLER_9_447 sky130_fd_sc_hd__fill_1 + PLACED ( 211140 35360 ) FS ;
- FILLER_9_451 sky130_fd_sc_hd__decap_4 + PLACED ( 212980 35360 ) FS ;
- FILLER_9_457 sky130_fd_sc_hd__decap_4 + PLACED ( 215740 35360 ) FS ;
- FILLER_9_463 sky130_fd_sc_hd__decap_4 + PLACED ( 218500 35360 ) FS ;
- FILLER_9_469 sky130_fd_sc_hd__decap_4 + PLACED ( 221260 35360 ) FS ;
- FILLER_9_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 35360 ) FS ;
- FILLER_9_485 sky130_fd_sc_hd__decap_4 + PLACED ( 228620 35360 ) FS ;
- FILLER_9_489 sky130_fd_sc_hd__fill_1 + PLACED ( 230460 35360 ) FS ;
- FILLER_9_500 sky130_fd_sc_hd__decap_4 + PLACED ( 235520 35360 ) FS ;
- FILLER_9_505 sky130_fd_sc_hd__decap_3 + PLACED ( 237820 35360 ) FS ;
- FILLER_9_512 sky130_fd_sc_hd__decap_4 + PLACED ( 241040 35360 ) FS ;
- FILLER_9_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 35360 ) FS ;
- FILLER_9_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 35360 ) FS ;
- FILLER_9_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 35360 ) FS ;
- FILLER_9_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 35360 ) FS ;
- FILLER_9_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 35360 ) FS ;
- FILLER_9_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 35360 ) FS ;
- FILLER_9_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 35360 ) FS ;
- FILLER_9_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 27200 ) FN ;
- PHY_130 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 187680 ) FS ;
- PHY_131 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 187680 ) S ;
- PHY_132 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 190400 ) N ;
- PHY_133 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 190400 ) FN ;
- PHY_134 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 193120 ) FS ;
- PHY_135 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 193120 ) S ;
- PHY_136 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 195840 ) N ;
- PHY_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 195840 ) FN ;
- PHY_138 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 198560 ) FS ;
- PHY_139 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 198560 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_140 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 201280 ) N ;
- PHY_141 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 201280 ) FN ;
- PHY_142 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 204000 ) FS ;
- PHY_143 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 204000 ) S ;
- PHY_144 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 206720 ) N ;
- PHY_145 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 206720 ) FN ;
- PHY_146 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 209440 ) FS ;
- PHY_147 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 209440 ) S ;
- PHY_148 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 212160 ) N ;
- PHY_149 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 212160 ) FN ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 29920 ) S ;
- PHY_150 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 214880 ) FS ;
- PHY_151 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 214880 ) S ;
- PHY_152 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 217600 ) N ;
- PHY_153 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 217600 ) FN ;
- PHY_154 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 220320 ) FS ;
- PHY_155 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 220320 ) S ;
- PHY_156 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 223040 ) N ;
- PHY_157 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 223040 ) FN ;
- PHY_158 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 225760 ) FS ;
- PHY_159 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 225760 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_160 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 228480 ) N ;
- PHY_161 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 228480 ) FN ;
- PHY_162 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 231200 ) FS ;
- PHY_163 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 231200 ) S ;
- PHY_164 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 233920 ) N ;
- PHY_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 233920 ) FN ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 242880 144160 ) S ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 10880 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 10880 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 10880 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 10880 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 13600 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 13600 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 16320 ) N ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 16320 ) N ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 19040 ) FS ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 19040 ) FS ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 21760 ) N ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 21760 ) N ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 24480 ) FS ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 24480 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 27200 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 27200 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 29920 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 29920 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 32640 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 32640 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 35360 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 35360 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 38080 ) N ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 38080 ) N ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 40800 ) FS ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 40800 ) FS ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 43520 ) N ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 43520 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 46240 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 46240 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 48960 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 48960 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 51680 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 51680 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 54400 ) N ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 54400 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 57120 ) FS ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 57120 ) FS ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 59840 ) N ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 59840 ) N ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 62560 ) FS ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 62560 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 65280 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 65280 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 68000 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 68000 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 70720 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 70720 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 73440 ) FS ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 73440 ) FS ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 76160 ) N ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 76160 ) N ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 78880 ) FS ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 78880 ) FS ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 81600 ) N ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 81600 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 84320 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 84320 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 87040 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 87040 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 89760 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 89760 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 92480 ) N ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 92480 ) N ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 95200 ) FS ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 95200 ) FS ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 97920 ) N ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 97920 ) N ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 100640 ) FS ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 100640 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 103360 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 103360 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 106080 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 106080 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 108800 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 108800 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 111520 ) FS ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 111520 ) FS ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 114240 ) N ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 114240 ) N ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 116960 ) FS ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 116960 ) FS ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 119680 ) N ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 119680 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 122400 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 122400 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 125120 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 125120 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 127840 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 127840 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 130560 ) N ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 130560 ) N ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 133280 ) FS ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 133280 ) FS ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 136000 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 136000 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_599 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_600 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_601 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_602 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_603 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_604 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_605 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 138720 ) FS ;
- TAP_606 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 138720 ) FS ;
- TAP_607 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_608 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_609 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_610 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_611 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_612 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_613 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_614 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 141440 ) N ;
- TAP_615 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 141440 ) N ;
- TAP_616 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_617 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_618 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_619 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_620 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_621 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_622 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_623 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 144160 ) FS ;
- TAP_624 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 144160 ) FS ;
- TAP_625 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_626 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_627 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_628 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_629 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_630 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_631 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_632 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 146880 ) N ;
- TAP_633 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 146880 ) N ;
- TAP_634 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_635 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_636 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_637 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_638 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_639 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_640 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_641 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 149600 ) FS ;
- TAP_642 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 149600 ) FS ;
- TAP_643 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_644 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_645 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_646 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_647 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_648 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_649 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_650 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 152320 ) N ;
- TAP_651 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 152320 ) N ;
- TAP_652 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_653 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_654 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_655 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_656 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_657 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_658 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_659 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 155040 ) FS ;
- TAP_660 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 155040 ) FS ;
- TAP_661 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_662 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_663 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_664 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_665 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_666 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_667 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_668 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 157760 ) N ;
- TAP_669 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 157760 ) N ;
- TAP_670 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_671 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_672 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_673 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_674 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_675 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_676 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_677 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 160480 ) FS ;
- TAP_678 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 160480 ) FS ;
- TAP_679 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_680 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_681 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_682 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_683 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_684 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_685 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_686 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 163200 ) N ;
- TAP_687 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 163200 ) N ;
- TAP_688 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_689 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_690 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_691 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_692 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_693 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_694 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_695 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 165920 ) FS ;
- TAP_696 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 165920 ) FS ;
- TAP_697 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_698 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_699 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_700 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_701 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_702 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_703 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_704 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 168640 ) N ;
- TAP_705 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 168640 ) N ;
- TAP_706 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_707 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_708 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_709 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_710 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_711 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_712 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_713 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 171360 ) FS ;
- TAP_714 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 171360 ) FS ;
- TAP_715 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_716 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_717 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_718 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_719 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_720 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_721 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_722 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 174080 ) N ;
- TAP_723 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 174080 ) N ;
- TAP_724 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_725 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_726 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_727 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_728 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_729 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_730 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_731 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 176800 ) FS ;
- TAP_732 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 176800 ) FS ;
- TAP_733 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_734 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_735 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_736 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_737 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_738 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_739 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_740 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 179520 ) N ;
- TAP_741 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 179520 ) N ;
- TAP_742 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_743 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_744 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_745 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_746 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_747 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_748 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_749 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 182240 ) FS ;
- TAP_750 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 182240 ) FS ;
- TAP_751 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_752 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_753 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_754 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_755 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_756 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_757 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_758 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 184960 ) N ;
- TAP_759 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 184960 ) N ;
- TAP_760 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 187680 ) FS ;
- TAP_761 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 187680 ) FS ;
- TAP_762 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 187680 ) FS ;
- TAP_763 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 187680 ) FS ;
- TAP_764 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 187680 ) FS ;
- TAP_765 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 187680 ) FS ;
- TAP_766 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 187680 ) FS ;
- TAP_767 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 187680 ) FS ;
- TAP_768 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 187680 ) FS ;
- TAP_769 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 190400 ) N ;
- TAP_770 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 190400 ) N ;
- TAP_771 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 190400 ) N ;
- TAP_772 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 190400 ) N ;
- TAP_773 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 190400 ) N ;
- TAP_774 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 190400 ) N ;
- TAP_775 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 190400 ) N ;
- TAP_776 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 190400 ) N ;
- TAP_777 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 190400 ) N ;
- TAP_778 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 193120 ) FS ;
- TAP_779 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 193120 ) FS ;
- TAP_780 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 193120 ) FS ;
- TAP_781 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 193120 ) FS ;
- TAP_782 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 193120 ) FS ;
- TAP_783 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 193120 ) FS ;
- TAP_784 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 193120 ) FS ;
- TAP_785 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 193120 ) FS ;
- TAP_786 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 193120 ) FS ;
- TAP_787 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 195840 ) N ;
- TAP_788 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 195840 ) N ;
- TAP_789 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 195840 ) N ;
- TAP_790 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 195840 ) N ;
- TAP_791 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 195840 ) N ;
- TAP_792 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 195840 ) N ;
- TAP_793 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 195840 ) N ;
- TAP_794 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 195840 ) N ;
- TAP_795 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 195840 ) N ;
- TAP_796 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 198560 ) FS ;
- TAP_797 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 198560 ) FS ;
- TAP_798 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 198560 ) FS ;
- TAP_799 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 198560 ) FS ;
- TAP_800 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 198560 ) FS ;
- TAP_801 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 198560 ) FS ;
- TAP_802 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 198560 ) FS ;
- TAP_803 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 198560 ) FS ;
- TAP_804 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 198560 ) FS ;
- TAP_805 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 201280 ) N ;
- TAP_806 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 201280 ) N ;
- TAP_807 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 201280 ) N ;
- TAP_808 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 201280 ) N ;
- TAP_809 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 201280 ) N ;
- TAP_810 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 201280 ) N ;
- TAP_811 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 201280 ) N ;
- TAP_812 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 201280 ) N ;
- TAP_813 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 201280 ) N ;
- TAP_814 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 204000 ) FS ;
- TAP_815 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 204000 ) FS ;
- TAP_816 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 204000 ) FS ;
- TAP_817 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 204000 ) FS ;
- TAP_818 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 204000 ) FS ;
- TAP_819 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 204000 ) FS ;
- TAP_820 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 204000 ) FS ;
- TAP_821 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 204000 ) FS ;
- TAP_822 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 204000 ) FS ;
- TAP_823 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 206720 ) N ;
- TAP_824 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 206720 ) N ;
- TAP_825 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 206720 ) N ;
- TAP_826 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 206720 ) N ;
- TAP_827 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 206720 ) N ;
- TAP_828 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 206720 ) N ;
- TAP_829 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 206720 ) N ;
- TAP_830 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 206720 ) N ;
- TAP_831 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 206720 ) N ;
- TAP_832 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 209440 ) FS ;
- TAP_833 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 209440 ) FS ;
- TAP_834 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 209440 ) FS ;
- TAP_835 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 209440 ) FS ;
- TAP_836 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 209440 ) FS ;
- TAP_837 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 209440 ) FS ;
- TAP_838 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 209440 ) FS ;
- TAP_839 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 209440 ) FS ;
- TAP_840 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 209440 ) FS ;
- TAP_841 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 212160 ) N ;
- TAP_842 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 212160 ) N ;
- TAP_843 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 212160 ) N ;
- TAP_844 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 212160 ) N ;
- TAP_845 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 212160 ) N ;
- TAP_846 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 212160 ) N ;
- TAP_847 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 212160 ) N ;
- TAP_848 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 212160 ) N ;
- TAP_849 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 212160 ) N ;
- TAP_850 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 214880 ) FS ;
- TAP_851 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 214880 ) FS ;
- TAP_852 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 214880 ) FS ;
- TAP_853 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 214880 ) FS ;
- TAP_854 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 214880 ) FS ;
- TAP_855 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 214880 ) FS ;
- TAP_856 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 214880 ) FS ;
- TAP_857 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 214880 ) FS ;
- TAP_858 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 214880 ) FS ;
- TAP_859 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 217600 ) N ;
- TAP_860 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 217600 ) N ;
- TAP_861 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 217600 ) N ;
- TAP_862 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 217600 ) N ;
- TAP_863 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 217600 ) N ;
- TAP_864 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 217600 ) N ;
- TAP_865 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 217600 ) N ;
- TAP_866 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 217600 ) N ;
- TAP_867 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 217600 ) N ;
- TAP_868 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 220320 ) FS ;
- TAP_869 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 220320 ) FS ;
- TAP_870 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 220320 ) FS ;
- TAP_871 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 220320 ) FS ;
- TAP_872 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 220320 ) FS ;
- TAP_873 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 220320 ) FS ;
- TAP_874 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 220320 ) FS ;
- TAP_875 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 220320 ) FS ;
- TAP_876 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 220320 ) FS ;
- TAP_877 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 223040 ) N ;
- TAP_878 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 223040 ) N ;
- TAP_879 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 223040 ) N ;
- TAP_880 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 223040 ) N ;
- TAP_881 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 223040 ) N ;
- TAP_882 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 223040 ) N ;
- TAP_883 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 223040 ) N ;
- TAP_884 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 223040 ) N ;
- TAP_885 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 223040 ) N ;
- TAP_886 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 225760 ) FS ;
- TAP_887 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 225760 ) FS ;
- TAP_888 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 225760 ) FS ;
- TAP_889 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 225760 ) FS ;
- TAP_890 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 225760 ) FS ;
- TAP_891 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 225760 ) FS ;
- TAP_892 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 225760 ) FS ;
- TAP_893 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 225760 ) FS ;
- TAP_894 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 225760 ) FS ;
- TAP_895 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 228480 ) N ;
- TAP_896 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 228480 ) N ;
- TAP_897 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 228480 ) N ;
- TAP_898 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 228480 ) N ;
- TAP_899 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 228480 ) N ;
- TAP_900 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 228480 ) N ;
- TAP_901 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 228480 ) N ;
- TAP_902 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 228480 ) N ;
- TAP_903 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 228480 ) N ;
- TAP_904 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 231200 ) FS ;
- TAP_905 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 231200 ) FS ;
- TAP_906 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 231200 ) FS ;
- TAP_907 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 231200 ) FS ;
- TAP_908 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 231200 ) FS ;
- TAP_909 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 231200 ) FS ;
- TAP_910 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 231200 ) FS ;
- TAP_911 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 231200 ) FS ;
- TAP_912 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 231200 ) FS ;
- TAP_913 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 233920 ) N ;
- TAP_914 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 233920 ) N ;
- TAP_915 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 233920 ) N ;
- TAP_916 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 233920 ) N ;
- TAP_917 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 233920 ) N ;
- TAP_918 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 233920 ) N ;
- TAP_919 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 233920 ) N ;
- TAP_920 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 233920 ) N ;
- TAP_921 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 233920 ) N ;
- TAP_922 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 233920 ) N ;
- TAP_923 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 233920 ) N ;
- TAP_924 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 233920 ) N ;
- TAP_925 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 233920 ) N ;
- TAP_926 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 233920 ) N ;
- TAP_927 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 233920 ) N ;
- TAP_928 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 233920 ) N ;
- TAP_929 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 233920 ) N ;
- TAP_930 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 233920 ) N ;
- _100_ sky130_fd_sc_hd__or3_4 + PLACED ( 233680 130560 ) N ;
- _101_ sky130_fd_sc_hd__buf_2 + PLACED ( 91080 155040 ) S ;
- _102_ sky130_fd_sc_hd__or4_4 + PLACED ( 12420 54400 ) FN ;
- _103_ sky130_fd_sc_hd__buf_2 + PLACED ( 168360 10880 ) FN ;
- _104_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 50140 57120 ) S ;
- _105_ sky130_fd_sc_hd__o22a_1 + PLACED ( 237820 182240 ) S ;
- _106_ sky130_fd_sc_hd__nor2_2 + PLACED ( 238740 171360 ) FS ;
- _107_ sky130_fd_sc_hd__nand2_2 + PLACED ( 126500 57120 ) S ;
- _108_ sky130_fd_sc_hd__or4_4 + PLACED ( 124660 92480 ) N ;
- _109_ sky130_fd_sc_hd__or4_4 + PLACED ( 57500 24480 ) S ;
- _110_ sky130_fd_sc_hd__or2_2 + PLACED ( 237820 198560 ) FS ;
- _111_ sky130_fd_sc_hd__or4_4 + PLACED ( 47840 127840 ) FS ;
- _112_ sky130_fd_sc_hd__or4_1 + PLACED ( 195500 100640 ) FS ;
- _113_ sky130_fd_sc_hd__or4_4 + PLACED ( 132940 228480 ) N ;
- _114_ sky130_fd_sc_hd__or4_2 + PLACED ( 213440 97920 ) N ;
- _115_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 224940 223040 ) N ;
- _116_ sky130_fd_sc_hd__or4b_4 + PLACED ( 174340 57120 ) S ;
- _117_ sky130_fd_sc_hd__or4_4 + PLACED ( 51060 59840 ) N ;
- _118_ sky130_fd_sc_hd__or3_4 + PLACED ( 80960 27200 ) N ;
- _119_ sky130_fd_sc_hd__inv_8 + PLACED ( 121900 206720 ) FN ;
- _120_ sky130_fd_sc_hd__inv_6 + PLACED ( 31280 163200 ) N ;
- _121_ sky130_fd_sc_hd__or3b_4 + PLACED ( 190440 48960 ) N ;
- _122_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 95200 ) S ;
- _123_ sky130_fd_sc_hd__or4_4 + PLACED ( 122360 193120 ) FS ;
- _124_ sky130_fd_sc_hd__or3_4 + PLACED ( 173420 76160 ) N ;
- _125_ sky130_fd_sc_hd__buf_6 + PLACED ( 201020 182240 ) FS ;
- _126_ sky130_fd_sc_hd__inv_4 + PLACED ( 237360 184960 ) FN ;
- _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 123280 89760 ) FS ;
- _128_ sky130_fd_sc_hd__buf_6 + PLACED ( 171120 133280 ) FS ;
- _129_ sky130_fd_sc_hd__inv_6 + PLACED ( 230460 138720 ) S ;
- _130_ sky130_fd_sc_hd__buf_6 + PLACED ( 119140 95200 ) FS ;
- _131_ sky130_fd_sc_hd__buf_6 + PLACED ( 28060 76160 ) N ;
- _132_ sky130_fd_sc_hd__o221a_4 + PLACED ( 200560 70720 ) N ;
- _133_ sky130_fd_sc_hd__buf_6 + PLACED ( 26680 81600 ) N ;
- _134_ sky130_fd_sc_hd__o221a_1 + PLACED ( 86020 141440 ) FN ;
- _135_ sky130_fd_sc_hd__o221a_4 + PLACED ( 126960 87040 ) FN ;
- _136_ sky130_fd_sc_hd__o221a_1 + PLACED ( 63940 233920 ) N ;
- _137_ sky130_fd_sc_hd__o221a_1 + PLACED ( 51060 144160 ) FS ;
- _138_ sky130_fd_sc_hd__buf_8 + PLACED ( 9200 87040 ) FN ;
- _139_ sky130_fd_sc_hd__buf_6 + PLACED ( 195960 155040 ) FS ;
- _140_ sky130_fd_sc_hd__buf_8 + PLACED ( 22540 78880 ) FS ;
- _141_ sky130_fd_sc_hd__o221a_1 + PLACED ( 192280 32640 ) FN ;
- _142_ sky130_fd_sc_hd__buf_8 + PLACED ( 151800 214880 ) S ;
- _143_ sky130_fd_sc_hd__buf_6 + PLACED ( 186300 165920 ) FS ;
- _144_ sky130_fd_sc_hd__o221a_4 + PLACED ( 233680 16320 ) N ;
- _145_ sky130_fd_sc_hd__o221a_2 + PLACED ( 121900 163200 ) N ;
- _146_ sky130_fd_sc_hd__o221a_1 + PLACED ( 147660 168640 ) FN ;
- _147_ sky130_fd_sc_hd__o221a_4 + PLACED ( 12420 160480 ) S ;
- _148_ sky130_fd_sc_hd__buf_6 + PLACED ( 199180 38080 ) FN ;
- _149_ sky130_fd_sc_hd__buf_6 + PLACED ( 31740 73440 ) FS ;
- _150_ sky130_fd_sc_hd__o221a_2 + PLACED ( 213900 57120 ) S ;
- _151_ sky130_fd_sc_hd__buf_8 + PLACED ( 223100 35360 ) FS ;
- _152_ sky130_fd_sc_hd__o221a_2 + PLACED ( 61640 100640 ) FS ;
- _153_ sky130_fd_sc_hd__o221a_2 + PLACED ( 27600 223040 ) FN ;
- _154_ sky130_fd_sc_hd__o221a_2 + PLACED ( 216660 76160 ) N ;
- _155_ sky130_fd_sc_hd__o221a_1 + PLACED ( 113160 51680 ) S ;
- _156_ sky130_fd_sc_hd__buf_8 + PLACED ( 203320 165920 ) FS ;
- _157_ sky130_fd_sc_hd__buf_8 + PLACED ( 92460 35360 ) S ;
- _158_ sky130_fd_sc_hd__o221a_1 + PLACED ( 236900 228480 ) N ;
- _159_ sky130_fd_sc_hd__buf_6 + PLACED ( 141220 174080 ) N ;
- _160_ sky130_fd_sc_hd__o221a_1 + PLACED ( 30820 190400 ) FN ;
- _161_ sky130_fd_sc_hd__o221a_2 + PLACED ( 100280 68000 ) FS ;
- _162_ sky130_fd_sc_hd__o221a_4 + PLACED ( 233680 168640 ) N ;
- _163_ sky130_fd_sc_hd__o221a_2 + PLACED ( 23920 144160 ) S ;
- _164_ sky130_fd_sc_hd__buf_6 + PLACED ( 92000 84320 ) FS ;
- _165_ sky130_fd_sc_hd__buf_6 + PLACED ( 115460 70720 ) N ;
- _166_ sky130_fd_sc_hd__o221a_2 + PLACED ( 22540 138720 ) FS ;
- _167_ sky130_fd_sc_hd__buf_6 + PLACED ( 60260 228480 ) FN ;
- _168_ sky130_fd_sc_hd__o221a_1 + PLACED ( 19780 152320 ) N ;
- _169_ sky130_fd_sc_hd__o221a_1 + PLACED ( 18860 54400 ) N ;
- _170_ sky130_fd_sc_hd__o221a_4 + PLACED ( 176180 228480 ) N ;
- _171_ sky130_fd_sc_hd__o221a_1 + PLACED ( 140760 201280 ) N ;
- _172_ sky130_fd_sc_hd__buf_6 + PLACED ( 84640 127840 ) FS ;
- _173_ sky130_fd_sc_hd__buf_6 + PLACED ( 12880 40800 ) S ;
- _174_ sky130_fd_sc_hd__o221a_2 + PLACED ( 155940 168640 ) FN ;
- _175_ sky130_fd_sc_hd__buf_4 + PLACED ( 107180 114240 ) N ;
- _176_ sky130_fd_sc_hd__o221a_4 + PLACED ( 139840 40800 ) S ;
- _177_ sky130_fd_sc_hd__o221a_2 + PLACED ( 183080 125120 ) FN ;
- _178_ sky130_fd_sc_hd__o221a_2 + PLACED ( 218500 32640 ) N ;
- _179_ sky130_fd_sc_hd__o221a_1 + PLACED ( 121900 103360 ) N ;
- _180_ sky130_fd_sc_hd__o221a_2 + PLACED ( 153640 73440 ) S ;
- _181_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8280 59840 ) FN ;
- _182_ sky130_fd_sc_hd__o221a_4 + PLACED ( 225400 187680 ) FS ;
- _183_ sky130_fd_sc_hd__nor4_2 + PLACED ( 230920 35360 ) S ;
- _184_ sky130_fd_sc_hd__nand2_1 + PLACED ( 97060 111520 ) S ;
- _185_ sky130_fd_sc_hd__or4b_4 + PLACED ( 121900 54400 ) FN ;
- _186_ sky130_fd_sc_hd__inv_6 + PLACED ( 62560 48960 ) FN ;
- _187_ sky130_fd_sc_hd__buf_8 + PLACED ( 212060 127840 ) FS ;
- _188_ sky130_fd_sc_hd__buf_6 + PLACED ( 83720 51680 ) FS ;
- _189_ sky130_fd_sc_hd__or3_4 + PLACED ( 199180 157760 ) N ;
- _190_ sky130_fd_sc_hd__inv_8 + PLACED ( 18860 184960 ) N ;
- _191_ sky130_fd_sc_hd__clkbuf_16 + PLACED ( 213900 144160 ) FS ;
- _192_ sky130_fd_sc_hd__buf_6 + PLACED ( 128340 84320 ) FS ;
- _193_ sky130_fd_sc_hd__a22o_4 + PLACED ( 213440 43520 ) FN ;
- _194_ sky130_fd_sc_hd__a22o_1 + PLACED ( 57040 59840 ) FN ;
- _195_ sky130_fd_sc_hd__a22o_2 + PLACED ( 84180 54400 ) FN ;
- _196_ sky130_fd_sc_hd__a22o_2 + PLACED ( 192740 19040 ) S ;
- _197_ sky130_fd_sc_hd__a22o_4 + PLACED ( 113620 209440 ) FS ;
- _198_ sky130_fd_sc_hd__buf_6 + PLACED ( 205620 111520 ) FS ;
- _199_ sky130_fd_sc_hd__buf_8 + PLACED ( 52900 27200 ) FN ;
- _200_ sky130_fd_sc_hd__a22o_4 + PLACED ( 193200 187680 ) FS ;
- _201_ sky130_fd_sc_hd__a22o_2 + PLACED ( 52900 119680 ) FN ;
- _202_ sky130_fd_sc_hd__a22o_4 + PLACED ( 184920 119680 ) N ;
- _203_ sky130_fd_sc_hd__a22o_4 + PLACED ( 206080 65280 ) N ;
- _204_ sky130_fd_sc_hd__a22o_4 + PLACED ( 145820 144160 ) FS ;
- _205_ sky130_fd_sc_hd__buf_4 + PLACED ( 148580 152320 ) FN ;
- _206_ sky130_fd_sc_hd__buf_4 + PLACED ( 213900 62560 ) S ;
- _207_ sky130_fd_sc_hd__a22o_2 + PLACED ( 134780 84320 ) S ;
- _208_ sky130_fd_sc_hd__a22o_2 + PLACED ( 77280 89760 ) S ;
- _209_ sky130_fd_sc_hd__a22o_2 + PLACED ( 102120 87040 ) FN ;
- _210_ sky130_fd_sc_hd__a22o_2 + PLACED ( 43700 122400 ) S ;
- _211_ sky130_fd_sc_hd__a22o_4 + PLACED ( 226320 136000 ) N ;
- _212_ sky130_fd_sc_hd__buf_6 + PLACED ( 70380 152320 ) N ;
- _213_ sky130_fd_sc_hd__buf_6 + PLACED ( 115460 32640 ) FN ;
- _214_ sky130_fd_sc_hd__a22o_2 + PLACED ( 148580 70720 ) FN ;
- _215_ sky130_fd_sc_hd__a22o_4 + PLACED ( 97520 212160 ) N ;
- _216_ sky130_fd_sc_hd__a22o_4 + PLACED ( 126040 225760 ) FS ;
- _217_ sky130_fd_sc_hd__a22o_4 + PLACED ( 47840 231200 ) FS ;
- _218_ sky130_fd_sc_hd__a22o_2 + PLACED ( 124200 59840 ) FN ;
- _219_ sky130_fd_sc_hd__buf_6 + PLACED ( 32200 95200 ) S ;
- _220_ sky130_fd_sc_hd__buf_8 + PLACED ( 27140 228480 ) FN ;
- _221_ sky130_fd_sc_hd__a22o_4 + PLACED ( 176640 174080 ) N ;
- _222_ sky130_fd_sc_hd__a22o_2 + PLACED ( 11040 195840 ) N ;
- _223_ sky130_fd_sc_hd__a22o_1 + PLACED ( 111780 38080 ) FN ;
- _224_ sky130_fd_sc_hd__a22o_2 + PLACED ( 6900 160480 ) FS ;
- _225_ sky130_fd_sc_hd__a22o_2 + PLACED ( 7360 138720 ) FS ;
- _226_ sky130_fd_sc_hd__buf_6 + PLACED ( 94760 155040 ) S ;
- _227_ sky130_fd_sc_hd__buf_8 + PLACED ( 194120 193120 ) S ;
- _228_ sky130_fd_sc_hd__a22o_2 + PLACED ( 212060 10880 ) FN ;
- _229_ sky130_fd_sc_hd__a22o_4 + PLACED ( 173420 179520 ) N ;
- _230_ sky130_fd_sc_hd__a22o_2 + PLACED ( 134780 89760 ) S ;
- _231_ sky130_fd_sc_hd__a22o_2 + PLACED ( 133400 92480 ) FN ;
- _232_ sky130_fd_sc_hd__a22o_2 + PLACED ( 12880 138720 ) S ;
- _233_ sky130_fd_sc_hd__a22o_1 + PLACED ( 17480 78880 ) FS ;
- _234_ sky130_fd_sc_hd__a22o_4 + PLACED ( 72680 225760 ) S ;
- _235_ sky130_fd_sc_hd__conb_1 + PLACED ( 51520 141440 ) N ;
- _236_ sky130_fd_sc_hd__conb_1 + PLACED ( 134320 206720 ) N ;
- _237_ sky130_fd_sc_hd__conb_1 + PLACED ( 56120 195840 ) N ;
- _238_ sky130_fd_sc_hd__conb_1 + PLACED ( 54280 146880 ) N ;
- _239_ sky130_fd_sc_hd__conb_1 + PLACED ( 110400 32640 ) FN ;
- _240_ sky130_fd_sc_hd__conb_1 + PLACED ( 44620 171360 ) FS ;
- _241_ sky130_fd_sc_hd__conb_1 + PLACED ( 230460 116960 ) S ;
- _242_ sky130_fd_sc_hd__conb_1 + PLACED ( 38640 51680 ) FS ;
- _243_ sky130_fd_sc_hd__conb_1 + PLACED ( 134780 57120 ) S ;
- _244_ sky130_fd_sc_hd__conb_1 + PLACED ( 18860 130560 ) N ;
- _245_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 122400 ) S ;
- _246_ sky130_fd_sc_hd__conb_1 + PLACED ( 31740 201280 ) N ;
- _247_ sky130_fd_sc_hd__conb_1 + PLACED ( 186300 220320 ) S ;
- _248_ sky130_fd_sc_hd__conb_1 + PLACED ( 228160 165920 ) S ;
- _249_ sky130_fd_sc_hd__conb_1 + PLACED ( 103500 201280 ) FN ;
- _250_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 214880 ) S ;
- _251_ sky130_fd_sc_hd__conb_1 + PLACED ( 121440 57120 ) S ;
- _252_ sky130_fd_sc_hd__conb_1 + PLACED ( 118220 168640 ) FN ;
- _253_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 160480 ) S ;
- _254_ sky130_fd_sc_hd__conb_1 + PLACED ( 147660 136000 ) FN ;
- _255_ sky130_fd_sc_hd__conb_1 + PLACED ( 205160 130560 ) FN ;
- _256_ sky130_fd_sc_hd__conb_1 + PLACED ( 182160 76160 ) FN ;
- _257_ sky130_fd_sc_hd__conb_1 + PLACED ( 137080 59840 ) FN ;
- _258_ sky130_fd_sc_hd__conb_1 + PLACED ( 191820 127840 ) S ;
- _259_ sky130_fd_sc_hd__conb_1 + PLACED ( 17940 127840 ) FS ;
- _260_ sky130_fd_sc_hd__conb_1 + PLACED ( 229540 217600 ) FN ;
- _261_ sky130_fd_sc_hd__conb_1 + PLACED ( 173420 70720 ) FN ;
- _262_ sky130_fd_sc_hd__conb_1 + PLACED ( 215280 24480 ) S ;
- _263_ sky130_fd_sc_hd__conb_1 + PLACED ( 130180 81600 ) FN ;
- _264_ sky130_fd_sc_hd__conb_1 + PLACED ( 85560 48960 ) N ;
- _265_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 216660 95200 ) S ;
- _266_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 28980 103360 ) N ;
- _267_ sky130_fd_sc_hd__buf_2 + PLACED ( 117760 114240 ) N ;
- _268_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 132020 184960 ) N ;
- _269_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 169280 35360 ) FS ;
- _270_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 24480 ) S ;
- _271_ sky130_fd_sc_hd__buf_2 + PLACED ( 166980 32640 ) N ;
- _272_ sky130_fd_sc_hd__buf_2 + PLACED ( 109020 78880 ) FS ;
- _273_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 185840 27200 ) N ;
- _274_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 179860 206720 ) N ;
- _275_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 179400 35360 ) FS ;
- _276_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 155480 233920 ) N ;
- _277_ sky130_fd_sc_hd__buf_4 + PLACED ( 63940 176800 ) FS ;
- _278_ sky130_fd_sc_hd__buf_2 + PLACED ( 232760 21760 ) N ;
- _279_ sky130_fd_sc_hd__buf_2 + PLACED ( 75440 195840 ) N ;
- _280_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 223040 ) FN ;
- _281_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 124660 149600 ) S ;
- _282_ sky130_fd_sc_hd__buf_2 + PLACED ( 188140 146880 ) FN ;
- _283_ sky130_fd_sc_hd__buf_2 + PLACED ( 93840 116960 ) FS ;
- _284_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 23000 209440 ) S ;
- _285_ sky130_fd_sc_hd__buf_2 + PLACED ( 78200 190400 ) N ;
- _286_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 162380 165920 ) FS ;
- _287_ sky130_fd_sc_hd__buf_2 + PLACED ( 225400 62560 ) FS ;
- _288_ sky130_fd_sc_hd__buf_2 + PLACED ( 166980 29920 ) FS ;
- _289_ sky130_fd_sc_hd__buf_4 + PLACED ( 88320 198560 ) FS ;
- _290_ sky130_fd_sc_hd__buf_4 + PLACED ( 89700 54400 ) N ;
- _291_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 188140 204000 ) FS ;
- _292_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 167440 127840 ) FS ;
- _293_ sky130_fd_sc_hd__buf_4 + PLACED ( 41860 62560 ) S ;
- _294_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 230000 157760 ) N ;
- _295_ sky130_fd_sc_hd__buf_2 + PLACED ( 218500 193120 ) FS ;
- _296_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 87860 95200 ) FS ;
- _297_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 165920 ) FS ;
- _298_ sky130_fd_sc_hd__buf_2 + PLACED ( 208840 217600 ) FN ;
- _299_ sky130_fd_sc_hd__buf_4 + PLACED ( 166980 43520 ) FN ;
- _300_ sky130_fd_sc_hd__buf_4 + PLACED ( 186760 68000 ) S ;
- _301_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 177100 220320 ) S ;
- _302_ sky130_fd_sc_hd__buf_4 + PLACED ( 194120 13600 ) S ;
- _303_ sky130_fd_sc_hd__buf_2 + PLACED ( 57500 57120 ) S ;
- _304_ sky130_fd_sc_hd__buf_2 + PLACED ( 201020 220320 ) S ;
- _305_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 212060 92480 ) FN ;
- _306_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 201280 ) FN ;
- _307_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 33580 155040 ) FS ;
- _308_ sky130_fd_sc_hd__buf_4 + PLACED ( 203320 57120 ) S ;
- _309_ sky130_fd_sc_hd__buf_2 + PLACED ( 201020 195840 ) FN ;
- _310_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 155020 13600 ) S ;
- _311_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149960 179520 ) FN ;
- _312_ sky130_fd_sc_hd__buf_2 + PLACED ( 48760 89760 ) FS ;
- _313_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 20700 38080 ) FN ;
- _314_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 161000 157760 ) FN ;
- _315_ sky130_fd_sc_hd__buf_2 + PLACED ( 75440 68000 ) FS ;
- _316_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59340 155040 ) FS ;
- _317_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 208380 32640 ) FN ;
- _318_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 137540 111520 ) S ;
- _319_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 125580 133280 ) S ;
- _320_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 230460 225760 ) S ;
- _321_ sky130_fd_sc_hd__buf_2 + PLACED ( 141220 54400 ) FN ;
- _322_ sky130_fd_sc_hd__buf_2 + PLACED ( 126960 70720 ) N ;
- _323_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 138720 ) FS ;
- _324_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 233920 ) N ;
- _325_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 219880 27200 ) FN ;
- _326_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 191820 190400 ) FN ;
- _327_ sky130_fd_sc_hd__buf_2 + PLACED ( 142140 46240 ) FS ;
- _328_ sky130_fd_sc_hd__buf_2 + PLACED ( 31740 160480 ) FS ;
- _329_ sky130_fd_sc_hd__buf_2 + PLACED ( 44620 179520 ) N ;
- _330_ sky130_fd_sc_hd__buf_2 + PLACED ( 147660 48960 ) N ;
- _331_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 218040 182240 ) S ;
- _332_ sky130_fd_sc_hd__buf_2 + PLACED ( 116840 111520 ) FS ;
- _333_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 149600 ) FS ;
- _334_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 221260 127840 ) S ;
- _335_ sky130_fd_sc_hd__buf_4 + PLACED ( 34500 46240 ) S ;
- _336_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 44160 89760 ) FS ;
- _337_ sky130_fd_sc_hd__buf_2 + PLACED ( 70380 146880 ) N ;
- _338_ sky130_fd_sc_hd__buf_2 + PLACED ( 111780 165920 ) S ;
- _339_ sky130_fd_sc_hd__buf_2 + PLACED ( 197800 127840 ) FS ;
- _340_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 158700 103360 ) FN ;
- _341_ sky130_fd_sc_hd__buf_2 + PLACED ( 25760 141440 ) N ;
- _342_ sky130_fd_sc_hd__buf_2 + PLACED ( 91540 228480 ) N ;
- _343_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 103360 ) N ;
- _344_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24840 106080 ) FS ;
- _345_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 136620 231200 ) S ;
- _346_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 202400 65280 ) FN ;
- _347_ sky130_fd_sc_hd__buf_2 + PLACED ( 82800 217600 ) N ;
- _348_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 39560 201280 ) N ;
- _349_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 170660 29920 ) S ;
- _350_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 102120 19040 ) S ;
- _351_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 150880 116960 ) S ;
- _352_ sky130_fd_sc_hd__buf_2 + PLACED ( 71300 176800 ) FS ;
- _353_ sky130_fd_sc_hd__buf_2 + PLACED ( 156400 165920 ) S ;
- _354_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 218960 29920 ) S ;
- _355_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 225400 16320 ) FN ;
- _356_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 201940 223040 ) N ;
- _357_ sky130_fd_sc_hd__buf_2 + PLACED ( 138000 217600 ) FN ;
- _358_ sky130_fd_sc_hd__buf_2 + PLACED ( 18400 111520 ) S ;
- _359_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 73600 100640 ) FS ;
- _360_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 149040 40800 ) FS ;
- _361_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 179520 ) N ;
- _362_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 49680 76160 ) N ;
- _363_ sky130_fd_sc_hd__buf_2 + PLACED ( 222640 95200 ) S ;
- _364_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 33580 214880 ) S ;
- _365_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 116380 89760 ) FS ;
- _366_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 87860 13600 ) S ;
- _367_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 77280 127840 ) FS ;
- _368_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 144160 ) FS ;
- _369_ sky130_fd_sc_hd__buf_4 + PLACED ( 31740 220320 ) S ;
- _370_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 213900 198560 ) S ;
- _371_ sky130_fd_sc_hd__buf_2 + PLACED ( 218960 157760 ) FN ;
- _372_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 191360 92480 ) FN ;
- _373_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 207920 57120 ) S ;
- _374_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 46460 163200 ) N ;
- _375_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 148580 146880 ) N ;
- _376_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 230000 160480 ) FS ;
- _377_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 201020 43520 ) FN ;
- _378_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 72220 59840 ) N ;
- _379_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 66700 13600 ) FS ;
- _380_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 123740 65280 ) N ;
- _381_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 123740 81600 ) N ;
- _382_ sky130_fd_sc_hd__buf_2 + PLACED ( 140300 198560 ) FS ;
- _383_ sky130_fd_sc_hd__buf_2 + PLACED ( 142140 193120 ) FS ;
- _384_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 121900 133280 ) FS ;
- _385_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 201020 225760 ) FS ;
- _386_ sky130_fd_sc_hd__buf_2 + PLACED ( 11040 46240 ) S ;
- _387_ sky130_fd_sc_hd__buf_2 + PLACED ( 9200 76160 ) FN ;
- _388_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 142140 21760 ) FN ;
- _389_ sky130_fd_sc_hd__buf_2 + PLACED ( 215740 171360 ) S ;
- _390_ sky130_fd_sc_hd__buf_2 + PLACED ( 25760 89760 ) S ;
- _391_ sky130_fd_sc_hd__buf_2 + PLACED ( 230000 127840 ) FS ;
- _392_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 162380 133280 ) FS ;
- _393_ sky130_fd_sc_hd__buf_4 + PLACED ( 30360 217600 ) FN ;
- _394_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 99360 217600 ) N ;
- _395_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 201480 127840 ) S ;
- _396_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 140300 38080 ) FN ;
- _397_ sky130_fd_sc_hd__buf_2 + PLACED ( 152260 195840 ) N ;
- _398_ sky130_fd_sc_hd__buf_2 + PLACED ( 119140 182240 ) FS ;
- _399_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 117760 214880 ) FS ;
- _400_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59800 16320 ) N ;
- _401_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 87400 65280 ) N ;
- _402_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 38080 ) N ;
- _403_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 54400 ) N ;
- _404_ sky130_fd_sc_hd__buf_2 + PLACED ( 162380 190400 ) N ;
- _405_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 33580 108800 ) FN ;
- _406_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 32640 ) FN ;
- _407_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 236440 103360 ) FN ;
- _408_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 164220 119680 ) N ;
- _409_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 164680 51680 ) FS ;
- _410_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 156860 81600 ) N ;
- _411_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 97980 168640 ) N ;
- _412_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 227240 38080 ) N ;
- _413_ sky130_fd_sc_hd__buf_2 + PLACED ( 49680 51680 ) FS ;
- _414_ sky130_fd_sc_hd__buf_2 + PLACED ( 69000 78880 ) FS ;
- _415_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 152260 92480 ) N ;
- _416_ sky130_fd_sc_hd__buf_4 + PLACED ( 65320 201280 ) N ;
- _417_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 143520 32640 ) N ;
- _418_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 138920 228480 ) N ;
- _419_ sky130_fd_sc_hd__buf_2 + PLACED ( 149500 133280 ) FS ;
- _420_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 162380 127840 ) FS ;
- _421_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 40800 ) FS ;
- _422_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 188140 138720 ) FS ;
- _423_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 212060 141440 ) N ;
- _424_ sky130_fd_sc_hd__buf_4 + PLACED ( 111320 223040 ) N ;
- _425_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 153180 54400 ) N ;
- _426_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 97980 125120 ) N ;
- _427_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 9200 84320 ) S ;
- _428_ sky130_fd_sc_hd__buf_2 + PLACED ( 213440 176800 ) FS ;
- _429_ sky130_fd_sc_hd__buf_4 + PLACED ( 65780 198560 ) FS ;
- _430_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 145820 29920 ) FS ;
- _431_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 188140 51680 ) FS ;
- _432_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 78200 16320 ) N ;
- _433_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 97980 179520 ) N ;
- _434_ sky130_fd_sc_hd__buf_4 + PLACED ( 46920 152320 ) FN ;
- _435_ sky130_fd_sc_hd__buf_4 + PLACED ( 37720 174080 ) FN ;
- _436_ sky130_fd_sc_hd__buf_2 + PLACED ( 173880 130560 ) N ;
- _437_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 116380 19040 ) FS ;
- _438_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 206080 231200 ) FS ;
- _439_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 97920 ) N ;
- _440_ sky130_fd_sc_hd__mux2_1 + PLACED ( 97060 103360 ) FN ;
- _441_ sky130_fd_sc_hd__mux2_8 + PLACED ( 129720 212160 ) N ;
- _442_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 224940 87040 ) FN ;
- _443_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 96140 43520 ) FN ;
- _444_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 83260 116960 ) FS ;
- _445_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 64400 29920 ) S ;
- _446_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 166520 116960 ) S ;
- _447_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 91540 51680 ) S ;
- _448_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 49680 125120 ) FN ;
- _449_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 22540 146880 ) FN ;
- _450_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 126040 43520 ) FN ;
- _451_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 187220 209440 ) FS ;
- _452_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 9660 89760 ) S ;
- _453_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 88320 89760 ) S ;
- _454_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 54740 201280 ) N ;
- _455_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 64400 220320 ) FS ;
- _456_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 115920 187680 ) FS ;
- _457_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 33120 116960 ) FS ;
- _458_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 152260 146880 ) N ;
- _459_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 12880 165920 ) S ;
- _460_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 226780 155040 ) S ;
- _461_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 75440 108800 ) N ;
- _462_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 62560 111520 ) FS ;
- _463_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 166520 220320 ) S ;
- _464_ sky130_fd_sc_hd__dfxtp_2 + PLACED ( 97980 204000 ) FS ;
- _465_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 74980 32640 ) N ;
- _466_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 227240 65280 ) N ;
- _467_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 102580 174080 ) FN ;
- _468_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 223560 84320 ) FS ;
- _469_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 97520 138720 ) S ;
- _470_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 178480 38080 ) FN ;
- _471_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 98440 136000 ) N ;
- _472_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 138000 209440 ) FS ;
- _473_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 58420 223040 ) N ;
- _474_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 44620 176800 ) S ;
- _475_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 103960 217600 ) FN ;
- _476_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 150420 157760 ) FN ;
- input1 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 236440 21760 ) N ;
- input10 sky130_fd_sc_hd__clkbuf_8 + PLACED ( 230460 10880 ) FN ;
- input100 sky130_fd_sc_hd__buf_4 + PLACED ( 18400 24480 ) FS ;
- input101 sky130_fd_sc_hd__buf_4 + PLACED ( 18860 27200 ) N ;
- input102 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 27200 ) N ;
- input103 sky130_fd_sc_hd__buf_6 + PLACED ( 6900 21760 ) N ;
- input104 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 23000 24480 ) S ;
- input105 sky130_fd_sc_hd__buf_4 + PLACED ( 23460 27200 ) FN ;
- input106 sky130_fd_sc_hd__buf_4 + PLACED ( 31740 24480 ) FS ;
- input107 sky130_fd_sc_hd__buf_6 + PLACED ( 24380 13600 ) FS ;
- input108 sky130_fd_sc_hd__buf_6 + PLACED ( 25760 16320 ) FN ;
- input109 sky130_fd_sc_hd__buf_6 + PLACED ( 31740 13600 ) FS ;
- input11 sky130_fd_sc_hd__buf_2 + PLACED ( 222180 13600 ) S ;
- input110 sky130_fd_sc_hd__buf_4 + PLACED ( 28520 27200 ) N ;
- input111 sky130_fd_sc_hd__buf_8 + PLACED ( 31740 10880 ) FN ;
- input112 sky130_fd_sc_hd__buf_4 + PLACED ( 39100 21760 ) N ;
- input113 sky130_fd_sc_hd__buf_6 + PLACED ( 33120 16320 ) FN ;
- input114 sky130_fd_sc_hd__buf_4 + PLACED ( 6900 29920 ) FS ;
- input115 sky130_fd_sc_hd__buf_6 + PLACED ( 44620 10880 ) FN ;
- input116 sky130_fd_sc_hd__buf_4 + PLACED ( 36340 24480 ) FS ;
- input117 sky130_fd_sc_hd__buf_6 + PLACED ( 44160 13600 ) FS ;
- input118 sky130_fd_sc_hd__buf_6 + PLACED ( 50600 10880 ) FN ;
- input119 sky130_fd_sc_hd__buf_4 + PLACED ( 44620 21760 ) N ;
- input12 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 19040 ) S ;
- input120 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 55200 16320 ) N ;
- input121 sky130_fd_sc_hd__buf_6 + PLACED ( 44620 16320 ) N ;
- input122 sky130_fd_sc_hd__buf_4 + PLACED ( 62100 13600 ) FS ;
- input123 sky130_fd_sc_hd__buf_4 + PLACED ( 49220 21760 ) N ;
- input124 sky130_fd_sc_hd__buf_6 + PLACED ( 50140 13600 ) FS ;
- input125 sky130_fd_sc_hd__buf_4 + PLACED ( 13340 27200 ) N ;
- input126 sky130_fd_sc_hd__buf_6 + PLACED ( 57500 10880 ) N ;
- input127 sky130_fd_sc_hd__buf_4 + PLACED ( 53820 21760 ) N ;
- input128 sky130_fd_sc_hd__buf_4 + PLACED ( 11500 29920 ) FS ;
- input129 sky130_fd_sc_hd__buf_4 + PLACED ( 16100 29920 ) FS ;
- input13 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 10880 ) FN ;
- input130 sky130_fd_sc_hd__buf_4 + PLACED ( 12880 32640 ) N ;
- input131 sky130_fd_sc_hd__buf_4 + PLACED ( 20700 29920 ) FS ;
- input132 sky130_fd_sc_hd__buf_4 + PLACED ( 18860 32640 ) N ;
- input133 sky130_fd_sc_hd__buf_6 + PLACED ( 18860 16320 ) N ;
- input134 sky130_fd_sc_hd__buf_6 + PLACED ( 18400 19040 ) FS ;
- input135 sky130_fd_sc_hd__clkbuf_8 + PLACED ( 13800 13600 ) FS ;
- input136 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8280 32640 ) N ;
- input14 sky130_fd_sc_hd__buf_4 + PLACED ( 238280 13600 ) S ;
- input15 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 187680 ) FS ;
- input16 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 198560 ) S ;
- input17 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 217600 ) N ;
- input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 32640 ) N ;
- input19 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 225760 ) FS ;
- input2 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 116960 ) S ;
- input20 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 204000 ) S ;
- input21 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 233920 ) FN ;
- input22 sky130_fd_sc_hd__buf_4 + PLACED ( 225860 10880 ) FN ;
- input23 sky130_fd_sc_hd__buf_2 + PLACED ( 238280 27200 ) N ;
- input24 sky130_fd_sc_hd__buf_4 + PLACED ( 238280 231200 ) S ;
- input25 sky130_fd_sc_hd__buf_2 + PLACED ( 233220 228480 ) FN ;
- input26 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 236440 48960 ) FN ;
- input27 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 220800 231200 ) S ;
- input28 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 225860 13600 ) S ;
- input29 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 226780 233920 ) N ;
- input3 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 125120 ) FN ;
- input30 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 84320 ) S ;
- input31 sky130_fd_sc_hd__buf_2 + PLACED ( 224940 228480 ) N ;
- input32 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 92480 ) FN ;
- input33 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 51680 ) FS ;
- input34 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 100640 ) FS ;
- input35 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 226320 231200 ) FS ;
- input36 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 130560 ) N ;
- input37 sky130_fd_sc_hd__buf_4 + PLACED ( 232760 19040 ) S ;
- input38 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 233680 24480 ) S ;
- input39 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 232760 231200 ) S ;
- input4 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 119680 ) N ;
- input40 sky130_fd_sc_hd__buf_4 + PLACED ( 229080 16320 ) FN ;
- input41 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 157760 ) FN ;
- input42 sky130_fd_sc_hd__clkbuf_8 + PLACED ( 230460 13600 ) S ;
- input43 sky130_fd_sc_hd__buf_2 + PLACED ( 229080 21760 ) FN ;
- input44 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 29920 ) S ;
- input45 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 219880 10880 ) FN ;
- input46 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 176800 ) S ;
- input47 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 224480 19040 ) S ;
- input48 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 206720 ) FN ;
- input49 sky130_fd_sc_hd__buf_4 + PLACED ( 238280 24480 ) S ;
- input5 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 231380 233920 ) N ;
- input50 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 38080 ) N ;
- input51 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 233920 ) N ;
- input52 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 212160 ) FN ;
- input53 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 231200 ) FS ;
- input54 sky130_fd_sc_hd__buf_4 + PLACED ( 238280 225760 ) S ;
- input55 sky130_fd_sc_hd__buf_4 + PLACED ( 215280 233920 ) N ;
- input56 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 228160 19040 ) S ;
- input57 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 223040 ) FN ;
- input58 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 219880 233920 ) N ;
- input59 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 238280 59840 ) FN ;
- input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 239660 133280 ) FS ;
- input60 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 76160 ) FN ;
- input61 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 73440 ) FS ;
- input62 sky130_fd_sc_hd__buf_2 + PLACED ( 218500 13600 ) S ;
- input63 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 92480 ) N ;
- input64 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 100640 ) S ;
- input65 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 143520 10880 ) FN ;
- input66 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 149500 10880 ) N ;
- input67 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 154100 10880 ) FN ;
- input68 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 146740 13600 ) FS ;
- input69 sky130_fd_sc_hd__buf_8 + PLACED ( 6900 10880 ) N ;
- input7 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 239200 141440 ) FN ;
- input70 sky130_fd_sc_hd__clkbuf_8 + PLACED ( 8740 16320 ) N ;
- input71 sky130_fd_sc_hd__buf_6 + PLACED ( 19780 10880 ) N ;
- input72 sky130_fd_sc_hd__buf_4 + PLACED ( 25760 10880 ) N ;
- input73 sky130_fd_sc_hd__buf_4 + PLACED ( 24380 19040 ) FS ;
- input74 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 39100 10880 ) N ;
- input75 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 25300 21760 ) N ;
- input76 sky130_fd_sc_hd__buf_4 + PLACED ( 31740 19040 ) FS ;
- input77 sky130_fd_sc_hd__buf_4 + PLACED ( 29900 21760 ) N ;
- input78 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 13600 ) S ;
- input79 sky130_fd_sc_hd__buf_4 + PLACED ( 39100 16320 ) N ;
- input8 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 149600 ) S ;
- input80 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 36340 19040 ) S ;
- input81 sky130_fd_sc_hd__clkbuf_8 + PLACED ( 8740 19040 ) FS ;
- input82 sky130_fd_sc_hd__buf_4 + PLACED ( 34500 21760 ) N ;
- input83 sky130_fd_sc_hd__buf_4 + PLACED ( 40940 19040 ) FS ;
- input84 sky130_fd_sc_hd__buf_2 + PLACED ( 40940 24480 ) FS ;
- input85 sky130_fd_sc_hd__buf_6 + PLACED ( 38180 13600 ) FS ;
- input86 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 27200 ) N ;
- input87 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 45540 19040 ) FS ;
- input88 sky130_fd_sc_hd__buf_4 + PLACED ( 50600 16320 ) N ;
- input89 sky130_fd_sc_hd__buf_4 + PLACED ( 57500 13600 ) S ;
- input9 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 168640 ) N ;
- input90 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 63480 10880 ) N ;
- input91 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46920 24480 ) FS ;
- input92 sky130_fd_sc_hd__buf_4 + PLACED ( 13800 21760 ) N ;
- input93 sky130_fd_sc_hd__buf_4 + PLACED ( 50140 19040 ) FS ;
- input94 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 19040 ) FS ;
- input95 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 18860 21760 ) N ;
- input96 sky130_fd_sc_hd__buf_4 + PLACED ( 13340 24480 ) FS ;
- input97 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 10880 ) N ;
- input98 sky130_fd_sc_hd__buf_4 + PLACED ( 13800 35360 ) FS ;
- input99 sky130_fd_sc_hd__buf_4 + PLACED ( 25300 29920 ) FS ;
- output137 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 36800 27200 ) N ;
- output138 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 35360 ) S ;
- output139 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 38080 ) FN ;
- output140 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 43520 ) FN ;
- output141 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 108800 ) N ;
- output142 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 111520 ) S ;
- output143 sky130_fd_sc_hd__buf_2 + PLACED ( 220800 16320 ) N ;
- output144 sky130_fd_sc_hd__buf_2 + PLACED ( 228620 228480 ) FN ;
- output145 sky130_fd_sc_hd__buf_2 + PLACED ( 232300 223040 ) N ;
- output146 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 136000 ) FN ;
- output147 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 149600 ) S ;
- output148 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 157760 ) FN ;
- output149 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 163200 ) N ;
- output150 sky130_fd_sc_hd__buf_2 + PLACED ( 237820 220320 ) FS ;
- output151 sky130_fd_sc_hd__buf_2 + PLACED ( 214820 13600 ) FS ;
- output152 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 174080 ) N ;
- output153 sky130_fd_sc_hd__buf_2 + PLACED ( 237820 217600 ) N ;
- output154 sky130_fd_sc_hd__buf_2 + PLACED ( 226780 225760 ) FS ;
- output155 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 182240 ) FS ;
- output156 sky130_fd_sc_hd__buf_2 + PLACED ( 234600 27200 ) N ;
- output157 sky130_fd_sc_hd__buf_2 + PLACED ( 233680 220320 ) FS ;
- output158 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 190400 ) N ;
- output159 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 195840 ) N ;
- output160 sky130_fd_sc_hd__buf_2 + PLACED ( 220800 228480 ) N ;
- output161 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 214880 ) FS ;
- output162 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 35360 ) FS ;
- output163 sky130_fd_sc_hd__buf_2 + PLACED ( 230000 24480 ) FS ;
- output164 sky130_fd_sc_hd__buf_2 + PLACED ( 217120 231200 ) FS ;
- output165 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 43520 ) N ;
- output166 sky130_fd_sc_hd__buf_2 + PLACED ( 217120 228480 ) N ;
- output167 sky130_fd_sc_hd__buf_2 + PLACED ( 239200 68000 ) FS ;
- output168 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 62560 ) S ;
- output169 sky130_fd_sc_hd__buf_2 + PLACED ( 225400 21760 ) N ;
- output170 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 81600 ) FN ;
- output171 sky130_fd_sc_hd__buf_2 + PLACED ( 217120 16320 ) N ;
- output172 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 233920 ) FN ;
- output173 sky130_fd_sc_hd__buf_2 + PLACED ( 59340 233920 ) N ;
- output174 sky130_fd_sc_hd__buf_2 + PLACED ( 64860 231200 ) S ;
- output175 sky130_fd_sc_hd__buf_2 + PLACED ( 70840 233920 ) FN ;
- output176 sky130_fd_sc_hd__buf_2 + PLACED ( 76360 233920 ) FN ;
- output177 sky130_fd_sc_hd__buf_2 + PLACED ( 83260 231200 ) S ;
- output178 sky130_fd_sc_hd__buf_2 + PLACED ( 88780 233920 ) N ;
- output179 sky130_fd_sc_hd__buf_2 + PLACED ( 96140 233920 ) FN ;
- output180 sky130_fd_sc_hd__buf_2 + PLACED ( 99820 233920 ) FN ;
- output181 sky130_fd_sc_hd__buf_2 + PLACED ( 104420 233920 ) N ;
- output182 sky130_fd_sc_hd__buf_2 + PLACED ( 109940 233920 ) N ;
- output183 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 231200 ) S ;
- output184 sky130_fd_sc_hd__buf_2 + PLACED ( 115920 233920 ) FN ;
- output185 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 233920 ) FN ;
- output186 sky130_fd_sc_hd__buf_2 + PLACED ( 126960 233920 ) N ;
- output187 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 233920 ) FN ;
- output188 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 233920 ) FN ;
- output189 sky130_fd_sc_hd__buf_2 + PLACED ( 147660 233920 ) N ;
- output190 sky130_fd_sc_hd__buf_2 + PLACED ( 151340 233920 ) N ;
- output191 sky130_fd_sc_hd__buf_2 + PLACED ( 155020 231200 ) FS ;
- output192 sky130_fd_sc_hd__buf_2 + PLACED ( 161000 233920 ) FN ;
- output193 sky130_fd_sc_hd__buf_2 + PLACED ( 166520 233920 ) FN ;
- output194 sky130_fd_sc_hd__buf_2 + PLACED ( 17020 231200 ) S ;
- output195 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 233920 ) FN ;
- output196 sky130_fd_sc_hd__buf_2 + PLACED ( 177560 233920 ) FN ;
- output197 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 233920 ) N ;
- output198 sky130_fd_sc_hd__buf_2 + PLACED ( 189980 233920 ) N ;
- output199 sky130_fd_sc_hd__buf_2 + PLACED ( 194580 233920 ) FN ;
- output200 sky130_fd_sc_hd__buf_2 + PLACED ( 200100 233920 ) N ;
- output201 sky130_fd_sc_hd__buf_2 + PLACED ( 206080 233920 ) FN ;
- output202 sky130_fd_sc_hd__buf_2 + PLACED ( 19780 233920 ) FN ;
- output203 sky130_fd_sc_hd__buf_2 + PLACED ( 25760 233920 ) FN ;
- output204 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 233920 ) FN ;
- output205 sky130_fd_sc_hd__buf_2 + PLACED ( 36800 233920 ) FN ;
- output206 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 233920 ) FN ;
- output207 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 233920 ) FN ;
- output208 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 233920 ) FN ;
- output209 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 228480 ) FN ;
- output210 sky130_fd_sc_hd__buf_2 + PLACED ( 61180 231200 ) S ;
- output211 sky130_fd_sc_hd__buf_2 + PLACED ( 68540 231200 ) S ;
- output212 sky130_fd_sc_hd__buf_2 + PLACED ( 72680 231200 ) S ;
- output213 sky130_fd_sc_hd__buf_2 + PLACED ( 78200 231200 ) S ;
- output214 sky130_fd_sc_hd__buf_2 + PLACED ( 86940 231200 ) S ;
- output215 sky130_fd_sc_hd__buf_2 + PLACED ( 91080 231200 ) S ;
- output216 sky130_fd_sc_hd__buf_2 + PLACED ( 95220 231200 ) S ;
- output217 sky130_fd_sc_hd__buf_2 + PLACED ( 100740 231200 ) S ;
- output218 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 231200 ) S ;
- output219 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 231200 ) S ;
- output220 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 228480 ) FN ;
- output221 sky130_fd_sc_hd__buf_2 + PLACED ( 117760 231200 ) S ;
- output222 sky130_fd_sc_hd__buf_2 + PLACED ( 123280 231200 ) S ;
- output223 sky130_fd_sc_hd__buf_2 + PLACED ( 130640 233920 ) FN ;
- output224 sky130_fd_sc_hd__buf_2 + PLACED ( 142140 233920 ) FN ;
- output225 sky130_fd_sc_hd__buf_2 + PLACED ( 141220 231200 ) S ;
- output226 sky130_fd_sc_hd__buf_2 + PLACED ( 145820 231200 ) S ;
- output227 sky130_fd_sc_hd__buf_2 + PLACED ( 151340 231200 ) S ;
- output228 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 231200 ) S ;
- output229 sky130_fd_sc_hd__buf_2 + PLACED ( 164220 231200 ) S ;
- output230 sky130_fd_sc_hd__buf_2 + PLACED ( 168360 231200 ) FS ;
- output231 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 231200 ) S ;
- output232 sky130_fd_sc_hd__buf_2 + PLACED ( 173880 231200 ) S ;
- output233 sky130_fd_sc_hd__buf_2 + PLACED ( 181240 233920 ) N ;
- output234 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 231200 ) FS ;
- output235 sky130_fd_sc_hd__buf_2 + PLACED ( 190900 231200 ) S ;
- output236 sky130_fd_sc_hd__buf_2 + PLACED ( 196420 231200 ) FS ;
- output237 sky130_fd_sc_hd__buf_2 + PLACED ( 201940 231200 ) S ;
- output238 sky130_fd_sc_hd__buf_2 + PLACED ( 212060 231200 ) FS ;
- output239 sky130_fd_sc_hd__buf_2 + PLACED ( 213440 228480 ) FN ;
- output240 sky130_fd_sc_hd__buf_2 + PLACED ( 24380 231200 ) S ;
- output241 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 231200 ) S ;
- output242 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 231200 ) S ;
- output243 sky130_fd_sc_hd__buf_2 + PLACED ( 40480 233920 ) FN ;
- output244 sky130_fd_sc_hd__buf_2 + PLACED ( 44160 231200 ) S ;
- output245 sky130_fd_sc_hd__buf_2 + PLACED ( 50140 228480 ) FN ;
- output246 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 231200 ) S ;
- output247 sky130_fd_sc_hd__buf_2 + PLACED ( 199180 10880 ) FN ;
- output248 sky130_fd_sc_hd__buf_2 + PLACED ( 198720 13600 ) FS ;
- output249 sky130_fd_sc_hd__buf_2 + PLACED ( 202860 10880 ) N ;
- output250 sky130_fd_sc_hd__buf_2 + PLACED ( 202400 13600 ) FS ;
- output251 sky130_fd_sc_hd__buf_2 + PLACED ( 206540 10880 ) N ;
- output252 sky130_fd_sc_hd__buf_2 + PLACED ( 206080 13600 ) FS ;
- output253 sky130_fd_sc_hd__buf_2 + PLACED ( 205620 16320 ) N ;
- output254 sky130_fd_sc_hd__buf_2 + PLACED ( 209300 16320 ) N ;
- output255 sky130_fd_sc_hd__buf_2 + PLACED ( 212980 16320 ) N ;
- output256 sky130_fd_sc_hd__buf_2 + PLACED ( 212060 19040 ) FS ;
- output257 sky130_fd_sc_hd__buf_2 + PLACED ( 211140 21760 ) N ;
- output258 sky130_fd_sc_hd__buf_2 + PLACED ( 215740 19040 ) FS ;
- output259 sky130_fd_sc_hd__buf_2 + PLACED ( 214820 21760 ) N ;
- output260 sky130_fd_sc_hd__buf_2 + PLACED ( 219420 19040 ) S ;
- output261 sky130_fd_sc_hd__buf_2 + PLACED ( 218500 21760 ) N ;
- output262 sky130_fd_sc_hd__buf_2 + PLACED ( 218500 24480 ) FS ;
- output263 sky130_fd_sc_hd__buf_2 + PLACED ( 222180 24480 ) FS ;
- output264 sky130_fd_sc_hd__buf_2 + PLACED ( 225860 24480 ) FS ;
- output265 sky130_fd_sc_hd__buf_2 + PLACED ( 224940 27200 ) N ;
- output266 sky130_fd_sc_hd__buf_2 + PLACED ( 228620 27200 ) N ;
- output267 sky130_fd_sc_hd__buf_2 + PLACED ( 225860 29920 ) S ;
- output268 sky130_fd_sc_hd__buf_2 + PLACED ( 229540 29920 ) FS ;
- output269 sky130_fd_sc_hd__buf_2 + PLACED ( 228620 32640 ) N ;
- output270 sky130_fd_sc_hd__buf_2 + PLACED ( 233220 29920 ) FS ;
- output271 sky130_fd_sc_hd__buf_2 + PLACED ( 232300 32640 ) N ;
- output272 sky130_fd_sc_hd__buf_2 + PLACED ( 235980 32640 ) N ;
- output273 sky130_fd_sc_hd__buf_2 + PLACED ( 207920 19040 ) FS ;
- output274 sky130_fd_sc_hd__buf_2 + PLACED ( 195040 10880 ) N ;
- output275 sky130_fd_sc_hd__buf_2 + PLACED ( 89700 10880 ) FN ;
- output276 sky130_fd_sc_hd__buf_2 + PLACED ( 91080 13600 ) S ;
- output277 sky130_fd_sc_hd__buf_2 + PLACED ( 96140 10880 ) FN ;
- output278 sky130_fd_sc_hd__buf_2 + PLACED ( 94760 13600 ) FS ;
- output279 sky130_fd_sc_hd__buf_2 + PLACED ( 99820 10880 ) FN ;
- output280 sky130_fd_sc_hd__buf_2 + PLACED ( 98440 13600 ) FS ;
- output281 sky130_fd_sc_hd__buf_2 + PLACED ( 103500 10880 ) N ;
- output282 sky130_fd_sc_hd__buf_2 + PLACED ( 102120 13600 ) S ;
- output283 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 10880 ) FN ;
- output284 sky130_fd_sc_hd__buf_2 + PLACED ( 102580 16320 ) FN ;
- output285 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 10880 ) FN ;
- output286 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 13600 ) S ;
- output287 sky130_fd_sc_hd__buf_2 + PLACED ( 107180 16320 ) N ;
- output288 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 13600 ) S ;
- output289 sky130_fd_sc_hd__buf_2 + PLACED ( 116380 10880 ) FN ;
- output290 sky130_fd_sc_hd__buf_2 + PLACED ( 111320 16320 ) FN ;
- output291 sky130_fd_sc_hd__buf_2 + PLACED ( 116380 13600 ) S ;
- output292 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 10880 ) FN ;
- output293 sky130_fd_sc_hd__buf_2 + PLACED ( 120060 13600 ) S ;
- output294 sky130_fd_sc_hd__buf_2 + PLACED ( 125580 10880 ) FN ;
- output295 sky130_fd_sc_hd__buf_2 + PLACED ( 123740 13600 ) S ;
- output296 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 16320 ) N ;
- output297 sky130_fd_sc_hd__buf_2 + PLACED ( 129260 10880 ) FN ;
- output298 sky130_fd_sc_hd__buf_2 + PLACED ( 127420 13600 ) S ;
- output299 sky130_fd_sc_hd__buf_2 + PLACED ( 125580 16320 ) FN ;
- output300 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 10880 ) FN ;
- output301 sky130_fd_sc_hd__buf_2 + PLACED ( 129260 16320 ) FN ;
- output302 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 13600 ) FS ;
- output303 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 10880 ) N ;
- output304 sky130_fd_sc_hd__buf_2 + PLACED ( 132940 16320 ) FN ;
- output305 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 13600 ) S ;
- output306 sky130_fd_sc_hd__buf_2 + PLACED ( 136620 16320 ) N ;
- output307 sky130_fd_sc_hd__buf_2 + PLACED ( 142140 13600 ) S ;
- output308 sky130_fd_sc_hd__buf_2 + PLACED ( 140300 16320 ) FN ;
- output309 sky130_fd_sc_hd__buf_2 + PLACED ( 138920 19040 ) S ;
- output310 sky130_fd_sc_hd__buf_2 + PLACED ( 142600 19040 ) S ;
- output311 sky130_fd_sc_hd__buf_2 + PLACED ( 147660 16320 ) FN ;
- output312 sky130_fd_sc_hd__buf_2 + PLACED ( 151340 13600 ) FS ;
- output313 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 10880 ) FN ;
- output314 sky130_fd_sc_hd__buf_2 + PLACED ( 146280 19040 ) S ;
- output315 sky130_fd_sc_hd__buf_2 + PLACED ( 151340 16320 ) FN ;
- output316 sky130_fd_sc_hd__buf_2 + PLACED ( 164220 10880 ) FN ;
- output317 sky130_fd_sc_hd__buf_2 + PLACED ( 150420 19040 ) FS ;
- output318 sky130_fd_sc_hd__buf_2 + PLACED ( 155020 16320 ) FN ;
- output319 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 13600 ) FS ;
- output320 sky130_fd_sc_hd__buf_2 + PLACED ( 158700 16320 ) FN ;
- output321 sky130_fd_sc_hd__buf_2 + PLACED ( 164220 13600 ) S ;
- output322 sky130_fd_sc_hd__buf_2 + PLACED ( 167900 13600 ) S ;
- output323 sky130_fd_sc_hd__buf_2 + PLACED ( 162380 16320 ) N ;
- output324 sky130_fd_sc_hd__buf_2 + PLACED ( 171580 13600 ) S ;
- output325 sky130_fd_sc_hd__buf_2 + PLACED ( 166060 16320 ) N ;
- output326 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 10880 ) FN ;
- output327 sky130_fd_sc_hd__buf_2 + PLACED ( 175260 13600 ) S ;
- output328 sky130_fd_sc_hd__buf_2 + PLACED ( 177100 10880 ) FN ;
- output329 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 13600 ) S ;
- output330 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 16320 ) N ;
- output331 sky130_fd_sc_hd__buf_2 + PLACED ( 180780 10880 ) N ;
- output332 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 16320 ) FN ;
- output333 sky130_fd_sc_hd__buf_2 + PLACED ( 177100 19040 ) FS ;
- output334 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 10880 ) N ;
- output335 sky130_fd_sc_hd__buf_2 + PLACED ( 182620 16320 ) N ;
- output336 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 13600 ) FS ;
- output337 sky130_fd_sc_hd__buf_2 + PLACED ( 189980 10880 ) N ;
- output338 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 16320 ) N ;
- output339 sky130_fd_sc_hd__buf_2 + PLACED ( 189980 13600 ) FS ;
- output340 sky130_fd_sc_hd__buf_2 + PLACED ( 189980 16320 ) N ;
- output341 sky130_fd_sc_hd__buf_2 + PLACED ( 186300 19040 ) FS ;
- output342 sky130_fd_sc_hd__buf_2 + PLACED ( 193660 16320 ) N ;
- output343 sky130_fd_sc_hd__buf_2 + PLACED ( 188140 21760 ) N ;
- output344 sky130_fd_sc_hd__buf_2 + PLACED ( 191820 21760 ) FN ;
- output345 sky130_fd_sc_hd__buf_2 + PLACED ( 199180 16320 ) N ;
- output346 sky130_fd_sc_hd__buf_2 + PLACED ( 198260 19040 ) FS ;
- output347 sky130_fd_sc_hd__buf_2 + PLACED ( 201940 19040 ) FS ;
- output348 sky130_fd_sc_hd__buf_2 + PLACED ( 199180 21760 ) FN ;
- output349 sky130_fd_sc_hd__buf_2 + PLACED ( 27600 24480 ) S ;
- output350 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 38080 ) FN ;
- output351 sky130_fd_sc_hd__buf_2 + PLACED ( 23460 32640 ) FN ;
- output352 sky130_fd_sc_hd__buf_2 + PLACED ( 27140 32640 ) FN ;
- output353 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 29920 ) S ;
- output354 sky130_fd_sc_hd__buf_2 + PLACED ( 33120 27200 ) FN ;
- output355 sky130_fd_sc_hd__buf_2 + PLACED ( 30820 32640 ) FN ;
- output356 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 29920 ) S ;
- output357 sky130_fd_sc_hd__buf_2 + PLACED ( 34500 32640 ) FN ;
- output358 sky130_fd_sc_hd__buf_2 + PLACED ( 39100 29920 ) S ;
- output359 sky130_fd_sc_hd__buf_2 + PLACED ( 38180 32640 ) FN ;
- output360 sky130_fd_sc_hd__buf_2 + PLACED ( 42780 29920 ) S ;
- output361 sky130_fd_sc_hd__buf_2 + PLACED ( 18400 35360 ) S ;
- output362 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 10880 ) FN ;
- output363 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 27200 ) FN ;
- output364 sky130_fd_sc_hd__buf_2 + PLACED ( 50600 24480 ) S ;
- output365 sky130_fd_sc_hd__buf_2 + PLACED ( 46460 29920 ) S ;
- output366 sky130_fd_sc_hd__buf_2 + PLACED ( 63480 16320 ) FN ;
- output367 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 10880 ) FN ;
- output368 sky130_fd_sc_hd__buf_2 + PLACED ( 61180 19040 ) S ;
- output369 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 27200 ) FN ;
- output370 sky130_fd_sc_hd__buf_2 + PLACED ( 58420 21760 ) FN ;
- output371 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 13600 ) S ;
- output372 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 38080 ) FN ;
- output373 sky130_fd_sc_hd__buf_2 + PLACED ( 62100 21760 ) FN ;
- output374 sky130_fd_sc_hd__buf_2 + PLACED ( 77740 10880 ) FN ;
- output375 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 43520 ) FN ;
- output376 sky130_fd_sc_hd__buf_2 + PLACED ( 22080 35360 ) S ;
- output377 sky130_fd_sc_hd__buf_2 + PLACED ( 25760 35360 ) S ;
- output378 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 40800 ) S ;
- output379 sky130_fd_sc_hd__buf_2 + PLACED ( 25300 38080 ) FN ;
- output380 sky130_fd_sc_hd__buf_2 + PLACED ( 23460 40800 ) S ;
- output381 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 43520 ) FN ;
- output382 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 40800 ) S ;
END COMPONENTS
PINS 709 ;
- clk + NET clk + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 5100 ) N ;
- csb0 + NET csb0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 14620 ) N ;
- csb1 + NET csb1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 33660 ) N ;
- din0[0] + NET din0[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 43180 ) N ;
- din0[10] + NET din0[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 108460 ) N ;
- din0[11] + NET din0[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 110500 ) N ;
- din0[12] + NET din0[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240810 2000 ) N ;
- din0[13] + NET din0[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228390 248000 ) N ;
- din0[14] + NET din0[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232070 248000 ) N ;
- din0[15] + NET din0[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139740 ) N ;
- din0[16] + NET din0[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149260 ) N ;
- din0[17] + NET din0[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 158780 ) N ;
- din0[18] + NET din0[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 164900 ) N ;
- din0[19] + NET din0[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235750 248000 ) N ;
- din0[1] + NET din0[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 11220 ) N ;
- din0[20] + NET din0[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 173060 ) N ;
- din0[21] + NET din0[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237590 248000 ) N ;
- din0[22] + NET din0[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239430 248000 ) N ;
- din0[23] + NET din0[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 181220 ) N ;
- din0[24] + NET din0[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246330 2000 ) N ;
- din0[25] + NET din0[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241270 248000 ) N ;
- din0[26] + NET din0[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 189380 ) N ;
- din0[27] + NET din0[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 196860 ) N ;
- din0[28] + NET din0[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243110 248000 ) N ;
- din0[29] + NET din0[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 221340 ) N ;
- din0[2] + NET din0[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 35700 ) N ;
- din0[30] + NET din0[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 2000 ) N ;
- din0[31] + NET din0[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246790 248000 ) N ;
- din0[3] + NET din0[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 43860 ) N ;
- din0[4] + NET din0[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218730 248000 ) N ;
- din0[5] + NET din0[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 67660 ) N ;
- din0[6] + NET din0[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62220 ) N ;
- din0[7] + NET din0[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238970 2000 ) N ;
- din0[8] + NET din0[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 81940 ) N ;
- din0[9] + NET din0[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239890 2000 ) N ;
- dout0[0] + NET dout0[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 3740 ) N ;
- dout0[10] + NET dout0[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 116620 ) N ;
- dout0[11] + NET dout0[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 124780 ) N ;
- dout0[12] + NET dout0[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120020 ) N ;
- dout0[13] + NET dout0[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 248000 ) N ;
- dout0[14] + NET dout0[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 132260 ) N ;
- dout0[15] + NET dout0[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 140420 ) N ;
- dout0[16] + NET dout0[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 148580 ) N ;
- dout0[17] + NET dout0[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 168300 ) N ;
- dout0[18] + NET dout0[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242650 2000 ) N ;
- dout0[19] + NET dout0[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243570 2000 ) N ;
- dout0[1] + NET dout0[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 19380 ) N ;
- dout0[20] + NET dout0[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244490 2000 ) N ;
- dout0[21] + NET dout0[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245410 2000 ) N ;
- dout0[22] + NET dout0[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 187340 ) N ;
- dout0[23] + NET dout0[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 197540 ) N ;
- dout0[24] + NET dout0[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 216580 ) N ;
- dout0[25] + NET dout0[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247250 2000 ) N ;
- dout0[26] + NET dout0[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 226100 ) N ;
- dout0[27] + NET dout0[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 205020 ) N ;
- dout0[28] + NET dout0[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244950 248000 ) N ;
- dout0[29] + NET dout0[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248170 2000 ) N ;
- dout0[2] + NET dout0[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238050 2000 ) N ;
- dout0[30] + NET dout0[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 237660 ) N ;
- dout0[31] + NET dout0[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 248000 ) N ;
- dout0[3] + NET dout0[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 52020 ) N ;
- dout0[4] + NET dout0[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220570 248000 ) N ;
- dout0[5] + NET dout0[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238510 2000 ) N ;
- dout0[6] + NET dout0[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222410 248000 ) N ;
- dout0[7] + NET dout0[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 83980 ) N ;
- dout0[8] + NET dout0[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224250 248000 ) N ;
- dout0[9] + NET dout0[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 92140 ) N ;
- dout1[0] + NET dout1[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52700 ) N ;
- dout1[10] + NET dout1[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- dout1[11] + NET dout1[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226090 248000 ) N ;
- dout1[12] + NET dout1[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130220 ) N ;
- dout1[13] + NET dout1[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241270 2000 ) N ;
- dout1[14] + NET dout1[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241730 2000 ) N ;
- dout1[15] + NET dout1[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233910 248000 ) N ;
- dout1[16] + NET dout1[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242190 2000 ) N ;
- dout1[17] + NET dout1[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 156740 ) N ;
- dout1[18] + NET dout1[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243110 2000 ) N ;
- dout1[19] + NET dout1[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244030 2000 ) N ;
- dout1[1] + NET dout1[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 27540 ) N ;
- dout1[20] + NET dout1[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244950 2000 ) N ;
- dout1[21] + NET dout1[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 177820 ) N ;
- dout1[22] + NET dout1[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245870 2000 ) N ;
- dout1[23] + NET dout1[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 207060 ) N ;
- dout1[24] + NET dout1[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246790 2000 ) N ;
- dout1[25] + NET dout1[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247710 2000 ) N ;
- dout1[26] + NET dout1[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 235620 ) N ;
- dout1[27] + NET dout1[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 213180 ) N ;
- dout1[28] + NET dout1[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 245140 ) N ;
- dout1[29] + NET dout1[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 229500 ) N ;
- dout1[2] + NET dout1[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215050 248000 ) N ;
- dout1[30] + NET dout1[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249090 2000 ) N ;
- dout1[31] + NET dout1[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 245820 ) N ;
- dout1[3] + NET dout1[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216890 248000 ) N ;
- dout1[4] + NET dout1[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 60180 ) N ;
- dout1[5] + NET dout1[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 75820 ) N ;
- dout1[6] + NET dout1[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- dout1[7] + NET dout1[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239430 2000 ) N ;
- dout1[8] + NET dout1[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 91460 ) N ;
- dout1[9] + NET dout1[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 248000 100300 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 248000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 248000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 248000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 248000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 248000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79810 248000 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85330 248000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90850 248000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 248000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 248000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 248000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 248000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 248000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 248000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124890 248000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130410 248000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135930 248000 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 248000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 248000 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 248000 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 248000 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 248000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 248000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169970 248000 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175490 248000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181010 248000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 248000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 248000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 248000 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203550 248000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209530 248000 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 248000 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 248000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29210 248000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34730 248000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40250 248000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45770 248000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 248000 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 248000 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 248000 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 248000 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70610 248000 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76130 248000 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81650 248000 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87170 248000 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 248000 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 248000 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 248000 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 248000 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 248000 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115690 248000 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121210 248000 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126730 248000 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 248000 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 248000 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 248000 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 248000 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 248000 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160770 248000 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166290 248000 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 248000 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 248000 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 248000 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 248000 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 248000 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 248000 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199870 248000 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 248000 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211370 248000 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 248000 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25530 248000 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31050 248000 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36570 248000 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 248000 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 248000 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 248000 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 248000 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 248000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 248000 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72450 248000 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77970 248000 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83490 248000 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89010 248000 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 248000 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 248000 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 248000 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 248000 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 248000 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 248000 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123050 248000 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128570 248000 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134090 248000 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 248000 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 248000 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 248000 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 248000 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162610 248000 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168130 248000 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 248000 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173650 248000 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179170 248000 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 248000 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 248000 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 248000 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201710 248000 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207690 248000 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 248000 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 248000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27370 248000 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32890 248000 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38410 248000 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43930 248000 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 248000 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 248000 ) N ;
- irq[0] + NET irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236670 2000 ) N ;
- irq[1] + NET irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237130 2000 ) N ;
- irq[2] + NET irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237590 2000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51290 2000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197570 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200330 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202170 2000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203550 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204930 2000 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206310 2000 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207690 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209070 2000 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210450 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212290 2000 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213670 2000 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215050 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216430 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217810 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219190 2000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220570 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222410 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223790 2000 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66930 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225170 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226550 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227930 2000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229310 2000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231150 2000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232530 2000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233910 2000 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235290 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68770 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 2000 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 2000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 2000 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78890 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81650 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84410 2000 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 2000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89010 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91770 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54050 2000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94530 2000 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96370 2000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99130 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101890 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 2000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104650 2000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106490 2000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109250 2000 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 2000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112010 2000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 2000 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114770 2000 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116610 2000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 2000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122130 2000 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56810 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126730 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 2000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129490 2000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136850 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58650 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139610 2000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142370 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146970 2000 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149730 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 2000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152490 2000 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154330 2000 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157090 2000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159850 2000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162610 2000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61410 2000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167210 2000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169970 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174570 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 2000 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180090 2000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184690 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 2000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187450 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190210 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194810 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64170 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196650 2000 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199410 2000 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200790 2000 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202630 2000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204010 2000 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205390 2000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206770 2000 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208150 2000 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209530 2000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66010 2000 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210910 2000 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212750 2000 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214130 2000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215510 2000 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216890 2000 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218270 2000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219650 2000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221490 2000 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222870 2000 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224250 2000 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67850 2000 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225630 2000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227010 2000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228390 2000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229770 2000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231610 2000 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232990 2000 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234370 2000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235750 2000 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70610 2000 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73370 2000 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 2000 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76130 2000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77970 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53130 2000 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 2000 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83490 2000 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 2000 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88090 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 2000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90850 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 2000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 2000 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 2000 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98210 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100970 2000 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103730 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 2000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108330 2000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55890 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111090 2000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113850 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115690 2000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118450 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121210 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123970 2000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 2000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128570 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131330 2000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134090 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135930 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138690 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141450 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146050 2000 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148810 2000 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 2000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151570 2000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60490 2000 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 2000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156170 2000 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 2000 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158930 2000 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 2000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161690 2000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 2000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166290 2000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169050 2000 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 2000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 2000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173650 2000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 2000 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176410 2000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 2000 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179170 2000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63250 2000 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181930 2000 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183770 2000 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 2000 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186530 2000 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189290 2000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 2000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 2000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193890 2000 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 2000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52210 2000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 2000 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198490 2000 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199870 2000 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201250 2000 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203090 2000 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204470 2000 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 2000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207230 2000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208610 2000 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209990 2000 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211830 2000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 2000 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214590 2000 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215970 2000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217350 2000 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218730 2000 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220110 2000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221950 2000 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223330 2000 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224710 2000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226090 2000 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227470 2000 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228850 2000 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232070 2000 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233450 2000 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234830 2000 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236210 2000 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69690 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 2000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72450 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75210 2000 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 2000 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79810 2000 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 2000 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82570 2000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85330 2000 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87170 2000 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89930 2000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92690 2000 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 2000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95450 2000 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97290 2000 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100050 2000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102810 2000 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107410 2000 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 2000 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110170 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 2000 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 2000 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120290 2000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 2000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123050 2000 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 2000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127650 2000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 2000 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130410 2000 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 2000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133170 2000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135010 2000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137770 2000 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59570 2000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 2000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140530 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143290 2000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145130 2000 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 2000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147890 2000 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 2000 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150650 2000 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 2000 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153410 2000 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155250 2000 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158010 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160770 2000 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 2000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165370 2000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62330 2000 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168130 2000 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170890 2000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175490 2000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178250 2000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181010 2000 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 2000 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182850 2000 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185610 2000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188370 2000 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 2000 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191130 2000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192970 2000 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195730 2000 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65090 2000 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -113120 ) ( 800 113120 )
+ LAYER met4 ( -154400 -113120 ) ( -152800 113120 )
+ FIXED ( 175440 123760 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -113120 ) ( 800 113120 )
+ FIXED ( 98640 123760 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 690 2000 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 2000 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20930 2000 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 2000 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23690 2000 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 2000 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26450 2000 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 2000 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 2000 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31050 2000 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 2000 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33810 2000 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 2000 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36570 2000 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41170 2000 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 2000 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43930 2000 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46690 2000 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 2000 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 2000 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 2000 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 2000 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10810 2000 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 2000 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13570 2000 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 2000 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16330 2000 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 2000 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1610 2000 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3450 2000 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20010 2000 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 2000 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 2000 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 2000 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25530 2000 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 2000 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28290 2000 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30130 2000 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 2000 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32890 2000 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5290 2000 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 2000 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35650 2000 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 2000 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 2000 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40250 2000 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43010 2000 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45770 2000 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7130 2000 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50370 2000 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8970 2000 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 2000 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12650 2000 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 2000 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15410 2000 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 2000 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18170 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 2000 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21850 2000 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24610 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27370 2000 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29210 2000 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 2000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31970 2000 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34730 2000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 2000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37490 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39330 2000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 2000 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 2000 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44850 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47610 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 2000 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49450 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9890 2000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11730 2000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14490 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 2000 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17250 2000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 2000 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4370 2000 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6210 2000 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8050 2000 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 2000 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 2000 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2530 2000 ) N ;
- web0 + NET web0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24140 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 250000 250000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175440 236640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 236640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 236640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 236640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 236640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 236640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 231200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 231200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 231200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 231200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 231200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 231200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 225760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 225760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 225760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 225760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 225760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 225760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 220320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 220320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 220320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 220320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 220320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 220320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 214880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 214880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 214880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 214880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 214880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 214880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 209440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 209440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 209440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 209440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 209440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 209440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 204000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 204000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 204000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 204000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 204000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 204000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 198560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 198560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 198560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 198560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 198560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 198560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 193120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 193120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 193120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 193120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 193120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 193120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 236880 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 236880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 236640 ) ( 244260 236640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 231200 ) ( 244260 231200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 225760 ) ( 244260 225760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 220320 ) ( 244260 220320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 214880 ) ( 244260 214880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 209440 ) ( 244260 209440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 204000 ) ( 244260 204000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 198560 ) ( 244260 198560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 193120 ) ( 244260 193120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 244260 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 244260 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 244260 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 244260 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 244260 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 244260 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 244260 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 244260 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 244260 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 244260 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 244260 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 244260 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 244260 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 244260 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 244260 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 244260 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 244260 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 244260 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 244260 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 244260 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 244260 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 244260 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 244260 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 244260 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 244260 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 244260 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 244260 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 244260 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 244260 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 244260 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 244260 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 244260 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 244260 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 98640 233920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 233920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 233920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 228480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 228480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 228480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 223040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 223040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 223040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 217600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 217600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 217600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 212160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 212160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 212160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 206720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 206720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 206720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 201280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 201280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 201280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 195840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 195840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 195840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 190400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 190400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 190400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 184960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 236880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 233920 ) ( 244260 233920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 228480 ) ( 244260 228480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 223040 ) ( 244260 223040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 217600 ) ( 244260 217600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 212160 ) ( 244260 212160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 206720 ) ( 244260 206720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 201280 ) ( 244260 201280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 195840 ) ( 244260 195840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 190400 ) ( 244260 190400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 244260 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 244260 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 244260 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 244260 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 244260 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 244260 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 244260 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 244260 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 244260 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 244260 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 244260 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 244260 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 244260 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 244260 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 244260 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 244260 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 244260 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 244260 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 244260 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 244260 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 244260 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 244260 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 244260 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 244260 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 244260 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 244260 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 244260 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 244260 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 244260 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 244260 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 244260 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 244260 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 244260 10880 ) ;
END SPECIALNETS
NETS 1190 ;
- _000_ ( _442_ D ) ( _183_ Y ) + USE SIGNAL
+ ROUTED met1 ( 232070 88230 ) ( 238970 * )
NEW met1 ( 233910 37570 ) ( 238970 * )
NEW met2 ( 238970 37570 ) ( * 88230 )
NEW met1 ( 238970 88230 ) M1M2_PR
NEW li1 ( 232070 88230 ) L1M1_PR_MR
NEW li1 ( 233910 37570 ) L1M1_PR_MR
NEW met1 ( 238970 37570 ) M1M2_PR ;
- _001_ ( ANTENNA__443__D DIODE ) ( _443_ D ) ( _182_ X ) + USE SIGNAL
+ ROUTED met1 ( 162610 188530 ) ( 231150 * )
NEW met1 ( 105570 42330 ) ( 106490 * )
NEW met2 ( 106490 42330 ) ( * 50830 )
NEW met1 ( 103270 44710 ) ( 106490 * )
NEW met2 ( 162610 50830 ) ( * 188530 )
NEW met1 ( 106490 50830 ) ( 162610 * )
NEW met1 ( 162610 188530 ) M1M2_PR
NEW li1 ( 231150 188530 ) L1M1_PR_MR
NEW li1 ( 105570 42330 ) L1M1_PR_MR
NEW met1 ( 106490 42330 ) M1M2_PR
NEW met1 ( 106490 50830 ) M1M2_PR
NEW li1 ( 103270 44710 ) L1M1_PR_MR
NEW met1 ( 106490 44710 ) M1M2_PR
NEW met1 ( 162610 50830 ) M1M2_PR
NEW met2 ( 106490 44710 ) RECT ( -70 -485 70 0 ) ;
- _002_ ( ANTENNA__444__D DIODE ) ( _444_ D ) ( _181_ X ) + USE SIGNAL
+ ROUTED met2 ( 8970 62050 ) ( * 67150 )
NEW met1 ( 8970 67150 ) ( 58190 * )
NEW met2 ( 58190 67150 ) ( * 115770 )
NEW met2 ( 80270 115770 ) ( * 117470 )
NEW met1 ( 80270 118490 ) ( 84870 * )
NEW met2 ( 80270 117470 ) ( * 118490 )
NEW met1 ( 58190 115770 ) ( 80270 * )
NEW met1 ( 8970 67150 ) M1M2_PR
NEW li1 ( 8970 62050 ) L1M1_PR_MR
NEW met1 ( 8970 62050 ) M1M2_PR
NEW met1 ( 58190 67150 ) M1M2_PR
NEW met1 ( 58190 115770 ) M1M2_PR
NEW li1 ( 80270 117470 ) L1M1_PR_MR
NEW met1 ( 80270 117470 ) M1M2_PR
NEW met1 ( 80270 115770 ) M1M2_PR
NEW li1 ( 84870 118490 ) L1M1_PR_MR
NEW met1 ( 80270 118490 ) M1M2_PR
NEW met1 ( 8970 62050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 80270 117470 ) RECT ( -355 -70 0 70 ) ;
- _003_ ( ANTENNA__445__D DIODE ) ( _445_ D ) ( _180_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 28390 ) ( 73830 * )
NEW met2 ( 71530 28390 ) ( * 31110 )
NEW met2 ( 71530 31110 ) ( * 67150 )
NEW met2 ( 150190 67150 ) ( * 74630 )
NEW met1 ( 150190 74630 ) ( 153870 * )
NEW met1 ( 71530 67150 ) ( 150190 * )
NEW met1 ( 71530 67150 ) M1M2_PR
NEW li1 ( 71530 31110 ) L1M1_PR_MR
NEW met1 ( 71530 31110 ) M1M2_PR
NEW li1 ( 73830 28390 ) L1M1_PR_MR
NEW met1 ( 71530 28390 ) M1M2_PR
NEW met1 ( 150190 67150 ) M1M2_PR
NEW met1 ( 150190 74630 ) M1M2_PR
NEW li1 ( 153870 74630 ) L1M1_PR_MR
NEW met1 ( 71530 31110 ) RECT ( -355 -70 0 70 ) ;
- _004_ ( _446_ D ) ( _179_ X ) + USE SIGNAL
+ ROUTED met2 ( 125810 105570 ) ( * 118150 )
NEW met1 ( 125810 118150 ) ( 173650 * )
NEW li1 ( 173650 118150 ) L1M1_PR_MR
NEW li1 ( 125810 105570 ) L1M1_PR_MR
NEW met1 ( 125810 105570 ) M1M2_PR
NEW met1 ( 125810 118150 ) M1M2_PR
NEW met1 ( 125810 105570 ) RECT ( -355 -70 0 70 ) ;
- _005_ ( ANTENNA__447__D DIODE ) ( _447_ D ) ( _178_ X ) + USE SIGNAL
+ ROUTED met2 ( 100970 48450 ) ( * 49470 )
NEW met1 ( 98670 53210 ) ( 100970 * )
NEW met2 ( 100970 49470 ) ( * 53210 )
NEW met2 ( 200790 34850 ) ( * 48450 )
NEW met1 ( 200790 34850 ) ( 221950 * )
NEW met2 ( 138230 46580 ) ( * 48450 )
NEW met3 ( 138230 46580 ) ( 145130 * )
NEW met2 ( 145130 46580 ) ( * 48450 )
NEW met1 ( 100970 48450 ) ( 138230 * )
NEW met1 ( 145130 48450 ) ( 200790 * )
NEW li1 ( 100970 49470 ) L1M1_PR_MR
NEW met1 ( 100970 49470 ) M1M2_PR
NEW met1 ( 100970 48450 ) M1M2_PR
NEW li1 ( 98670 53210 ) L1M1_PR_MR
NEW met1 ( 100970 53210 ) M1M2_PR
NEW met1 ( 200790 48450 ) M1M2_PR
NEW met1 ( 200790 34850 ) M1M2_PR
NEW li1 ( 221950 34850 ) L1M1_PR_MR
NEW met1 ( 138230 48450 ) M1M2_PR
NEW met2 ( 138230 46580 ) M2M3_PR_M
NEW met2 ( 145130 46580 ) M2M3_PR_M
NEW met1 ( 145130 48450 ) M1M2_PR
NEW met1 ( 100970 49470 ) RECT ( -355 -70 0 70 ) ;
- _006_ ( ANTENNA__448__D DIODE ) ( _448_ D ) ( _177_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 125970 ) ( * 126310 )
NEW met2 ( 59110 124610 ) ( * 125970 )
NEW met1 ( 56810 126310 ) ( 57730 * )
NEW met1 ( 57730 125970 ) ( * 126310 )
NEW met1 ( 57730 125970 ) ( 59110 * )
NEW met1 ( 59110 125970 ) ( 86250 * )
NEW met1 ( 86250 126310 ) ( 183310 * )
NEW li1 ( 183310 126310 ) L1M1_PR_MR
NEW li1 ( 59110 124610 ) L1M1_PR_MR
NEW met1 ( 59110 124610 ) M1M2_PR
NEW met1 ( 59110 125970 ) M1M2_PR
NEW li1 ( 56810 126310 ) L1M1_PR_MR
NEW met1 ( 59110 124610 ) RECT ( -355 -70 0 70 ) ;
- _007_ ( ANTENNA__449__D DIODE ) ( _449_ D ) ( _176_ X ) + USE SIGNAL
+ ROUTED met2 ( 29670 148410 ) ( * 150110 )
NEW met1 ( 29670 150110 ) ( 32430 * )
NEW met1 ( 32430 150110 ) ( 79350 * )
NEW met2 ( 140530 42500 ) ( * 42670 )
NEW met3 ( 79350 42500 ) ( 140530 * )
NEW met2 ( 79350 42500 ) ( * 150110 )
NEW met2 ( 79350 42500 ) M2M3_PR_M
NEW met1 ( 79350 150110 ) M1M2_PR
NEW li1 ( 32430 150110 ) L1M1_PR_MR
NEW li1 ( 29670 148410 ) L1M1_PR_MR
NEW met1 ( 29670 148410 ) M1M2_PR
NEW met1 ( 29670 150110 ) M1M2_PR
NEW met2 ( 140530 42500 ) M2M3_PR_M
NEW li1 ( 140530 42670 ) L1M1_PR_MR
NEW met1 ( 140530 42670 ) M1M2_PR
NEW met1 ( 29670 148410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140530 42670 ) RECT ( -355 -70 0 70 ) ;
- _008_ ( ANTENNA__450__D DIODE ) ( _450_ D ) ( _174_ X ) + USE SIGNAL
+ ROUTED met1 ( 133170 44710 ) ( 156630 * )
NEW met2 ( 135470 44710 ) ( * 46750 )
NEW met2 ( 156630 44710 ) ( * 169150 )
NEW li1 ( 156630 169150 ) L1M1_PR_MR
NEW met1 ( 156630 169150 ) M1M2_PR
NEW li1 ( 133170 44710 ) L1M1_PR_MR
NEW met1 ( 156630 44710 ) M1M2_PR
NEW li1 ( 135470 46750 ) L1M1_PR_MR
NEW met1 ( 135470 46750 ) M1M2_PR
NEW met1 ( 135470 44710 ) M1M2_PR
NEW met1 ( 156630 169150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135470 46750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135470 44710 ) RECT ( -595 -70 0 70 ) ;
- _009_ ( _451_ D ) ( _171_ X ) + USE SIGNAL
+ ROUTED met2 ( 188830 202810 ) ( * 210630 )
NEW met1 ( 144670 202810 ) ( 188830 * )
NEW met1 ( 188830 202810 ) M1M2_PR
NEW li1 ( 188830 210630 ) L1M1_PR_MR
NEW met1 ( 188830 210630 ) M1M2_PR
NEW li1 ( 144670 202810 ) L1M1_PR_MR
NEW met1 ( 188830 210630 ) RECT ( -355 -70 0 70 ) ;
- _010_ ( ANTENNA__452__D DIODE ) ( _452_ D ) ( _170_ X ) + USE SIGNAL
+ ROUTED met2 ( 182390 93500 ) ( * 228990 )
NEW met2 ( 19550 93330 ) ( * 93500 )
NEW met1 ( 16790 90950 ) ( 19550 * )
NEW met2 ( 19550 90950 ) ( * 93330 )
NEW met3 ( 19550 93500 ) ( 182390 * )
NEW met2 ( 182390 93500 ) M2M3_PR_M
NEW li1 ( 182390 228990 ) L1M1_PR_MR
NEW met1 ( 182390 228990 ) M1M2_PR
NEW li1 ( 19550 93330 ) L1M1_PR_MR
NEW met1 ( 19550 93330 ) M1M2_PR
NEW met2 ( 19550 93500 ) M2M3_PR_M
NEW li1 ( 16790 90950 ) L1M1_PR_MR
NEW met1 ( 19550 90950 ) M1M2_PR
NEW met1 ( 182390 228990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19550 93330 ) RECT ( -355 -70 0 70 ) ;
- _011_ ( ANTENNA__453__D DIODE ) ( _453_ D ) ( _169_ X ) + USE SIGNAL
+ ROUTED met1 ( 85330 55930 ) ( * 56270 )
NEW met1 ( 85330 56270 ) ( 86250 * )
NEW met2 ( 86250 56270 ) ( * 62100 )
NEW met2 ( 85790 62100 ) ( 86250 * )
NEW met1 ( 31050 55930 ) ( * 56270 )
NEW met1 ( 25990 56270 ) ( 31050 * )
NEW met1 ( 25990 55930 ) ( * 56270 )
NEW met1 ( 22770 55930 ) ( 25990 * )
NEW met1 ( 31050 55930 ) ( 85330 * )
NEW met1 ( 85790 87550 ) ( 87630 * )
NEW met2 ( 95450 87550 ) ( * 90950 )
NEW met1 ( 87630 87550 ) ( 95450 * )
NEW met2 ( 85790 62100 ) ( * 87550 )
NEW met1 ( 86250 56270 ) M1M2_PR
NEW li1 ( 22770 55930 ) L1M1_PR_MR
NEW li1 ( 87630 87550 ) L1M1_PR_MR
NEW met1 ( 85790 87550 ) M1M2_PR
NEW li1 ( 95450 90950 ) L1M1_PR_MR
NEW met1 ( 95450 90950 ) M1M2_PR
NEW met1 ( 95450 87550 ) M1M2_PR
NEW met1 ( 95450 90950 ) RECT ( -355 -70 0 70 ) ;
- _012_ ( _454_ D ) ( _168_ X ) + USE SIGNAL
+ ROUTED met1 ( 53590 202470 ) ( 56350 * )
NEW met1 ( 23690 153170 ) ( 38410 * )
NEW met1 ( 38410 153170 ) ( * 153850 )
NEW met1 ( 38410 153850 ) ( 53590 * )
NEW met2 ( 53590 153850 ) ( * 202470 )
NEW met1 ( 53590 202470 ) M1M2_PR
NEW li1 ( 56350 202470 ) L1M1_PR_MR
NEW li1 ( 23690 153170 ) L1M1_PR_MR
NEW met1 ( 53590 153850 ) M1M2_PR ;
- _013_ ( ANTENNA__455__D DIODE ) ( _455_ D ) ( _166_ X ) + USE SIGNAL
+ ROUTED met2 ( 66010 220830 ) ( * 221510 )
NEW met1 ( 62330 220830 ) ( 66010 * )
NEW met2 ( 62330 139910 ) ( * 220830 )
NEW met1 ( 26450 139910 ) ( 62330 * )
NEW met1 ( 62330 139910 ) M1M2_PR
NEW li1 ( 62330 220830 ) L1M1_PR_MR
NEW met1 ( 62330 220830 ) M1M2_PR
NEW li1 ( 66010 221510 ) L1M1_PR_MR
NEW met1 ( 66010 221510 ) M1M2_PR
NEW met1 ( 66010 220830 ) M1M2_PR
NEW li1 ( 26450 139910 ) L1M1_PR_MR
NEW met1 ( 62330 220830 ) RECT ( 0 -70 355 70 )
NEW met1 ( 66010 221510 ) RECT ( -355 -70 0 70 ) ;
- _014_ ( ANTENNA__456__D DIODE ) ( _456_ D ) ( _163_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 150110 ) ( * 150450 )
NEW met1 ( 117530 188870 ) ( 117990 * )
NEW met2 ( 117990 186490 ) ( * 188870 )
NEW met1 ( 115230 186490 ) ( 117990 * )
NEW met2 ( 24610 146370 ) ( * 150450 )
NEW met1 ( 24610 150450 ) ( 86250 * )
NEW met1 ( 86250 150110 ) ( 115230 * )
NEW met2 ( 115230 150110 ) ( * 186490 )
NEW li1 ( 115230 186490 ) L1M1_PR_MR
NEW met1 ( 115230 186490 ) M1M2_PR
NEW li1 ( 117530 188870 ) L1M1_PR_MR
NEW met1 ( 117990 188870 ) M1M2_PR
NEW met1 ( 117990 186490 ) M1M2_PR
NEW met1 ( 24610 150450 ) M1M2_PR
NEW li1 ( 24610 146370 ) L1M1_PR_MR
NEW met1 ( 24610 146370 ) M1M2_PR
NEW met1 ( 115230 150110 ) M1M2_PR
NEW met1 ( 115230 186490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24610 146370 ) RECT ( -355 -70 0 70 ) ;
- _015_ ( ANTENNA__457__D DIODE ) ( _457_ D ) ( _162_ X ) + USE SIGNAL
+ ROUTED met1 ( 238050 169150 ) ( 239890 * )
NEW met2 ( 238050 121890 ) ( * 169150 )
NEW met2 ( 45310 116450 ) ( * 118830 )
NEW met1 ( 45310 118830 ) ( 61870 * )
NEW met2 ( 61870 118830 ) ( * 121890 )
NEW met1 ( 34730 118150 ) ( 45310 * )
NEW met1 ( 61870 121890 ) ( 238050 * )
NEW met1 ( 238050 121890 ) M1M2_PR
NEW met1 ( 238050 169150 ) M1M2_PR
NEW li1 ( 239890 169150 ) L1M1_PR_MR
NEW li1 ( 45310 116450 ) L1M1_PR_MR
NEW met1 ( 45310 116450 ) M1M2_PR
NEW met1 ( 45310 118830 ) M1M2_PR
NEW met1 ( 61870 118830 ) M1M2_PR
NEW met1 ( 61870 121890 ) M1M2_PR
NEW li1 ( 34730 118150 ) L1M1_PR_MR
NEW met1 ( 45310 118150 ) M1M2_PR
NEW met1 ( 45310 116450 ) RECT ( -355 -70 0 70 )
NEW met2 ( 45310 118150 ) RECT ( -70 -485 70 0 ) ;
- _016_ ( ANTENNA__458__D DIODE ) ( _458_ D ) ( _161_ X ) + USE SIGNAL
+ ROUTED met1 ( 123970 69870 ) ( * 70210 )
NEW met1 ( 123970 69870 ) ( 152490 * )
NEW met2 ( 152490 69870 ) ( * 104210 )
NEW met1 ( 152490 104210 ) ( 158010 * )
NEW met1 ( 103730 70210 ) ( 123970 * )
NEW met1 ( 153870 148070 ) ( 158010 * )
NEW met2 ( 153870 148070 ) ( * 150110 )
NEW met2 ( 158010 104210 ) ( * 148070 )
NEW li1 ( 103730 70210 ) L1M1_PR_MR
NEW met1 ( 152490 69870 ) M1M2_PR
NEW met1 ( 152490 104210 ) M1M2_PR
NEW met1 ( 158010 104210 ) M1M2_PR
NEW li1 ( 153870 148070 ) L1M1_PR_MR
NEW met1 ( 158010 148070 ) M1M2_PR
NEW li1 ( 153870 150110 ) L1M1_PR_MR
NEW met1 ( 153870 150110 ) M1M2_PR
NEW met1 ( 153870 148070 ) M1M2_PR
NEW met1 ( 153870 150110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 153870 148070 ) RECT ( -595 -70 0 70 ) ;
- _017_ ( _459_ D ) ( _160_ X ) + USE SIGNAL
+ ROUTED met2 ( 20010 167450 ) ( * 169150 )
NEW met1 ( 20010 169150 ) ( 31050 * )
NEW met2 ( 31050 169150 ) ( * 190910 )
NEW li1 ( 20010 167450 ) L1M1_PR_MR
NEW met1 ( 20010 167450 ) M1M2_PR
NEW met1 ( 20010 169150 ) M1M2_PR
NEW met1 ( 31050 169150 ) M1M2_PR
NEW li1 ( 31050 190910 ) L1M1_PR_MR
NEW met1 ( 31050 190910 ) M1M2_PR
NEW met1 ( 20010 167450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 31050 190910 ) RECT ( -355 -70 0 70 ) ;
- _018_ ( _460_ D ) ( _158_ X ) + USE SIGNAL
+ ROUTED met1 ( 233910 156230 ) ( 237130 * )
NEW met2 ( 237130 156230 ) ( * 207000 )
NEW met2 ( 236670 207000 ) ( 237130 * )
NEW met2 ( 236670 207000 ) ( * 228990 )
NEW met1 ( 236670 228990 ) ( 240810 * )
NEW met1 ( 237130 156230 ) M1M2_PR
NEW li1 ( 233910 156230 ) L1M1_PR_MR
NEW met1 ( 236670 228990 ) M1M2_PR
NEW li1 ( 240810 228990 ) L1M1_PR_MR ;
- _019_ ( ANTENNA__461__D DIODE ) ( _461_ D ) ( _155_ X ) + USE SIGNAL
+ ROUTED met3 ( 102350 68340 ) ( 102580 * )
NEW met3 ( 102580 67660 ) ( * 68340 )
NEW met3 ( 102580 67660 ) ( 103270 * )
NEW met2 ( 103270 62100 ) ( * 67660 )
NEW met2 ( 102810 62100 ) ( 103270 * )
NEW met2 ( 102810 52530 ) ( * 62100 )
NEW met1 ( 102810 52530 ) ( 113390 * )
NEW met1 ( 84870 106590 ) ( 102350 * )
NEW met1 ( 77050 109990 ) ( 84870 * )
NEW met2 ( 84870 106590 ) ( * 109990 )
NEW met2 ( 102350 68340 ) ( * 106590 )
NEW met2 ( 102350 68340 ) M2M3_PR_M
NEW met2 ( 103270 67660 ) M2M3_PR_M
NEW met1 ( 102810 52530 ) M1M2_PR
NEW li1 ( 113390 52530 ) L1M1_PR_MR
NEW li1 ( 84870 106590 ) L1M1_PR_MR
NEW met1 ( 102350 106590 ) M1M2_PR
NEW li1 ( 77050 109990 ) L1M1_PR_MR
NEW met1 ( 84870 109990 ) M1M2_PR
NEW met1 ( 84870 106590 ) M1M2_PR
NEW met1 ( 84870 106590 ) RECT ( -595 -70 0 70 ) ;
- _020_ ( ANTENNA__462__D DIODE ) ( _462_ D ) ( _154_ X ) + USE SIGNAL
+ ROUTED met1 ( 71990 109310 ) ( 72450 * )
NEW met2 ( 72450 77010 ) ( * 109310 )
NEW met1 ( 71530 109310 ) ( 71990 * )
NEW met1 ( 64170 112710 ) ( 71530 * )
NEW met2 ( 71530 109310 ) ( * 112710 )
NEW met1 ( 172270 77010 ) ( * 77350 )
NEW met1 ( 172270 77010 ) ( 220570 * )
NEW met1 ( 124200 77350 ) ( 172270 * )
NEW met1 ( 124200 77010 ) ( * 77350 )
NEW met1 ( 72450 77010 ) ( 124200 * )
NEW li1 ( 71990 109310 ) L1M1_PR_MR
NEW met1 ( 72450 109310 ) M1M2_PR
NEW met1 ( 72450 77010 ) M1M2_PR
NEW met1 ( 71530 109310 ) M1M2_PR
NEW met1 ( 71530 112710 ) M1M2_PR
NEW li1 ( 64170 112710 ) L1M1_PR_MR
NEW li1 ( 220570 77010 ) L1M1_PR_MR ;
- _021_ ( ANTENNA__463__D DIODE ) ( _463_ D ) ( _153_ X ) + USE SIGNAL
+ ROUTED met1 ( 103270 218110 ) ( * 219130 )
NEW met1 ( 166290 219810 ) ( 174110 * )
NEW met2 ( 174110 219810 ) ( * 221340 )
NEW met2 ( 174110 221340 ) ( 174570 * )
NEW met2 ( 174570 221340 ) ( * 222190 )
NEW met1 ( 174570 221850 ) ( * 222190 )
NEW met1 ( 173650 221850 ) ( 174570 * )
NEW met1 ( 159390 219470 ) ( * 219810 )
NEW met1 ( 159390 219810 ) ( 166290 * )
NEW met2 ( 28290 219130 ) ( * 223550 )
NEW met1 ( 28290 219130 ) ( 103270 * )
NEW met1 ( 158700 219470 ) ( 159390 * )
NEW met1 ( 126270 218110 ) ( * 219130 )
NEW met1 ( 126270 219130 ) ( 158700 * )
NEW met1 ( 158700 219130 ) ( * 219470 )
NEW met1 ( 103270 218110 ) ( 126270 * )
NEW li1 ( 166290 219810 ) L1M1_PR_MR
NEW met1 ( 174110 219810 ) M1M2_PR
NEW met1 ( 174570 222190 ) M1M2_PR
NEW li1 ( 173650 221850 ) L1M1_PR_MR
NEW met1 ( 28290 219130 ) M1M2_PR
NEW li1 ( 28290 223550 ) L1M1_PR_MR
NEW met1 ( 28290 223550 ) M1M2_PR
NEW met1 ( 28290 223550 ) RECT ( -355 -70 0 70 ) ;
- _022_ ( ANTENNA__464__D DIODE ) ( _464_ D ) ( _152_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 205530 ) ( 99490 * )
NEW met1 ( 98670 205190 ) ( * 205530 )
NEW met1 ( 95450 205190 ) ( 98670 * )
NEW met1 ( 95450 204510 ) ( * 205190 )
NEW met2 ( 95450 158700 ) ( * 204510 )
NEW met2 ( 94990 158700 ) ( 95450 * )
NEW met1 ( 65090 102850 ) ( 94990 * )
NEW met2 ( 94990 102850 ) ( * 158700 )
NEW li1 ( 65090 102850 ) L1M1_PR_MR
NEW li1 ( 95450 204510 ) L1M1_PR_MR
NEW met1 ( 95450 204510 ) M1M2_PR
NEW li1 ( 99490 205530 ) L1M1_PR_MR
NEW met1 ( 94990 102850 ) M1M2_PR
NEW met1 ( 95450 204510 ) RECT ( -355 -70 0 70 ) ;
- _023_ ( ANTENNA__465__D DIODE ) ( _465_ D ) ( _150_ X ) + USE SIGNAL
+ ROUTED met2 ( 107410 41650 ) ( * 45390 )
NEW met2 ( 182390 47090 ) ( * 57970 )
NEW met1 ( 158700 47090 ) ( 182390 * )
NEW met2 ( 123050 45390 ) ( * 47090 )
NEW met1 ( 123050 47090 ) ( 141450 * )
NEW met1 ( 141450 46750 ) ( * 47090 )
NEW met1 ( 141450 46750 ) ( 158700 * )
NEW met1 ( 158700 46750 ) ( * 47090 )
NEW met1 ( 107410 45390 ) ( 123050 * )
NEW met2 ( 199870 57460 ) ( * 57970 )
NEW met3 ( 199870 57460 ) ( 211830 * )
NEW met2 ( 211830 57460 ) ( * 57970 )
NEW met1 ( 211830 57970 ) ( 214130 * )
NEW met1 ( 182390 57970 ) ( 199870 * )
NEW met1 ( 84410 31790 ) ( 87170 * )
NEW met2 ( 87170 31790 ) ( * 41650 )
NEW met2 ( 76590 31790 ) ( * 33830 )
NEW met1 ( 76590 31790 ) ( 84410 * )
NEW met1 ( 87170 41650 ) ( 107410 * )
NEW met1 ( 107410 41650 ) M1M2_PR
NEW met1 ( 107410 45390 ) M1M2_PR
NEW met1 ( 182390 47090 ) M1M2_PR
NEW met1 ( 182390 57970 ) M1M2_PR
NEW met1 ( 123050 45390 ) M1M2_PR
NEW met1 ( 123050 47090 ) M1M2_PR
NEW met1 ( 199870 57970 ) M1M2_PR
NEW met2 ( 199870 57460 ) M2M3_PR_M
NEW met2 ( 211830 57460 ) M2M3_PR_M
NEW met1 ( 211830 57970 ) M1M2_PR
NEW li1 ( 214130 57970 ) L1M1_PR_MR
NEW li1 ( 84410 31790 ) L1M1_PR_MR
NEW met1 ( 87170 31790 ) M1M2_PR
NEW met1 ( 87170 41650 ) M1M2_PR
NEW li1 ( 76590 33830 ) L1M1_PR_MR
NEW met1 ( 76590 33830 ) M1M2_PR
NEW met1 ( 76590 31790 ) M1M2_PR
NEW met1 ( 76590 33830 ) RECT ( 0 -70 355 70 ) ;
- _024_ ( ANTENNA__466__D DIODE ) ( _466_ D ) ( _147_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 161330 ) ( 14950 * )
NEW met2 ( 228390 70210 ) ( * 72420 )
NEW met1 ( 228390 66810 ) ( 228850 * )
NEW met2 ( 228390 66810 ) ( * 70210 )
NEW met3 ( 14950 72420 ) ( 228390 * )
NEW met2 ( 14950 72420 ) ( * 161330 )
NEW met2 ( 14950 72420 ) M2M3_PR_M
NEW met1 ( 14950 161330 ) M1M2_PR
NEW li1 ( 14030 161330 ) L1M1_PR_MR
NEW li1 ( 228390 70210 ) L1M1_PR_MR
NEW met1 ( 228390 70210 ) M1M2_PR
NEW met2 ( 228390 72420 ) M2M3_PR_M
NEW li1 ( 228850 66810 ) L1M1_PR_MR
NEW met1 ( 228390 66810 ) M1M2_PR
NEW met1 ( 228390 70210 ) RECT ( -355 -70 0 70 ) ;
- _025_ ( _467_ D ) ( _146_ X ) + USE SIGNAL
+ ROUTED met2 ( 109710 172890 ) ( * 175270 )
NEW met1 ( 147430 170850 ) ( 147890 * )
NEW met2 ( 147430 170850 ) ( * 172890 )
NEW met1 ( 109710 172890 ) ( 147430 * )
NEW met1 ( 109710 172890 ) M1M2_PR
NEW li1 ( 109710 175270 ) L1M1_PR_MR
NEW met1 ( 109710 175270 ) M1M2_PR
NEW li1 ( 147890 170850 ) L1M1_PR_MR
NEW met1 ( 147430 170850 ) M1M2_PR
NEW met1 ( 147430 172890 ) M1M2_PR
NEW met1 ( 109710 175270 ) RECT ( -355 -70 0 70 ) ;
- _026_ ( ANTENNA__468__D DIODE ) ( _468_ D ) ( _145_ X ) + USE SIGNAL
+ ROUTED met1 ( 158700 85170 ) ( * 85510 )
NEW met1 ( 158700 85170 ) ( 207000 * )
NEW met1 ( 207000 85510 ) ( 218270 * )
NEW met1 ( 207000 85170 ) ( * 85510 )
NEW met1 ( 218270 85510 ) ( 225170 * )
NEW met1 ( 125350 91630 ) ( 135010 * )
NEW met2 ( 135010 83980 ) ( * 91630 )
NEW met3 ( 135010 83980 ) ( 143290 * )
NEW met2 ( 143290 83980 ) ( * 85510 )
NEW met2 ( 125350 91630 ) ( * 163710 )
NEW met1 ( 143290 85510 ) ( 158700 * )
NEW li1 ( 125350 163710 ) L1M1_PR_MR
NEW met1 ( 125350 163710 ) M1M2_PR
NEW li1 ( 218270 85510 ) L1M1_PR_MR
NEW li1 ( 225170 85510 ) L1M1_PR_MR
NEW met1 ( 125350 91630 ) M1M2_PR
NEW met1 ( 135010 91630 ) M1M2_PR
NEW met2 ( 135010 83980 ) M2M3_PR_M
NEW met2 ( 143290 83980 ) M2M3_PR_M
NEW met1 ( 143290 85510 ) M1M2_PR
NEW met1 ( 125350 163710 ) RECT ( -355 -70 0 70 ) ;
- _027_ ( ANTENNA__469__D DIODE ) ( _469_ D ) ( _144_ X ) + USE SIGNAL
+ ROUTED met2 ( 106950 139910 ) ( * 141950 )
NEW met1 ( 104650 139910 ) ( 110400 * )
NEW met1 ( 110400 139910 ) ( * 140590 )
NEW met1 ( 110400 140590 ) ( 245870 * )
NEW met1 ( 239890 18530 ) ( 245870 * )
NEW li1 ( 245870 18530 ) ( * 140590 )
NEW li1 ( 245870 140590 ) L1M1_PR_MR
NEW li1 ( 104650 139910 ) L1M1_PR_MR
NEW li1 ( 106950 141950 ) L1M1_PR_MR
NEW met1 ( 106950 141950 ) M1M2_PR
NEW met1 ( 106950 139910 ) M1M2_PR
NEW li1 ( 239890 18530 ) L1M1_PR_MR
NEW li1 ( 245870 18530 ) L1M1_PR_MR
NEW met1 ( 106950 141950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 106950 139910 ) RECT ( -595 -70 0 70 ) ;
- _028_ ( _470_ D ) ( _141_ X ) + USE SIGNAL
+ ROUTED met2 ( 192510 32980 ) ( * 33150 )
NEW met3 ( 190210 32980 ) ( 192510 * )
NEW met2 ( 190210 32980 ) ( * 39270 )
NEW met1 ( 185610 39270 ) ( 190210 * )
NEW li1 ( 192510 33150 ) L1M1_PR_MR
NEW met1 ( 192510 33150 ) M1M2_PR
NEW met2 ( 192510 32980 ) M2M3_PR_M
NEW met2 ( 190210 32980 ) M2M3_PR_M
NEW met1 ( 190210 39270 ) M1M2_PR
NEW li1 ( 185610 39270 ) L1M1_PR_MR
NEW met1 ( 192510 33150 ) RECT ( -355 -70 0 70 ) ;
- _029_ ( _471_ D ) ( _137_ X ) + USE SIGNAL
+ ROUTED met2 ( 83490 137530 ) ( * 145350 )
NEW met1 ( 83490 137530 ) ( 100050 * )
NEW met1 ( 54970 145350 ) ( 83490 * )
NEW met1 ( 83490 145350 ) M1M2_PR
NEW met1 ( 83490 137530 ) M1M2_PR
NEW li1 ( 100050 137530 ) L1M1_PR_MR
NEW li1 ( 54970 145350 ) L1M1_PR_MR ;
- _030_ ( ANTENNA__472__D DIODE ) ( _472_ D ) ( _136_ X ) + USE SIGNAL
+ ROUTED met2 ( 68770 207570 ) ( * 235110 )
NEW met1 ( 67850 235110 ) ( 68770 * )
NEW met2 ( 139610 207570 ) ( * 210630 )
NEW met1 ( 138230 207570 ) ( 139610 * )
NEW met1 ( 68770 207570 ) ( 138230 * )
NEW met1 ( 68770 207570 ) M1M2_PR
NEW met1 ( 68770 235110 ) M1M2_PR
NEW li1 ( 67850 235110 ) L1M1_PR_MR
NEW li1 ( 138230 207570 ) L1M1_PR_MR
NEW li1 ( 139610 210630 ) L1M1_PR_MR
NEW met1 ( 139610 210630 ) M1M2_PR
NEW met1 ( 139610 207570 ) M1M2_PR
NEW met1 ( 139610 210630 ) RECT ( -355 -70 0 70 ) ;
- _031_ ( ANTENNA__473__D DIODE ) ( _473_ D ) ( _135_ X ) + USE SIGNAL
+ ROUTED met2 ( 69690 84830 ) ( * 226270 )
NEW met1 ( 60030 224230 ) ( 69690 * )
NEW met2 ( 88550 84830 ) ( * 87890 )
NEW met1 ( 88550 87890 ) ( 103270 * )
NEW met1 ( 103270 87550 ) ( * 87890 )
NEW met1 ( 103270 87550 ) ( 105110 * )
NEW met1 ( 105110 87550 ) ( * 87890 )
NEW met1 ( 69690 84830 ) ( 88550 * )
NEW met1 ( 105110 87890 ) ( 127650 * )
NEW met1 ( 69690 84830 ) M1M2_PR
NEW li1 ( 69690 226270 ) L1M1_PR_MR
NEW met1 ( 69690 226270 ) M1M2_PR
NEW met1 ( 69690 224230 ) M1M2_PR
NEW li1 ( 60030 224230 ) L1M1_PR_MR
NEW li1 ( 127650 87890 ) L1M1_PR_MR
NEW met1 ( 88550 84830 ) M1M2_PR
NEW met1 ( 88550 87890 ) M1M2_PR
NEW met1 ( 69690 226270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 69690 224230 ) RECT ( -70 -485 70 0 ) ;
- _032_ ( _474_ D ) ( _134_ X ) + USE SIGNAL
+ ROUTED met1 ( 66470 177990 ) ( * 178670 )
NEW met1 ( 66470 143310 ) ( 86250 * )
NEW met2 ( 66470 143310 ) ( * 177990 )
NEW met1 ( 56810 177990 ) ( * 178670 )
NEW met1 ( 51750 177990 ) ( 56810 * )
NEW met1 ( 56810 178670 ) ( 66470 * )
NEW met1 ( 66470 177990 ) M1M2_PR
NEW li1 ( 86250 143310 ) L1M1_PR_MR
NEW met1 ( 66470 143310 ) M1M2_PR
NEW li1 ( 51750 177990 ) L1M1_PR_MR ;
- _033_ ( ANTENNA__475__D DIODE ) ( _475_ D ) ( _132_ X ) + USE SIGNAL
+ ROUTED met2 ( 206310 71740 ) ( * 72250 )
NEW met3 ( 112930 71740 ) ( 206310 * )
NEW met2 ( 111550 158700 ) ( 112010 * )
NEW met2 ( 111090 218790 ) ( 111550 * )
NEW met1 ( 111090 218790 ) ( 114770 * )
NEW met2 ( 111550 158700 ) ( * 218790 )
NEW met1 ( 112010 99790 ) ( 112930 * )
NEW met2 ( 112010 99790 ) ( * 158700 )
NEW met2 ( 112930 71740 ) ( * 99790 )
NEW met2 ( 206310 71740 ) M2M3_PR_M
NEW li1 ( 206310 72250 ) L1M1_PR_MR
NEW met1 ( 206310 72250 ) M1M2_PR
NEW met2 ( 112930 71740 ) M2M3_PR_M
NEW li1 ( 111090 218790 ) L1M1_PR_MR
NEW met1 ( 111090 218790 ) M1M2_PR
NEW li1 ( 114770 218790 ) L1M1_PR_MR
NEW met1 ( 112010 99790 ) M1M2_PR
NEW met1 ( 112930 99790 ) M1M2_PR
NEW met1 ( 206310 72250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 111090 218790 ) RECT ( -355 -70 0 70 ) ;
- _034_ ( ANTENNA__476__D DIODE ) ( _476_ D ) ( _106_ Y ) + USE SIGNAL
+ ROUTED met2 ( 198490 159630 ) ( * 162350 )
NEW met1 ( 165370 159290 ) ( * 159630 )
NEW met1 ( 165370 159630 ) ( 198490 * )
NEW met1 ( 198490 162350 ) ( 227700 * )
NEW met1 ( 227700 162010 ) ( * 162350 )
NEW met1 ( 227700 162010 ) ( 234830 * )
NEW met2 ( 234830 162010 ) ( * 173570 )
NEW met1 ( 234830 173570 ) ( 239430 * )
NEW met1 ( 157550 159290 ) ( 165370 * )
NEW met1 ( 198490 159630 ) M1M2_PR
NEW met1 ( 198490 162350 ) M1M2_PR
NEW li1 ( 165370 159630 ) L1M1_PR_MR
NEW met1 ( 234830 162010 ) M1M2_PR
NEW met1 ( 234830 173570 ) M1M2_PR
NEW li1 ( 239430 173570 ) L1M1_PR_MR
NEW li1 ( 157550 159290 ) L1M1_PR_MR ;
- _035_ ( ANTENNA__109__C DIODE ) ( _109_ C ) ( _107_ Y ) + USE SIGNAL
+ ROUTED met2 ( 96370 54740 ) ( 96830 * )
NEW met2 ( 96830 54740 ) ( * 57630 )
NEW met1 ( 119370 57630 ) ( * 57970 )
NEW met1 ( 119370 57970 ) ( 126730 * )
NEW met1 ( 126730 57970 ) ( * 58310 )
NEW met1 ( 96830 57630 ) ( 119370 * )
NEW met1 ( 62330 29410 ) ( 69690 * )
NEW met2 ( 69690 29410 ) ( * 47090 )
NEW met1 ( 69690 47090 ) ( 96370 * )
NEW met1 ( 60720 24990 ) ( 61410 * )
NEW met2 ( 61410 24990 ) ( 61870 * )
NEW met2 ( 61870 24990 ) ( * 25670 )
NEW met2 ( 61870 25670 ) ( 62330 * )
NEW met2 ( 62330 25670 ) ( * 29410 )
NEW met2 ( 96370 47090 ) ( * 54740 )
NEW met1 ( 96830 57630 ) M1M2_PR
NEW li1 ( 126730 58310 ) L1M1_PR_MR
NEW li1 ( 62330 29410 ) L1M1_PR_MR
NEW met1 ( 69690 29410 ) M1M2_PR
NEW met1 ( 69690 47090 ) M1M2_PR
NEW met1 ( 96370 47090 ) M1M2_PR
NEW li1 ( 60720 24990 ) L1M1_PR_MR
NEW met1 ( 61410 24990 ) M1M2_PR
NEW met1 ( 62330 29410 ) M1M2_PR
NEW met1 ( 62330 29410 ) RECT ( 0 -70 595 70 ) ;
- _036_ ( ANTENNA__109__D DIODE ) ( _109_ D ) ( _108_ X ) + USE SIGNAL
+ ROUTED met1 ( 67390 48450 ) ( 86710 * )
NEW met2 ( 86710 48450 ) ( * 62100 )
NEW met2 ( 86710 62100 ) ( 87630 * )
NEW met1 ( 66930 26690 ) ( 67390 * )
NEW met1 ( 61410 26010 ) ( * 26350 )
NEW met1 ( 61410 26010 ) ( 67390 * )
NEW met2 ( 67390 26010 ) ( * 26690 )
NEW met2 ( 67390 26690 ) ( * 48450 )
NEW met1 ( 124200 92990 ) ( 128110 * )
NEW met1 ( 87630 82450 ) ( 120750 * )
NEW met2 ( 120750 82450 ) ( * 93330 )
NEW met1 ( 120750 93330 ) ( 124200 * )
NEW met1 ( 124200 92990 ) ( * 93330 )
NEW met2 ( 87630 62100 ) ( * 82450 )
NEW met1 ( 67390 48450 ) M1M2_PR
NEW met1 ( 86710 48450 ) M1M2_PR
NEW li1 ( 128110 92990 ) L1M1_PR_MR
NEW li1 ( 66930 26690 ) L1M1_PR_MR
NEW met1 ( 67390 26690 ) M1M2_PR
NEW li1 ( 61410 26350 ) L1M1_PR_MR
NEW met1 ( 67390 26010 ) M1M2_PR
NEW met1 ( 87630 82450 ) M1M2_PR
NEW met1 ( 120750 82450 ) M1M2_PR
NEW met1 ( 120750 93330 ) M1M2_PR ;
- _037_ ( ANTENNA__124__A DIODE ) ( ANTENNA__185__A DIODE ) ( ANTENNA__189__C DIODE ) ( _189_ C ) ( _185_ A ) ( _124_ A ) ( _109_ X ) + USE SIGNAL
+ ROUTED met1 ( 199410 158950 ) ( 199870 * )
NEW met1 ( 199410 160990 ) ( 200330 * )
NEW met2 ( 199410 158780 ) ( * 160990 )
NEW met2 ( 199410 158780 ) ( 199870 * )
NEW met2 ( 199870 76670 ) ( * 158950 )
NEW met1 ( 58190 26690 ) ( 60030 * )
NEW met2 ( 60030 26690 ) ( * 45220 )
NEW met3 ( 60030 45220 ) ( 119830 * )
NEW met2 ( 123970 55930 ) ( * 56100 )
NEW met3 ( 123970 56100 ) ( 135930 * )
NEW met2 ( 135930 56100 ) ( * 58650 )
NEW met1 ( 119370 56610 ) ( 123970 * )
NEW met1 ( 123970 55930 ) ( * 56610 )
NEW met2 ( 119830 45220 ) ( * 56610 )
NEW met1 ( 157090 76670 ) ( 170430 * )
NEW met2 ( 157090 58650 ) ( * 76670 )
NEW met2 ( 175030 76670 ) ( * 77350 )
NEW met1 ( 170430 76670 ) ( 175030 * )
NEW met1 ( 135930 58650 ) ( 157090 * )
NEW met1 ( 175030 76670 ) ( 199870 * )
NEW li1 ( 199410 158950 ) L1M1_PR_MR
NEW met1 ( 199870 158950 ) M1M2_PR
NEW li1 ( 200330 160990 ) L1M1_PR_MR
NEW met1 ( 199410 160990 ) M1M2_PR
NEW met1 ( 199870 76670 ) M1M2_PR
NEW li1 ( 58190 26690 ) L1M1_PR_MR
NEW met1 ( 60030 26690 ) M1M2_PR
NEW met2 ( 60030 45220 ) M2M3_PR_M
NEW met2 ( 119830 45220 ) M2M3_PR_M
NEW li1 ( 123970 55930 ) L1M1_PR_MR
NEW met1 ( 123970 55930 ) M1M2_PR
NEW met2 ( 123970 56100 ) M2M3_PR_M
NEW met2 ( 135930 56100 ) M2M3_PR_M
NEW met1 ( 135930 58650 ) M1M2_PR
NEW li1 ( 119370 56610 ) L1M1_PR_MR
NEW met1 ( 119830 56610 ) M1M2_PR
NEW li1 ( 170430 76670 ) L1M1_PR_MR
NEW met1 ( 157090 76670 ) M1M2_PR
NEW met1 ( 157090 58650 ) M1M2_PR
NEW li1 ( 175030 77350 ) L1M1_PR_MR
NEW met1 ( 175030 77350 ) M1M2_PR
NEW met1 ( 175030 76670 ) M1M2_PR
NEW met1 ( 123970 55930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 119830 56610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 175030 77350 ) RECT ( -355 -70 0 70 ) ;
- _038_ ( ANTENNA__114__A DIODE ) ( _114_ A ) ( _110_ X ) + USE SIGNAL
+ ROUTED met2 ( 239890 186660 ) ( 240350 * )
NEW met2 ( 239890 186660 ) ( * 199410 )
NEW met2 ( 240350 179400 ) ( * 186660 )
NEW met2 ( 239890 131100 ) ( 240350 * )
NEW met2 ( 240350 131100 ) ( * 137700 )
NEW met2 ( 239890 137700 ) ( 240350 * )
NEW met2 ( 239890 137700 ) ( * 179400 )
NEW met2 ( 239890 179400 ) ( 240350 * )
NEW met1 ( 219190 100130 ) ( 239890 * )
NEW met1 ( 215050 99110 ) ( 215970 * )
NEW met2 ( 215970 99110 ) ( * 100130 )
NEW met1 ( 215970 100130 ) ( 219190 * )
NEW met2 ( 239890 100130 ) ( * 131100 )
NEW li1 ( 239890 199410 ) L1M1_PR_MR
NEW met1 ( 239890 199410 ) M1M2_PR
NEW li1 ( 219190 100130 ) L1M1_PR_MR
NEW met1 ( 239890 100130 ) M1M2_PR
NEW li1 ( 215050 99110 ) L1M1_PR_MR
NEW met1 ( 215970 99110 ) M1M2_PR
NEW met1 ( 215970 100130 ) M1M2_PR
NEW met1 ( 239890 199410 ) RECT ( -355 -70 0 70 ) ;
- _039_ ( ANTENNA__114__B DIODE ) ( _114_ B ) ( _111_ X ) + USE SIGNAL
+ ROUTED met1 ( 211370 100130 ) ( 213670 * )
NEW met2 ( 51290 100130 ) ( * 128350 )
NEW met1 ( 51290 100130 ) ( 211370 * )
NEW met1 ( 51290 100130 ) M1M2_PR
NEW li1 ( 211370 100130 ) L1M1_PR_MR
NEW li1 ( 213670 100130 ) L1M1_PR_MR
NEW li1 ( 51290 128350 ) L1M1_PR_MR
NEW met1 ( 51290 128350 ) M1M2_PR
NEW met1 ( 51290 128350 ) RECT ( -355 -70 0 70 ) ;
- _040_ ( _114_ C ) ( _112_ X ) + USE SIGNAL
+ ROUTED met2 ( 210910 99450 ) ( * 101150 )
NEW met1 ( 210910 99450 ) ( 214130 * )
NEW met1 ( 198030 101150 ) ( 210910 * )
NEW li1 ( 198030 101150 ) L1M1_PR_MR
NEW met1 ( 210910 101150 ) M1M2_PR
NEW met1 ( 210910 99450 ) M1M2_PR
NEW li1 ( 214130 99450 ) L1M1_PR_MR ;
- _041_ ( ANTENNA__114__D DIODE ) ( _114_ D ) ( _113_ X ) + USE SIGNAL
+ ROUTED met1 ( 212750 97410 ) ( 213670 * )
NEW met2 ( 213670 97410 ) ( * 98770 )
NEW met1 ( 136390 97410 ) ( 212750 * )
NEW met2 ( 136390 97410 ) ( * 228990 )
NEW met1 ( 136390 97410 ) M1M2_PR
NEW li1 ( 212750 97410 ) L1M1_PR_MR
NEW met1 ( 213670 97410 ) M1M2_PR
NEW li1 ( 213670 98770 ) L1M1_PR_MR
NEW met1 ( 213670 98770 ) M1M2_PR
NEW li1 ( 136390 228990 ) L1M1_PR_MR
NEW met1 ( 136390 228990 ) M1M2_PR
NEW met1 ( 213670 98770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136390 228990 ) RECT ( -355 -70 0 70 ) ;
- _042_ ( _124_ B ) ( _114_ X ) + USE SIGNAL
+ ROUTED met2 ( 210910 78030 ) ( * 98430 )
NEW met1 ( 210910 98430 ) ( 215970 * )
NEW met1 ( 174110 78030 ) ( 210910 * )
NEW li1 ( 174110 78030 ) L1M1_PR_MR
NEW met1 ( 210910 78030 ) M1M2_PR
NEW met1 ( 210910 98430 ) M1M2_PR
NEW li1 ( 215970 98430 ) L1M1_PR_MR ;
- _043_ ( ANTENNA__116__C DIODE ) ( ANTENNA__185__B DIODE ) ( _185_ B ) ( _116_ C ) ( _115_ Y ) + USE SIGNAL
+ ROUTED met1 ( 177330 57970 ) ( * 58310 )
NEW met1 ( 177330 58310 ) ( 181930 * )
NEW met1 ( 175950 57970 ) ( * 58310 )
NEW met1 ( 175950 57970 ) ( 177330 * )
NEW met2 ( 127650 53890 ) ( * 55250 )
NEW met1 ( 127650 55250 ) ( 135010 * )
NEW met2 ( 135010 55250 ) ( * 58310 )
NEW met1 ( 124430 56270 ) ( 127650 * )
NEW met2 ( 127650 55250 ) ( * 56270 )
NEW met1 ( 135010 58310 ) ( 175950 * )
NEW met1 ( 208150 57630 ) ( * 58310 )
NEW met1 ( 208150 57630 ) ( 226090 * )
NEW met1 ( 181930 58310 ) ( 208150 * )
NEW met2 ( 226090 57630 ) ( * 223550 )
NEW li1 ( 181930 58310 ) L1M1_PR_MR
NEW li1 ( 177330 57970 ) L1M1_PR_MR
NEW li1 ( 127650 53890 ) L1M1_PR_MR
NEW met1 ( 127650 53890 ) M1M2_PR
NEW met1 ( 127650 55250 ) M1M2_PR
NEW met1 ( 135010 55250 ) M1M2_PR
NEW met1 ( 135010 58310 ) M1M2_PR
NEW li1 ( 124430 56270 ) L1M1_PR_MR
NEW met1 ( 127650 56270 ) M1M2_PR
NEW li1 ( 226090 223550 ) L1M1_PR_MR
NEW met1 ( 226090 223550 ) M1M2_PR
NEW met1 ( 226090 57630 ) M1M2_PR
NEW met1 ( 127650 53890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 226090 223550 ) RECT ( -355 -70 0 70 ) ;
- _044_ ( ANTENNA__123__A DIODE ) ( _123_ A ) ( _116_ X ) + USE SIGNAL
+ ROUTED met1 ( 127650 86530 ) ( 129030 * )
NEW met1 ( 124430 193630 ) ( * 194310 )
NEW met1 ( 124430 193630 ) ( 129030 * )
NEW met2 ( 127650 62100 ) ( * 86530 )
NEW met2 ( 127650 62100 ) ( 128110 * )
NEW met2 ( 128110 58820 ) ( * 62100 )
NEW met2 ( 128110 58820 ) ( 128570 * )
NEW met2 ( 128570 55930 ) ( * 58820 )
NEW met1 ( 128570 55930 ) ( 129490 * )
NEW met1 ( 129490 55590 ) ( * 55930 )
NEW met1 ( 129490 55590 ) ( 135470 * )
NEW met2 ( 135470 55590 ) ( * 57970 )
NEW met1 ( 135470 57970 ) ( 175030 * )
NEW met2 ( 129030 86530 ) ( * 193630 )
NEW li1 ( 175030 57970 ) L1M1_PR_MR
NEW met1 ( 127650 86530 ) M1M2_PR
NEW met1 ( 129030 86530 ) M1M2_PR
NEW li1 ( 129030 193630 ) L1M1_PR_MR
NEW met1 ( 129030 193630 ) M1M2_PR
NEW li1 ( 124430 194310 ) L1M1_PR_MR
NEW met1 ( 128570 55930 ) M1M2_PR
NEW met1 ( 135470 55590 ) M1M2_PR
NEW met1 ( 135470 57970 ) M1M2_PR
NEW met1 ( 129030 193630 ) RECT ( -355 -70 0 70 ) ;
- _045_ ( ANTENNA__123__B DIODE ) ( _123_ B ) ( _117_ X ) + USE SIGNAL
+ ROUTED met1 ( 54510 62050 ) ( 60490 * )
NEW met2 ( 60490 62050 ) ( * 193970 )
NEW met1 ( 60490 193970 ) ( 96600 * )
NEW met1 ( 96600 195330 ) ( 119830 * )
NEW met1 ( 96600 193970 ) ( * 195330 )
NEW met1 ( 122590 193970 ) ( 123970 * )
NEW met2 ( 122590 193970 ) ( * 195330 )
NEW met1 ( 119830 195330 ) ( 122590 * )
NEW met1 ( 60490 193970 ) M1M2_PR
NEW met1 ( 60490 62050 ) M1M2_PR
NEW li1 ( 54510 62050 ) L1M1_PR_MR
NEW li1 ( 119830 195330 ) L1M1_PR_MR
NEW li1 ( 123970 193970 ) L1M1_PR_MR
NEW met1 ( 122590 193970 ) M1M2_PR
NEW met1 ( 122590 195330 ) M1M2_PR ;
- _046_ ( ANTENNA__123__C DIODE ) ( ANTENNA__189__B DIODE ) ( _189_ B ) ( _123_ C ) ( _118_ X ) + USE SIGNAL
+ ROUTED met1 ( 198030 162690 ) ( 199870 * )
NEW met2 ( 199870 159630 ) ( * 162690 )
NEW met2 ( 199870 162690 ) ( * 194990 )
NEW met2 ( 93610 158700 ) ( * 193630 )
NEW met1 ( 83950 138210 ) ( 93150 * )
NEW met2 ( 93150 138210 ) ( * 158700 )
NEW met2 ( 93150 158700 ) ( 93610 * )
NEW met1 ( 123325 194650 ) ( * 194990 )
NEW met1 ( 117530 194990 ) ( 123325 * )
NEW met1 ( 117070 193630 ) ( * 194990 )
NEW met1 ( 117070 194990 ) ( 117530 * )
NEW met1 ( 93610 193630 ) ( 117070 * )
NEW met1 ( 123325 194990 ) ( 199870 * )
NEW met2 ( 83950 28730 ) ( * 138210 )
NEW met1 ( 199870 194990 ) M1M2_PR
NEW li1 ( 198030 162690 ) L1M1_PR_MR
NEW met1 ( 199870 162690 ) M1M2_PR
NEW li1 ( 199870 159630 ) L1M1_PR_MR
NEW met1 ( 199870 159630 ) M1M2_PR
NEW met1 ( 93610 193630 ) M1M2_PR
NEW li1 ( 83950 28730 ) L1M1_PR_MR
NEW met1 ( 83950 28730 ) M1M2_PR
NEW met1 ( 83950 138210 ) M1M2_PR
NEW met1 ( 93150 138210 ) M1M2_PR
NEW li1 ( 123325 194650 ) L1M1_PR_MR
NEW li1 ( 117530 194990 ) L1M1_PR_MR
NEW met1 ( 199870 159630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83950 28730 ) RECT ( -355 -70 0 70 ) ;
- _047_ ( ANTENNA__100__A DIODE ) ( ANTENNA__102__A DIODE ) ( ANTENNA__121__A DIODE ) ( ANTENNA__183__A DIODE ) ( _183_ A ) ( _121_ A ) ( _119_ Y )
( _102_ A ) ( _100_ A ) + USE SIGNAL
+ ROUTED met1 ( 235290 131410 ) ( * 131750 )
NEW met1 ( 231150 131410 ) ( 235290 * )
NEW met1 ( 188370 50490 ) ( 192970 * )
NEW met1 ( 207000 131410 ) ( 231150 * )
NEW met1 ( 207000 131410 ) ( * 131750 )
NEW met1 ( 229770 86190 ) ( 234370 * )
NEW met2 ( 234370 86190 ) ( * 131410 )
NEW met1 ( 17250 53890 ) ( 17710 * )
NEW met2 ( 17710 53890 ) ( * 58990 )
NEW met1 ( 17710 58990 ) ( 46690 * )
NEW met2 ( 14490 53890 ) ( * 55930 )
NEW met1 ( 14490 53890 ) ( 17250 * )
NEW met2 ( 46690 58990 ) ( * 110400 )
NEW met2 ( 46230 110400 ) ( 46690 * )
NEW met2 ( 46230 110400 ) ( * 207230 )
NEW met1 ( 123510 207230 ) ( 123970 * )
NEW met1 ( 46230 207230 ) ( 123510 * )
NEW met2 ( 123970 132090 ) ( * 207230 )
NEW met2 ( 229770 62100 ) ( * 86190 )
NEW met2 ( 229770 62100 ) ( 230690 * )
NEW met1 ( 192970 50490 ) ( 230690 * )
NEW met1 ( 230690 41990 ) ( 237590 * )
NEW met2 ( 237590 36890 ) ( * 41990 )
NEW met1 ( 235290 36890 ) ( 237590 * )
NEW met2 ( 230690 41990 ) ( * 62100 )
NEW met2 ( 169050 132090 ) ( * 132260 )
NEW met3 ( 169050 132260 ) ( 174570 * )
NEW met2 ( 174570 131750 ) ( * 132260 )
NEW met1 ( 123970 132090 ) ( 169050 * )
NEW met1 ( 174570 131750 ) ( 207000 * )
NEW li1 ( 231150 131410 ) L1M1_PR_MR
NEW li1 ( 235290 131750 ) L1M1_PR_MR
NEW met1 ( 234370 131410 ) M1M2_PR
NEW li1 ( 192970 50490 ) L1M1_PR_MR
NEW li1 ( 188370 50490 ) L1M1_PR_MR
NEW met1 ( 229770 86190 ) M1M2_PR
NEW met1 ( 234370 86190 ) M1M2_PR
NEW li1 ( 17250 53890 ) L1M1_PR_MR
NEW met1 ( 17710 53890 ) M1M2_PR
NEW met1 ( 17710 58990 ) M1M2_PR
NEW met1 ( 46690 58990 ) M1M2_PR
NEW li1 ( 14490 55930 ) L1M1_PR_MR
NEW met1 ( 14490 55930 ) M1M2_PR
NEW met1 ( 14490 53890 ) M1M2_PR
NEW met1 ( 46230 207230 ) M1M2_PR
NEW met1 ( 123970 132090 ) M1M2_PR
NEW met1 ( 123970 207230 ) M1M2_PR
NEW li1 ( 123510 207230 ) L1M1_PR_MR
NEW met1 ( 230690 50490 ) M1M2_PR
NEW li1 ( 230690 41990 ) L1M1_PR_MR
NEW met1 ( 237590 41990 ) M1M2_PR
NEW met1 ( 237590 36890 ) M1M2_PR
NEW li1 ( 235290 36890 ) L1M1_PR_MR
NEW met1 ( 230690 41990 ) M1M2_PR
NEW met1 ( 169050 132090 ) M1M2_PR
NEW met2 ( 169050 132260 ) M2M3_PR_M
NEW met2 ( 174570 132260 ) M2M3_PR_M
NEW met1 ( 174570 131750 ) M1M2_PR
NEW met1 ( 234370 131410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 14490 55930 ) RECT ( -355 -70 0 70 )
NEW met2 ( 230690 50490 ) RECT ( -70 -485 70 0 )
NEW met1 ( 230690 41990 ) RECT ( -595 -70 0 70 ) ;
- _048_ ( ANTENNA__100__B DIODE ) ( ANTENNA__102__B DIODE ) ( ANTENNA__121__B DIODE ) ( ANTENNA__183__B DIODE ) ( _183_ B ) ( _121_ B ) ( _120_ Y )
( _102_ B ) ( _100_ B ) + USE SIGNAL
+ ROUTED met2 ( 6670 56270 ) ( * 165070 )
NEW met2 ( 234370 132430 ) ( * 133790 )
NEW met1 ( 232530 133790 ) ( 234370 * )
NEW met1 ( 232070 133790 ) ( 232530 * )
NEW met1 ( 187910 47770 ) ( 188830 * )
NEW met2 ( 187910 47770 ) ( * 50490 )
NEW met1 ( 184690 50490 ) ( 187910 * )
NEW met1 ( 184690 49810 ) ( * 50490 )
NEW met1 ( 193430 49810 ) ( * 50150 )
NEW met1 ( 187910 49810 ) ( 193430 * )
NEW met1 ( 6670 165070 ) ( 31970 * )
NEW met2 ( 18170 50490 ) ( * 57630 )
NEW met1 ( 14950 56270 ) ( 18170 * )
NEW met1 ( 6670 56270 ) ( 14950 * )
NEW met1 ( 158700 49810 ) ( 184690 * )
NEW li1 ( 111090 50490 ) ( * 51170 )
NEW met1 ( 111090 51170 ) ( 148350 * )
NEW met2 ( 148350 50150 ) ( * 51170 )
NEW met1 ( 148350 50150 ) ( 158700 * )
NEW met1 ( 158700 49810 ) ( * 50150 )
NEW met1 ( 18170 50490 ) ( 111090 * )
NEW met2 ( 231610 53380 ) ( 232070 * )
NEW met2 ( 231610 49810 ) ( * 53380 )
NEW met1 ( 193430 49810 ) ( 231610 * )
NEW met2 ( 232070 53380 ) ( * 133790 )
NEW met1 ( 231610 40290 ) ( 234830 * )
NEW met1 ( 233910 36210 ) ( * 36890 )
NEW met1 ( 231610 36210 ) ( 233910 * )
NEW met2 ( 231610 36210 ) ( * 40290 )
NEW met2 ( 231610 40290 ) ( * 49810 )
NEW met1 ( 6670 56270 ) M1M2_PR
NEW met1 ( 6670 165070 ) M1M2_PR
NEW li1 ( 234370 132430 ) L1M1_PR_MR
NEW met1 ( 234370 132430 ) M1M2_PR
NEW met1 ( 234370 133790 ) M1M2_PR
NEW li1 ( 232530 133790 ) L1M1_PR_MR
NEW met1 ( 232070 133790 ) M1M2_PR
NEW li1 ( 188830 47770 ) L1M1_PR_MR
NEW met1 ( 187910 47770 ) M1M2_PR
NEW met1 ( 187910 50490 ) M1M2_PR
NEW li1 ( 193430 50150 ) L1M1_PR_MR
NEW met1 ( 187910 49810 ) M1M2_PR
NEW li1 ( 31970 165070 ) L1M1_PR_MR
NEW li1 ( 18170 57630 ) L1M1_PR_MR
NEW met1 ( 18170 57630 ) M1M2_PR
NEW met1 ( 18170 50490 ) M1M2_PR
NEW li1 ( 14950 56270 ) L1M1_PR_MR
NEW met1 ( 18170 56270 ) M1M2_PR
NEW li1 ( 111090 50490 ) L1M1_PR_MR
NEW li1 ( 111090 51170 ) L1M1_PR_MR
NEW met1 ( 148350 51170 ) M1M2_PR
NEW met1 ( 148350 50150 ) M1M2_PR
NEW met1 ( 231610 49810 ) M1M2_PR
NEW li1 ( 234830 40290 ) L1M1_PR_MR
NEW met1 ( 231610 40290 ) M1M2_PR
NEW li1 ( 233910 36890 ) L1M1_PR_MR
NEW met1 ( 231610 36210 ) M1M2_PR
NEW met1 ( 234370 132430 ) RECT ( -355 -70 0 70 )
NEW met2 ( 187910 49810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 18170 57630 ) RECT ( -355 -70 0 70 )
NEW met2 ( 18170 56270 ) RECT ( -70 -485 70 0 ) ;
- _049_ ( ANTENNA__122__A DIODE ) ( _122_ A ) ( _121_ X ) + USE SIGNAL
+ ROUTED met2 ( 191590 49470 ) ( * 49980 )
NEW met1 ( 14030 96390 ) ( * 96730 )
NEW met1 ( 10810 96730 ) ( 14490 * )
NEW met2 ( 14490 62100 ) ( * 96730 )
NEW met2 ( 14490 62100 ) ( 15410 * )
NEW met2 ( 15410 49980 ) ( * 62100 )
NEW met3 ( 15410 49980 ) ( 191590 * )
NEW li1 ( 10810 96730 ) L1M1_PR_MR
NEW met2 ( 191590 49980 ) M2M3_PR_M
NEW li1 ( 191590 49470 ) L1M1_PR_MR
NEW met1 ( 191590 49470 ) M1M2_PR
NEW met1 ( 14490 96730 ) M1M2_PR
NEW li1 ( 14030 96390 ) L1M1_PR_MR
NEW met2 ( 15410 49980 ) M2M3_PR_M
NEW met1 ( 191590 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14030 96390 ) RECT ( 0 -70 255 70 ) ;
- _050_ ( ANTENNA__124__C DIODE ) ( _124_ C ) ( _123_ X ) + USE SIGNAL
+ ROUTED met1 ( 125810 193970 ) ( 173650 * )
NEW met1 ( 172730 77350 ) ( 173650 * )
NEW met2 ( 172730 77350 ) ( * 88570 )
NEW met1 ( 172730 88570 ) ( 173650 * )
NEW met1 ( 172270 75650 ) ( 172730 * )
NEW met2 ( 172730 75650 ) ( * 77350 )
NEW met2 ( 173650 88570 ) ( * 193970 )
NEW met1 ( 173650 193970 ) M1M2_PR
NEW li1 ( 125810 193970 ) L1M1_PR_MR
NEW li1 ( 173650 77350 ) L1M1_PR_MR
NEW met1 ( 172730 77350 ) M1M2_PR
NEW met1 ( 172730 88570 ) M1M2_PR
NEW met1 ( 173650 88570 ) M1M2_PR
NEW li1 ( 172270 75650 ) L1M1_PR_MR
NEW met1 ( 172730 75650 ) M1M2_PR ;
- _051_ ( ANTENNA__125__A DIODE ) ( ANTENNA__126__A DIODE ) ( ANTENNA__142__A DIODE ) ( _142_ A ) ( _126_ A ) ( _125_ A ) ( _124_ X ) + USE SIGNAL
+ ROUTED met2 ( 201710 183770 ) ( * 184450 )
NEW met1 ( 198490 184450 ) ( 201710 * )
NEW met1 ( 193890 184450 ) ( 198490 * )
NEW met2 ( 201710 184450 ) ( * 185810 )
NEW met1 ( 238050 185810 ) ( * 186150 )
NEW met2 ( 238050 186150 ) ( * 188190 )
NEW met1 ( 201710 185810 ) ( 238050 * )
NEW met1 ( 177330 77690 ) ( 193890 * )
NEW met2 ( 193890 77690 ) ( * 184450 )
NEW met2 ( 198490 184450 ) ( * 212670 )
NEW met1 ( 158700 212670 ) ( 198490 * )
NEW met1 ( 157090 213690 ) ( 157550 * )
NEW met2 ( 157090 213690 ) ( * 216410 )
NEW met1 ( 158700 212670 ) ( * 213690 )
NEW met1 ( 157550 213690 ) ( 158700 * )
NEW li1 ( 198490 184450 ) L1M1_PR_MR
NEW met1 ( 198490 184450 ) M1M2_PR
NEW li1 ( 201710 183770 ) L1M1_PR_MR
NEW met1 ( 201710 183770 ) M1M2_PR
NEW met1 ( 201710 184450 ) M1M2_PR
NEW met1 ( 193890 184450 ) M1M2_PR
NEW met1 ( 201710 185810 ) M1M2_PR
NEW li1 ( 238050 186150 ) L1M1_PR_MR
NEW li1 ( 238050 188190 ) L1M1_PR_MR
NEW met1 ( 238050 188190 ) M1M2_PR
NEW met1 ( 238050 186150 ) M1M2_PR
NEW li1 ( 177330 77690 ) L1M1_PR_MR
NEW met1 ( 193890 77690 ) M1M2_PR
NEW met1 ( 198490 212670 ) M1M2_PR
NEW li1 ( 157550 213690 ) L1M1_PR_MR
NEW met1 ( 157090 213690 ) M1M2_PR
NEW li1 ( 157090 216410 ) L1M1_PR_MR
NEW met1 ( 157090 216410 ) M1M2_PR
NEW met1 ( 198490 184450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 201710 183770 ) RECT ( 0 -70 355 70 )
NEW met1 ( 238050 188190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 238050 186150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 157090 216410 ) RECT ( -355 -70 0 70 ) ;
- _052_ ( ANTENNA__105__A2 DIODE ) ( ANTENNA__132__A2 DIODE ) ( ANTENNA__133__A DIODE ) ( ANTENNA__181__B2 DIODE ) ( ANTENNA__182__B2 DIODE ) ( _182_ B2 ) ( _181_ B2 )
( _133_ A ) ( _132_ A2 ) ( _125_ X ) ( _105_ A2 ) + USE SIGNAL
+ ROUTED met2 ( 203550 183430 ) ( * 186830 )
NEW met1 ( 226090 189210 ) ( 227470 * )
NEW met1 ( 226090 188870 ) ( * 189210 )
NEW met1 ( 225170 188870 ) ( 226090 * )
NEW met2 ( 225170 186830 ) ( * 188870 )
NEW met2 ( 225170 188870 ) ( * 190910 )
NEW met2 ( 10810 59330 ) ( * 61030 )
NEW met1 ( 10810 59330 ) ( 12650 * )
NEW met1 ( 12650 58650 ) ( * 59330 )
NEW met2 ( 203550 179400 ) ( * 183430 )
NEW met2 ( 203550 179400 ) ( 204010 * )
NEW met1 ( 237590 183090 ) ( 238510 * )
NEW met2 ( 237590 183090 ) ( * 186830 )
NEW met1 ( 234830 186830 ) ( 237590 * )
NEW met1 ( 203550 186830 ) ( 234830 * )
NEW met1 ( 27370 82790 ) ( 27830 * )
NEW met1 ( 32890 82790 ) ( * 83130 )
NEW met1 ( 27830 82790 ) ( 32890 * )
NEW met1 ( 12650 58650 ) ( 27830 * )
NEW met2 ( 27830 58650 ) ( * 82790 )
NEW met1 ( 204470 71910 ) ( 207230 * )
NEW met2 ( 207230 71910 ) ( * 87550 )
NEW met1 ( 204010 87550 ) ( 207230 * )
NEW met1 ( 207230 71910 ) ( 209990 * )
NEW met2 ( 204010 87550 ) ( * 179400 )
NEW met2 ( 153870 75140 ) ( * 75310 )
NEW met3 ( 153870 75140 ) ( 167670 * )
NEW met2 ( 167670 74630 ) ( * 75140 )
NEW met1 ( 27830 75310 ) ( 153870 * )
NEW met1 ( 167670 74630 ) ( 207230 * )
NEW li1 ( 203550 183430 ) L1M1_PR_MR
NEW met1 ( 203550 183430 ) M1M2_PR
NEW met1 ( 203550 186830 ) M1M2_PR
NEW li1 ( 227470 189210 ) L1M1_PR_MR
NEW met1 ( 225170 188870 ) M1M2_PR
NEW met1 ( 225170 186830 ) M1M2_PR
NEW li1 ( 225170 190910 ) L1M1_PR_MR
NEW met1 ( 225170 190910 ) M1M2_PR
NEW li1 ( 10810 61030 ) L1M1_PR_MR
NEW met1 ( 10810 61030 ) M1M2_PR
NEW met1 ( 10810 59330 ) M1M2_PR
NEW li1 ( 12650 59330 ) L1M1_PR_MR
NEW li1 ( 234830 186830 ) L1M1_PR_MR
NEW li1 ( 238510 183090 ) L1M1_PR_MR
NEW met1 ( 237590 183090 ) M1M2_PR
NEW met1 ( 237590 186830 ) M1M2_PR
NEW li1 ( 27370 82790 ) L1M1_PR_MR
NEW met1 ( 27830 82790 ) M1M2_PR
NEW li1 ( 32890 83130 ) L1M1_PR_MR
NEW met1 ( 27830 75310 ) M1M2_PR
NEW met1 ( 27830 58650 ) M1M2_PR
NEW li1 ( 204470 71910 ) L1M1_PR_MR
NEW met1 ( 207230 71910 ) M1M2_PR
NEW met1 ( 207230 87550 ) M1M2_PR
NEW met1 ( 204010 87550 ) M1M2_PR
NEW li1 ( 209990 71910 ) L1M1_PR_MR
NEW met1 ( 207230 74630 ) M1M2_PR
NEW met1 ( 153870 75310 ) M1M2_PR
NEW met2 ( 153870 75140 ) M2M3_PR_M
NEW met2 ( 167670 75140 ) M2M3_PR_M
NEW met1 ( 167670 74630 ) M1M2_PR
NEW met1 ( 203550 183430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 225170 186830 ) RECT ( -595 -70 0 70 )
NEW met1 ( 225170 190910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10810 61030 ) RECT ( 0 -70 355 70 )
NEW met2 ( 27830 75310 ) RECT ( -70 -485 70 0 )
NEW met2 ( 207230 74630 ) RECT ( -70 -485 70 0 ) ;
- _053_ ( ANTENNA__127__A DIODE ) ( ANTENNA__138__A DIODE ) ( _138_ A ) ( _127_ A ) ( _126_ Y ) + USE SIGNAL
+ ROUTED met1 ( 238050 118490 ) ( 239430 * )
NEW met1 ( 236670 170850 ) ( 238050 * )
NEW met2 ( 236670 143650 ) ( * 170850 )
NEW met1 ( 236670 143650 ) ( 239430 * )
NEW met2 ( 238050 170850 ) ( * 185470 )
NEW met2 ( 239430 118490 ) ( * 143650 )
NEW met1 ( 19550 89250 ) ( 34730 * )
NEW met2 ( 34730 89250 ) ( * 91630 )
NEW met1 ( 34730 91630 ) ( 46690 * )
NEW met1 ( 46690 91630 ) ( * 91970 )
NEW met1 ( 14490 88230 ) ( * 88570 )
NEW met1 ( 14490 88570 ) ( 19550 * )
NEW met1 ( 19550 88570 ) ( * 89250 )
NEW met2 ( 238050 90610 ) ( * 118490 )
NEW met1 ( 138690 90610 ) ( * 90950 )
NEW met1 ( 129490 90950 ) ( 138690 * )
NEW met1 ( 129490 90950 ) ( * 91290 )
NEW met1 ( 138690 90610 ) ( 238050 * )
NEW met1 ( 124200 91290 ) ( 129490 * )
NEW met1 ( 124200 91290 ) ( * 91970 )
NEW met1 ( 46690 91970 ) ( 124200 * )
NEW met1 ( 238050 118490 ) M1M2_PR
NEW met1 ( 239430 118490 ) M1M2_PR
NEW li1 ( 238050 185470 ) L1M1_PR_MR
NEW met1 ( 238050 185470 ) M1M2_PR
NEW met1 ( 238050 170850 ) M1M2_PR
NEW met1 ( 236670 170850 ) M1M2_PR
NEW met1 ( 236670 143650 ) M1M2_PR
NEW met1 ( 239430 143650 ) M1M2_PR
NEW li1 ( 19550 89250 ) L1M1_PR_MR
NEW met1 ( 34730 89250 ) M1M2_PR
NEW met1 ( 34730 91630 ) M1M2_PR
NEW li1 ( 14490 88230 ) L1M1_PR_MR
NEW met1 ( 238050 90610 ) M1M2_PR
NEW li1 ( 129490 91290 ) L1M1_PR_MR
NEW li1 ( 124200 91290 ) L1M1_PR_MR
NEW met1 ( 238050 185470 ) RECT ( -355 -70 0 70 ) ;
- _054_ ( ANTENNA__105__B2 DIODE ) ( ANTENNA__128__A DIODE ) ( ANTENNA__180__A2 DIODE ) ( ANTENNA__181__A2 DIODE ) ( ANTENNA__182__A2 DIODE ) ( _182_ A2 ) ( _181_ A2 )
( _180_ A2 ) ( _128_ A ) ( _127_ X ) ( _105_ B2 ) + USE SIGNAL
+ ROUTED met1 ( 224250 185470 ) ( 225170 * )
NEW met2 ( 227010 185470 ) ( * 189890 )
NEW met1 ( 10350 60690 ) ( * 61030 )
NEW met2 ( 224250 134810 ) ( * 185470 )
NEW met1 ( 229310 189210 ) ( * 189890 )
NEW met1 ( 232530 185470 ) ( 232990 * )
NEW met2 ( 232990 184110 ) ( * 185470 )
NEW met1 ( 232990 184110 ) ( 239430 * )
NEW met1 ( 239430 183770 ) ( * 184110 )
NEW met1 ( 225170 185470 ) ( 232530 * )
NEW met1 ( 227010 189890 ) ( 229310 * )
NEW met1 ( 169050 134810 ) ( 171810 * )
NEW met1 ( 169050 134130 ) ( * 134810 )
NEW met1 ( 171810 134810 ) ( 224250 * )
NEW met1 ( 126730 90950 ) ( 127650 * )
NEW met2 ( 127650 90950 ) ( * 95710 )
NEW met1 ( 127650 95710 ) ( 135930 * )
NEW met1 ( 135930 95710 ) ( * 96050 )
NEW met1 ( 135930 96050 ) ( 138230 * )
NEW met1 ( 138230 95710 ) ( * 96050 )
NEW met2 ( 124890 85340 ) ( 125810 * )
NEW met2 ( 124890 85340 ) ( * 90950 )
NEW met1 ( 124890 90950 ) ( 126730 * )
NEW met1 ( 14950 60690 ) ( 48990 * )
NEW met1 ( 48990 60350 ) ( * 60690 )
NEW met1 ( 48990 60350 ) ( 52670 * )
NEW met2 ( 52670 59330 ) ( * 60350 )
NEW met1 ( 10350 60690 ) ( 14950 * )
NEW met1 ( 52670 59330 ) ( 125810 * )
NEW met2 ( 125810 59330 ) ( * 85340 )
NEW met1 ( 156170 134130 ) ( 169050 * )
NEW met2 ( 152950 78370 ) ( * 95710 )
NEW met1 ( 154330 74630 ) ( 155710 * )
NEW met2 ( 154330 74630 ) ( * 78370 )
NEW met1 ( 152950 78370 ) ( 154330 * )
NEW met1 ( 138230 95710 ) ( 156170 * )
NEW met2 ( 156170 95710 ) ( * 134130 )
NEW li1 ( 225170 185470 ) L1M1_PR_MR
NEW met1 ( 224250 185470 ) M1M2_PR
NEW met1 ( 227010 189890 ) M1M2_PR
NEW met1 ( 227010 185470 ) M1M2_PR
NEW li1 ( 10350 61030 ) L1M1_PR_MR
NEW met1 ( 224250 134810 ) M1M2_PR
NEW li1 ( 229310 189210 ) L1M1_PR_MR
NEW li1 ( 232530 185470 ) L1M1_PR_MR
NEW met1 ( 232990 185470 ) M1M2_PR
NEW met1 ( 232990 184110 ) M1M2_PR
NEW li1 ( 239430 183770 ) L1M1_PR_MR
NEW li1 ( 171810 134810 ) L1M1_PR_MR
NEW li1 ( 169050 134810 ) L1M1_PR_MR
NEW li1 ( 126730 90950 ) L1M1_PR_MR
NEW met1 ( 127650 90950 ) M1M2_PR
NEW met1 ( 127650 95710 ) M1M2_PR
NEW met1 ( 124890 90950 ) M1M2_PR
NEW li1 ( 14950 60690 ) L1M1_PR_MR
NEW met1 ( 52670 60350 ) M1M2_PR
NEW met1 ( 52670 59330 ) M1M2_PR
NEW met1 ( 125810 59330 ) M1M2_PR
NEW met1 ( 156170 134130 ) M1M2_PR
NEW met1 ( 156170 95710 ) M1M2_PR
NEW li1 ( 152950 78370 ) L1M1_PR_MR
NEW met1 ( 152950 78370 ) M1M2_PR
NEW met1 ( 152950 95710 ) M1M2_PR
NEW li1 ( 155710 74630 ) L1M1_PR_MR
NEW met1 ( 154330 74630 ) M1M2_PR
NEW met1 ( 154330 78370 ) M1M2_PR
NEW met1 ( 227010 185470 ) RECT ( -595 -70 0 70 )
NEW met1 ( 152950 78370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 152950 95710 ) RECT ( -595 -70 0 70 ) ;
- _055_ ( ANTENNA__132__B2 DIODE ) ( ANTENNA__134__A2 DIODE ) ( ANTENNA__135__A2 DIODE ) ( ANTENNA__136__A2 DIODE ) ( ANTENNA__137__A2 DIODE ) ( _137_ A2 ) ( _136_ A2 )
( _135_ A2 ) ( _134_ A2 ) ( _132_ B2 ) ( _128_ X ) + USE SIGNAL
+ ROUTED met1 ( 202630 71570 ) ( * 71910 )
NEW met1 ( 179170 71570 ) ( 202630 * )
NEW met2 ( 179170 71570 ) ( * 88230 )
NEW met1 ( 177790 88230 ) ( 179170 * )
NEW met2 ( 199870 68850 ) ( * 71570 )
NEW met2 ( 104650 140930 ) ( * 142630 )
NEW met1 ( 174570 134470 ) ( 177790 * )
NEW met2 ( 172730 134470 ) ( * 140930 )
NEW met1 ( 172730 134470 ) ( 174570 * )
NEW met2 ( 177790 88230 ) ( * 134470 )
NEW met1 ( 53130 145010 ) ( * 145350 )
NEW met1 ( 53130 145010 ) ( 55890 * )
NEW met1 ( 104650 140930 ) ( 172730 * )
NEW met1 ( 130410 88230 ) ( 131330 * )
NEW met2 ( 131330 88230 ) ( * 88740 )
NEW met3 ( 131330 88740 ) ( 136850 * )
NEW met2 ( 136850 88230 ) ( * 88740 )
NEW met1 ( 136850 88230 ) ( 177790 * )
NEW met1 ( 55890 142970 ) ( 85330 * )
NEW met1 ( 55890 142970 ) ( * 143310 )
NEW met1 ( 85330 141950 ) ( 92230 * )
NEW met1 ( 85330 141950 ) ( * 142290 )
NEW met2 ( 85330 142290 ) ( * 142970 )
NEW met1 ( 96370 141950 ) ( * 142630 )
NEW met1 ( 92230 141950 ) ( 96370 * )
NEW met1 ( 88090 141950 ) ( * 142630 )
NEW met2 ( 55890 143310 ) ( * 145010 )
NEW met1 ( 96370 142630 ) ( 104650 * )
NEW met1 ( 80730 234430 ) ( 85330 * )
NEW met1 ( 66010 235100 ) ( * 235110 )
NEW met2 ( 66010 234430 ) ( * 235100 )
NEW met1 ( 66010 234430 ) ( 80730 * )
NEW met2 ( 85330 142970 ) ( * 234430 )
NEW met1 ( 177790 88230 ) M1M2_PR
NEW li1 ( 202630 71910 ) L1M1_PR_MR
NEW met1 ( 179170 71570 ) M1M2_PR
NEW met1 ( 179170 88230 ) M1M2_PR
NEW li1 ( 199870 68850 ) L1M1_PR_MR
NEW met1 ( 199870 68850 ) M1M2_PR
NEW met1 ( 199870 71570 ) M1M2_PR
NEW met1 ( 104650 140930 ) M1M2_PR
NEW met1 ( 104650 142630 ) M1M2_PR
NEW li1 ( 174570 134470 ) L1M1_PR_MR
NEW met1 ( 177790 134470 ) M1M2_PR
NEW met1 ( 172730 140930 ) M1M2_PR
NEW met1 ( 172730 134470 ) M1M2_PR
NEW li1 ( 53130 145350 ) L1M1_PR_MR
NEW met1 ( 55890 145010 ) M1M2_PR
NEW li1 ( 136850 88230 ) L1M1_PR_MR
NEW li1 ( 130410 88230 ) L1M1_PR_MR
NEW met1 ( 131330 88230 ) M1M2_PR
NEW met2 ( 131330 88740 ) M2M3_PR_M
NEW met2 ( 136850 88740 ) M2M3_PR_M
NEW met1 ( 136850 88230 ) M1M2_PR
NEW li1 ( 55890 143310 ) L1M1_PR_MR
NEW met1 ( 55890 143310 ) M1M2_PR
NEW met1 ( 85330 142970 ) M1M2_PR
NEW li1 ( 92230 141950 ) L1M1_PR_MR
NEW met1 ( 85330 142290 ) M1M2_PR
NEW li1 ( 88090 142630 ) L1M1_PR_MR
NEW li1 ( 80730 234430 ) L1M1_PR_MR
NEW met1 ( 85330 234430 ) M1M2_PR
NEW li1 ( 66010 235110 ) L1M1_PR_MR
NEW met1 ( 66010 235100 ) M1M2_PR
NEW met1 ( 66010 234430 ) M1M2_PR
NEW met1 ( 199870 68850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 199870 71570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 136850 88230 ) RECT ( -595 -70 0 70 )
NEW met1 ( 55890 143310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 66010 235100 ) RECT ( 0 -70 345 70 ) ;
- _056_ ( ANTENNA__130__A DIODE ) ( ANTENNA__149__A DIODE ) ( ANTENNA__157__A DIODE ) ( ANTENNA__165__A DIODE ) ( ANTENNA__173__A DIODE ) ( _173_ A ) ( _165_ A )
( _157_ A ) ( _149_ A ) ( _130_ A ) ( _129_ Y ) + USE SIGNAL
+ ROUTED met1 ( 229770 139230 ) ( 231150 * )
NEW met1 ( 100510 37570 ) ( 103270 * )
NEW met2 ( 103270 37570 ) ( * 61710 )
NEW met2 ( 103270 61710 ) ( 104190 * )
NEW met1 ( 97750 36890 ) ( * 37570 )
NEW met1 ( 97750 37570 ) ( 100510 * )
NEW met2 ( 104190 61710 ) ( * 73950 )
NEW met1 ( 19090 74970 ) ( 32430 * )
NEW met1 ( 32430 74970 ) ( 37950 * )
NEW met1 ( 62100 73950 ) ( * 74970 )
NEW met1 ( 37950 74970 ) ( 62100 * )
NEW met1 ( 122590 71910 ) ( 123510 * )
NEW met2 ( 123510 71910 ) ( * 73100 )
NEW met2 ( 123050 73100 ) ( 123510 * )
NEW met1 ( 116150 71910 ) ( 122590 * )
NEW met2 ( 117530 71910 ) ( * 73950 )
NEW met1 ( 62100 73950 ) ( 117530 * )
NEW met2 ( 229770 99790 ) ( * 139230 )
NEW met1 ( 16330 42330 ) ( 19090 * )
NEW met2 ( 19090 42330 ) ( * 45390 )
NEW met2 ( 19090 45390 ) ( * 74970 )
NEW met1 ( 123050 98430 ) ( 123510 * )
NEW met2 ( 123050 98430 ) ( * 99790 )
NEW met1 ( 119830 96730 ) ( 123050 * )
NEW met2 ( 123050 73100 ) ( * 98430 )
NEW met1 ( 123050 99790 ) ( 229770 * )
NEW met1 ( 229770 139230 ) M1M2_PR
NEW li1 ( 231150 139230 ) L1M1_PR_MR
NEW met1 ( 104190 73950 ) M1M2_PR
NEW li1 ( 100510 37570 ) L1M1_PR_MR
NEW met1 ( 103270 37570 ) M1M2_PR
NEW li1 ( 97750 36890 ) L1M1_PR_MR
NEW li1 ( 32430 74970 ) L1M1_PR_MR
NEW met1 ( 19090 74970 ) M1M2_PR
NEW li1 ( 37950 74970 ) L1M1_PR_MR
NEW li1 ( 122590 71910 ) L1M1_PR_MR
NEW met1 ( 123510 71910 ) M1M2_PR
NEW li1 ( 116150 71910 ) L1M1_PR_MR
NEW met1 ( 117530 73950 ) M1M2_PR
NEW met1 ( 117530 71910 ) M1M2_PR
NEW met1 ( 229770 99790 ) M1M2_PR
NEW li1 ( 19090 45390 ) L1M1_PR_MR
NEW met1 ( 19090 45390 ) M1M2_PR
NEW li1 ( 16330 42330 ) L1M1_PR_MR
NEW met1 ( 19090 42330 ) M1M2_PR
NEW li1 ( 123510 98430 ) L1M1_PR_MR
NEW met1 ( 123050 98430 ) M1M2_PR
NEW met1 ( 123050 99790 ) M1M2_PR
NEW li1 ( 119830 96730 ) L1M1_PR_MR
NEW met1 ( 123050 96730 ) M1M2_PR
NEW met1 ( 104190 73950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 117530 71910 ) RECT ( -595 -70 0 70 )
NEW met1 ( 19090 45390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 123050 96730 ) RECT ( -70 -485 70 0 ) ;
- _057_ ( ANTENNA__131__A DIODE ) ( ANTENNA__140__A DIODE ) ( ANTENNA__180__C1 DIODE ) ( ANTENNA__181__C1 DIODE ) ( ANTENNA__182__C1 DIODE ) ( _182_ C1 ) ( _181_ C1 )
( _180_ C1 ) ( _140_ A ) ( _131_ A ) ( _130_ X ) + USE SIGNAL
+ ROUTED met1 ( 218270 189210 ) ( 225630 * )
NEW met2 ( 218270 188190 ) ( * 189210 )
NEW met1 ( 32430 81090 ) ( 34730 * )
NEW met2 ( 34730 81090 ) ( * 86530 )
NEW met2 ( 34730 78370 ) ( * 81090 )
NEW met1 ( 28750 77350 ) ( 34730 * )
NEW met2 ( 34730 77350 ) ( * 78370 )
NEW met1 ( 23230 80410 ) ( 32430 * )
NEW met1 ( 32430 80410 ) ( * 81090 )
NEW met1 ( 18630 64090 ) ( 23690 * )
NEW met2 ( 23690 64090 ) ( * 80070 )
NEW met1 ( 23690 80070 ) ( * 80410 )
NEW met1 ( 18170 64090 ) ( 18630 * )
NEW met2 ( 152030 97070 ) ( * 102850 )
NEW met1 ( 135930 97070 ) ( 152030 * )
NEW met1 ( 135930 97070 ) ( * 97410 )
NEW met1 ( 157550 74970 ) ( 158010 * )
NEW met2 ( 158010 74970 ) ( * 102850 )
NEW met1 ( 154790 71230 ) ( 158010 * )
NEW met2 ( 158010 71230 ) ( * 74970 )
NEW met1 ( 152030 102850 ) ( 218270 * )
NEW met2 ( 218270 102850 ) ( * 188190 )
NEW met1 ( 12190 61030 ) ( 18170 * )
NEW met2 ( 18170 61030 ) ( * 64090 )
NEW met2 ( 69230 86530 ) ( * 90780 )
NEW met1 ( 34730 86530 ) ( 69230 * )
NEW met2 ( 121210 90780 ) ( * 96390 )
NEW met2 ( 121210 96390 ) ( * 97410 )
NEW met3 ( 69230 90780 ) ( 121210 * )
NEW met1 ( 121210 97410 ) ( 135930 * )
NEW li1 ( 218270 188190 ) L1M1_PR_MR
NEW met1 ( 218270 188190 ) M1M2_PR
NEW li1 ( 225630 189210 ) L1M1_PR_MR
NEW met1 ( 218270 189210 ) M1M2_PR
NEW li1 ( 12190 61030 ) L1M1_PR_MR
NEW li1 ( 32430 81090 ) L1M1_PR_MR
NEW met1 ( 34730 81090 ) M1M2_PR
NEW met1 ( 34730 86530 ) M1M2_PR
NEW li1 ( 34730 78370 ) L1M1_PR_MR
NEW met1 ( 34730 78370 ) M1M2_PR
NEW li1 ( 28750 77350 ) L1M1_PR_MR
NEW met1 ( 34730 77350 ) M1M2_PR
NEW li1 ( 23230 80410 ) L1M1_PR_MR
NEW li1 ( 18630 64090 ) L1M1_PR_MR
NEW met1 ( 23690 64090 ) M1M2_PR
NEW met1 ( 23690 80070 ) M1M2_PR
NEW met1 ( 18170 64090 ) M1M2_PR
NEW met1 ( 152030 102850 ) M1M2_PR
NEW met1 ( 152030 97070 ) M1M2_PR
NEW li1 ( 157550 74970 ) L1M1_PR_MR
NEW met1 ( 158010 74970 ) M1M2_PR
NEW met1 ( 158010 102850 ) M1M2_PR
NEW li1 ( 154790 71230 ) L1M1_PR_MR
NEW met1 ( 158010 71230 ) M1M2_PR
NEW met1 ( 218270 102850 ) M1M2_PR
NEW met1 ( 18170 61030 ) M1M2_PR
NEW met1 ( 69230 86530 ) M1M2_PR
NEW met2 ( 69230 90780 ) M2M3_PR_M
NEW li1 ( 121210 96390 ) L1M1_PR_MR
NEW met1 ( 121210 96390 ) M1M2_PR
NEW met2 ( 121210 90780 ) M2M3_PR_M
NEW met1 ( 121210 97410 ) M1M2_PR
NEW met1 ( 218270 188190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 34730 78370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 158010 102850 ) RECT ( -595 -70 0 70 )
NEW met1 ( 121210 96390 ) RECT ( -355 -70 0 70 ) ;
- _058_ ( ANTENNA__132__C1 DIODE ) ( ANTENNA__134__C1 DIODE ) ( ANTENNA__135__C1 DIODE ) ( ANTENNA__136__C1 DIODE ) ( ANTENNA__137__C1 DIODE ) ( _137_ C1 ) ( _136_ C1 )
( _135_ C1 ) ( _134_ C1 ) ( _132_ C1 ) ( _131_ X ) + USE SIGNAL
+ ROUTED met2 ( 68770 77690 ) ( * 82110 )
NEW met2 ( 64170 182580 ) ( 64630 * )
NEW met1 ( 200790 71910 ) ( * 72590 )
NEW met2 ( 198950 72590 ) ( * 73950 )
NEW met2 ( 64170 147390 ) ( * 182580 )
NEW met2 ( 64170 226270 ) ( * 235110 )
NEW met2 ( 64170 226270 ) ( 64630 * )
NEW met2 ( 64630 182580 ) ( * 226270 )
NEW met1 ( 31510 77690 ) ( 68770 * )
NEW met2 ( 149730 72590 ) ( * 74630 )
NEW met1 ( 149730 72590 ) ( 200790 * )
NEW met1 ( 51290 145690 ) ( * 146030 )
NEW met1 ( 51290 146030 ) ( 53130 * )
NEW met2 ( 53130 146030 ) ( * 147390 )
NEW met1 ( 46230 146030 ) ( 51290 * )
NEW met1 ( 53130 147390 ) ( 68310 * )
NEW met1 ( 134090 88230 ) ( * 88910 )
NEW met1 ( 128110 88910 ) ( 134090 * )
NEW met2 ( 128110 82110 ) ( * 88910 )
NEW met1 ( 127650 82110 ) ( 128110 * )
NEW met2 ( 128110 74630 ) ( * 82110 )
NEW met1 ( 128110 74630 ) ( 149730 * )
NEW met2 ( 68770 82110 ) ( * 96600 )
NEW met2 ( 68310 96600 ) ( 68770 * )
NEW met1 ( 68310 139230 ) ( 89010 * )
NEW met1 ( 89930 142630 ) ( 90390 * )
NEW met2 ( 90390 139230 ) ( * 142630 )
NEW met1 ( 89010 139230 ) ( 90390 * )
NEW met2 ( 68310 96600 ) ( * 147390 )
NEW met1 ( 68770 82110 ) ( 127650 * )
NEW met1 ( 68770 77690 ) M1M2_PR
NEW met1 ( 68770 82110 ) M1M2_PR
NEW li1 ( 200790 71910 ) L1M1_PR_MR
NEW li1 ( 198950 73950 ) L1M1_PR_MR
NEW met1 ( 198950 73950 ) M1M2_PR
NEW met1 ( 198950 72590 ) M1M2_PR
NEW met1 ( 68310 147390 ) M1M2_PR
NEW met1 ( 64170 147390 ) M1M2_PR
NEW li1 ( 64630 226270 ) L1M1_PR_MR
NEW met1 ( 64630 226270 ) M1M2_PR
NEW li1 ( 64170 235110 ) L1M1_PR_MR
NEW met1 ( 64170 235110 ) M1M2_PR
NEW li1 ( 31510 77690 ) L1M1_PR_MR
NEW met1 ( 149730 74630 ) M1M2_PR
NEW met1 ( 149730 72590 ) M1M2_PR
NEW li1 ( 51290 145690 ) L1M1_PR_MR
NEW met1 ( 53130 146030 ) M1M2_PR
NEW met1 ( 53130 147390 ) M1M2_PR
NEW li1 ( 46230 146030 ) L1M1_PR_MR
NEW li1 ( 127650 82110 ) L1M1_PR_MR
NEW li1 ( 134090 88230 ) L1M1_PR_MR
NEW met1 ( 128110 88910 ) M1M2_PR
NEW met1 ( 128110 82110 ) M1M2_PR
NEW met1 ( 128110 74630 ) M1M2_PR
NEW li1 ( 89010 139230 ) L1M1_PR_MR
NEW met1 ( 68310 139230 ) M1M2_PR
NEW li1 ( 89930 142630 ) L1M1_PR_MR
NEW met1 ( 90390 142630 ) M1M2_PR
NEW met1 ( 90390 139230 ) M1M2_PR
NEW met1 ( 198950 73950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 198950 72590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 64170 147390 ) RECT ( -595 -70 0 70 )
NEW met1 ( 64630 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 64170 235110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 68310 139230 ) RECT ( -70 -485 70 0 ) ;
- _059_ ( ANTENNA__134__B2 DIODE ) ( ANTENNA__135__B2 DIODE ) ( ANTENNA__136__B2 DIODE ) ( ANTENNA__137__B2 DIODE ) ( ANTENNA__141__B2 DIODE ) ( _141_ B2 ) ( _137_ B2 )
( _136_ B2 ) ( _135_ B2 ) ( _134_ B2 ) ( _133_ X ) + USE SIGNAL
+ ROUTED met2 ( 64170 79730 ) ( * 82450 )
NEW met2 ( 183310 71740 ) ( * 83470 )
NEW met2 ( 182850 71740 ) ( 183310 * )
NEW met2 ( 182850 63580 ) ( * 71740 )
NEW met2 ( 182850 63580 ) ( 183310 * )
NEW met2 ( 191590 37570 ) ( * 42670 )
NEW met1 ( 183310 42670 ) ( 191590 * )
NEW met1 ( 191590 37230 ) ( * 37570 )
NEW met2 ( 183310 42670 ) ( * 63580 )
NEW met1 ( 30130 82450 ) ( 64170 * )
NEW met1 ( 152490 83130 ) ( * 83470 )
NEW met1 ( 152490 83470 ) ( 183310 * )
NEW met2 ( 195270 34340 ) ( * 37230 )
NEW met2 ( 194810 34340 ) ( 195270 * )
NEW met2 ( 194810 34170 ) ( * 34340 )
NEW met1 ( 191590 37230 ) ( 195270 * )
NEW met1 ( 132250 88230 ) ( * 88570 )
NEW met1 ( 132250 88570 ) ( 133630 * )
NEW met2 ( 133630 85170 ) ( * 88570 )
NEW met2 ( 133630 83130 ) ( * 85170 )
NEW met1 ( 133630 83130 ) ( 152490 * )
NEW met1 ( 65550 228990 ) ( 66470 * )
NEW met2 ( 65550 218110 ) ( * 228990 )
NEW met2 ( 65550 218110 ) ( 65595 * )
NEW met2 ( 65595 217260 ) ( * 218110 )
NEW met2 ( 65550 217260 ) ( 65595 * )
NEW met1 ( 65565 235110 ) ( 65595 * )
NEW met1 ( 65595 235110 ) ( * 235450 )
NEW met1 ( 65550 235450 ) ( 65595 * )
NEW met1 ( 65550 235450 ) ( * 235790 )
NEW met1 ( 65090 235790 ) ( 65550 * )
NEW met2 ( 65090 235790 ) ( 65550 * )
NEW met2 ( 65550 228990 ) ( * 235790 )
NEW met2 ( 65550 186300 ) ( * 217260 )
NEW met1 ( 49910 149090 ) ( 65090 * )
NEW met2 ( 65090 149090 ) ( * 186300 )
NEW met2 ( 65090 186300 ) ( 65550 * )
NEW met1 ( 52670 145690 ) ( 53590 * )
NEW met2 ( 53590 145690 ) ( * 149090 )
NEW met1 ( 65090 145690 ) ( 86250 * )
NEW met2 ( 65090 145690 ) ( * 149090 )
NEW met2 ( 88550 142970 ) ( * 145690 )
NEW met1 ( 86250 145690 ) ( 88550 * )
NEW met2 ( 78890 79730 ) ( * 98770 )
NEW met1 ( 78890 98770 ) ( 88550 * )
NEW met1 ( 100050 84830 ) ( 121670 * )
NEW li1 ( 100050 84830 ) ( * 86530 )
NEW met1 ( 78890 86530 ) ( 100050 * )
NEW met1 ( 121670 84830 ) ( * 85170 )
NEW met1 ( 64170 79730 ) ( 78890 * )
NEW met2 ( 88550 98770 ) ( * 142970 )
NEW met1 ( 121670 85170 ) ( 133630 * )
NEW met1 ( 64170 82450 ) M1M2_PR
NEW met1 ( 64170 79730 ) M1M2_PR
NEW met1 ( 183310 83470 ) M1M2_PR
NEW li1 ( 191590 37570 ) L1M1_PR_MR
NEW met1 ( 191590 37570 ) M1M2_PR
NEW met1 ( 191590 42670 ) M1M2_PR
NEW met1 ( 183310 42670 ) M1M2_PR
NEW li1 ( 30130 82450 ) L1M1_PR_MR
NEW met1 ( 195270 37230 ) M1M2_PR
NEW li1 ( 194810 34170 ) L1M1_PR_MR
NEW met1 ( 194810 34170 ) M1M2_PR
NEW li1 ( 132250 88230 ) L1M1_PR_MR
NEW met1 ( 133630 88570 ) M1M2_PR
NEW met1 ( 133630 85170 ) M1M2_PR
NEW met1 ( 133630 83130 ) M1M2_PR
NEW li1 ( 66470 228990 ) L1M1_PR_MR
NEW met1 ( 65550 228990 ) M1M2_PR
NEW li1 ( 65565 235110 ) L1M1_PR_MR
NEW met1 ( 65090 235790 ) M1M2_PR
NEW li1 ( 49910 149090 ) L1M1_PR_MR
NEW met1 ( 65090 149090 ) M1M2_PR
NEW li1 ( 52670 145690 ) L1M1_PR_MR
NEW met1 ( 53590 145690 ) M1M2_PR
NEW met1 ( 53590 149090 ) M1M2_PR
NEW li1 ( 86250 145690 ) L1M1_PR_MR
NEW met1 ( 65090 145690 ) M1M2_PR
NEW li1 ( 88550 142970 ) L1M1_PR_MR
NEW met1 ( 88550 142970 ) M1M2_PR
NEW met1 ( 88550 145690 ) M1M2_PR
NEW met1 ( 78890 79730 ) M1M2_PR
NEW met1 ( 78890 98770 ) M1M2_PR
NEW met1 ( 88550 98770 ) M1M2_PR
NEW li1 ( 121670 84830 ) L1M1_PR_MR
NEW li1 ( 100050 84830 ) L1M1_PR_MR
NEW li1 ( 100050 86530 ) L1M1_PR_MR
NEW met1 ( 78890 86530 ) M1M2_PR
NEW met1 ( 191590 37570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 194810 34170 ) RECT ( 0 -70 355 70 )
NEW met1 ( 53590 149090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 88550 142970 ) RECT ( -355 -70 0 70 )
NEW met2 ( 78890 86530 ) RECT ( -70 -485 70 0 ) ;
- _060_ ( ANTENNA__139__A DIODE ) ( ANTENNA__148__A DIODE ) ( ANTENNA__156__A DIODE ) ( ANTENNA__164__A DIODE ) ( ANTENNA__172__A DIODE ) ( _172_ A ) ( _164_ A )
( _156_ A ) ( _148_ A ) ( _139_ A ) ( _138_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 87550 ) ( * 88570 )
NEW met1 ( 196650 166430 ) ( 200790 * )
NEW met1 ( 200330 167450 ) ( 203550 * )
NEW li1 ( 200330 166430 ) ( * 167450 )
NEW met2 ( 181470 63070 ) ( * 86530 )
NEW met1 ( 181470 63070 ) ( 182850 * )
NEW met1 ( 84410 126990 ) ( 85330 * )
NEW met2 ( 85330 126990 ) ( * 129370 )
NEW met2 ( 196190 39950 ) ( * 55250 )
NEW met1 ( 182850 55250 ) ( 196190 * )
NEW met1 ( 196190 39270 ) ( 202630 * )
NEW met2 ( 196190 39270 ) ( * 39950 )
NEW met2 ( 182850 55250 ) ( * 63070 )
NEW met2 ( 193430 129710 ) ( * 155550 )
NEW met1 ( 193430 156570 ) ( 196650 * )
NEW met2 ( 193430 155550 ) ( * 156570 )
NEW met2 ( 196650 156570 ) ( * 166430 )
NEW met1 ( 18630 87550 ) ( * 87890 )
NEW met1 ( 12650 87550 ) ( 18630 * )
NEW met2 ( 135930 84660 ) ( * 84830 )
NEW met1 ( 135930 84830 ) ( 145130 * )
NEW met2 ( 145130 84830 ) ( * 86530 )
NEW met1 ( 145130 86530 ) ( 181470 * )
NEW met1 ( 85330 129370 ) ( 96600 * )
NEW met1 ( 96600 129370 ) ( * 129710 )
NEW met1 ( 96600 129710 ) ( 193430 * )
NEW met2 ( 123510 124200 ) ( * 129710 )
NEW met2 ( 123970 84660 ) ( * 124200 )
NEW met2 ( 123510 124200 ) ( 123970 * )
NEW met1 ( 92690 85850 ) ( 93610 * )
NEW met2 ( 93610 84660 ) ( * 85850 )
NEW met3 ( 93610 84660 ) ( 123970 * )
NEW met1 ( 89930 85850 ) ( 92690 * )
NEW met2 ( 80270 85850 ) ( * 87890 )
NEW met1 ( 80270 85850 ) ( 89930 * )
NEW met1 ( 18630 87890 ) ( 80270 * )
NEW met3 ( 123970 84660 ) ( 135930 * )
NEW li1 ( 12650 88570 ) L1M1_PR_MR
NEW li1 ( 200790 166430 ) L1M1_PR_MR
NEW met1 ( 196650 166430 ) M1M2_PR
NEW li1 ( 203550 167450 ) L1M1_PR_MR
NEW li1 ( 200330 167450 ) L1M1_PR_MR
NEW li1 ( 200330 166430 ) L1M1_PR_MR
NEW met1 ( 181470 86530 ) M1M2_PR
NEW met1 ( 181470 63070 ) M1M2_PR
NEW met1 ( 182850 63070 ) M1M2_PR
NEW li1 ( 85330 129370 ) L1M1_PR_MR
NEW li1 ( 84410 126990 ) L1M1_PR_MR
NEW met1 ( 85330 126990 ) M1M2_PR
NEW met1 ( 85330 129370 ) M1M2_PR
NEW li1 ( 196190 39950 ) L1M1_PR_MR
NEW met1 ( 196190 39950 ) M1M2_PR
NEW met1 ( 196190 55250 ) M1M2_PR
NEW met1 ( 182850 55250 ) M1M2_PR
NEW li1 ( 202630 39270 ) L1M1_PR_MR
NEW met1 ( 196190 39270 ) M1M2_PR
NEW li1 ( 193430 155550 ) L1M1_PR_MR
NEW met1 ( 193430 155550 ) M1M2_PR
NEW met1 ( 193430 129710 ) M1M2_PR
NEW li1 ( 196650 156570 ) L1M1_PR_MR
NEW met1 ( 193430 156570 ) M1M2_PR
NEW met1 ( 196650 156570 ) M1M2_PR
NEW met2 ( 135930 84660 ) M2M3_PR_M
NEW met1 ( 135930 84830 ) M1M2_PR
NEW met1 ( 145130 84830 ) M1M2_PR
NEW met1 ( 145130 86530 ) M1M2_PR
NEW met1 ( 123510 129710 ) M1M2_PR
NEW met2 ( 123970 84660 ) M2M3_PR_M
NEW li1 ( 92690 85850 ) L1M1_PR_MR
NEW met1 ( 93610 85850 ) M1M2_PR
NEW met2 ( 93610 84660 ) M2M3_PR_M
NEW li1 ( 89930 85850 ) L1M1_PR_MR
NEW met1 ( 80270 87890 ) M1M2_PR
NEW met1 ( 80270 85850 ) M1M2_PR
NEW met1 ( 200330 166430 ) RECT ( -595 -70 0 70 )
NEW met1 ( 85330 129370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 196190 39950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 193430 155550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 196650 156570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123510 129710 ) RECT ( -595 -70 0 70 ) ;
- _061_ ( ANTENNA__141__A2 DIODE ) ( ANTENNA__144__A2 DIODE ) ( ANTENNA__145__A2 DIODE ) ( ANTENNA__146__A2 DIODE ) ( ANTENNA__147__A2 DIODE ) ( _147_ A2 ) ( _146_ A2 )
( _145_ A2 ) ( _144_ A2 ) ( _141_ A2 ) ( _139_ X ) + USE SIGNAL
+ ROUTED met1 ( 198030 156230 ) ( 199410 * )
NEW met2 ( 198030 156230 ) ( * 168130 )
NEW met2 ( 15870 159630 ) ( * 162010 )
NEW met1 ( 15870 159630 ) ( 20470 * )
NEW met2 ( 126270 159630 ) ( * 160990 )
NEW met1 ( 123970 164390 ) ( 124430 * )
NEW met2 ( 124430 159630 ) ( * 164390 )
NEW met2 ( 124430 164390 ) ( * 166430 )
NEW met1 ( 20470 159630 ) ( 126270 * )
NEW met1 ( 203550 18530 ) ( 237590 * )
NEW met1 ( 237590 17510 ) ( * 18530 )
NEW met2 ( 199410 18530 ) ( * 33150 )
NEW met1 ( 199410 18530 ) ( 203550 * )
NEW met1 ( 194350 33720 ) ( * 33830 )
NEW met2 ( 194350 33660 ) ( * 33720 )
NEW met3 ( 194350 33660 ) ( 199410 * )
NEW met2 ( 199410 33150 ) ( * 156230 )
NEW met1 ( 152490 167110 ) ( 182850 * )
NEW li1 ( 182850 167110 ) ( * 168130 )
NEW met1 ( 147430 170170 ) ( 149730 * )
NEW met2 ( 147430 167110 ) ( * 170170 )
NEW met1 ( 147430 167110 ) ( 152490 * )
NEW met2 ( 147430 166430 ) ( * 167110 )
NEW met1 ( 124430 166430 ) ( 147430 * )
NEW met1 ( 182850 168130 ) ( 198030 * )
NEW met1 ( 198030 168130 ) M1M2_PR
NEW li1 ( 199410 156230 ) L1M1_PR_MR
NEW met1 ( 199410 156230 ) M1M2_PR
NEW met1 ( 198030 156230 ) M1M2_PR
NEW li1 ( 20470 159630 ) L1M1_PR_MR
NEW li1 ( 15870 162010 ) L1M1_PR_MR
NEW met1 ( 15870 162010 ) M1M2_PR
NEW met1 ( 15870 159630 ) M1M2_PR
NEW li1 ( 126270 160990 ) L1M1_PR_MR
NEW met1 ( 126270 160990 ) M1M2_PR
NEW met1 ( 126270 159630 ) M1M2_PR
NEW li1 ( 123970 164390 ) L1M1_PR_MR
NEW met1 ( 124430 164390 ) M1M2_PR
NEW met1 ( 124430 159630 ) M1M2_PR
NEW met1 ( 124430 166430 ) M1M2_PR
NEW li1 ( 203550 18530 ) L1M1_PR_MR
NEW li1 ( 237590 17510 ) L1M1_PR_MR
NEW li1 ( 199410 33150 ) L1M1_PR_MR
NEW met1 ( 199410 33150 ) M1M2_PR
NEW met1 ( 199410 18530 ) M1M2_PR
NEW li1 ( 194350 33830 ) L1M1_PR_MR
NEW met1 ( 194350 33720 ) M1M2_PR
NEW met2 ( 194350 33660 ) M2M3_PR_M
NEW met2 ( 199410 33660 ) M2M3_PR_M
NEW li1 ( 152490 167110 ) L1M1_PR_MR
NEW li1 ( 182850 167110 ) L1M1_PR_MR
NEW li1 ( 182850 168130 ) L1M1_PR_MR
NEW li1 ( 149730 170170 ) L1M1_PR_MR
NEW met1 ( 147430 170170 ) M1M2_PR
NEW met1 ( 147430 167110 ) M1M2_PR
NEW met1 ( 147430 166430 ) M1M2_PR
NEW met1 ( 199410 156230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 15870 162010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126270 160990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 124430 159630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 199410 33150 ) RECT ( -355 -70 0 70 )
NEW met2 ( 199410 33660 ) RECT ( -70 -485 70 0 ) ;
- _062_ ( ANTENNA__141__C1 DIODE ) ( ANTENNA__144__C1 DIODE ) ( ANTENNA__145__C1 DIODE ) ( ANTENNA__146__C1 DIODE ) ( ANTENNA__147__C1 DIODE ) ( _147_ C1 ) ( _146_ C1 )
( _145_ C1 ) ( _144_ C1 ) ( _141_ C1 ) ( _140_ X ) + USE SIGNAL
+ ROUTED met1 ( 191130 32130 ) ( 192510 * )
NEW met2 ( 191130 32130 ) ( * 80070 )
NEW met1 ( 25990 109310 ) ( 27370 * )
NEW met2 ( 25990 107100 ) ( * 109310 )
NEW met2 ( 25530 107100 ) ( 25990 * )
NEW met2 ( 25530 80070 ) ( * 107100 )
NEW met1 ( 25530 80070 ) ( 26910 * )
NEW met1 ( 23230 158950 ) ( 27370 * )
NEW met1 ( 19550 162010 ) ( 23690 * )
NEW met2 ( 23690 158950 ) ( * 162010 )
NEW met1 ( 145130 88910 ) ( 146970 * )
NEW met2 ( 146970 80070 ) ( * 88910 )
NEW met1 ( 121210 164390 ) ( 122130 * )
NEW met2 ( 121210 162690 ) ( * 164390 )
NEW met2 ( 27370 109310 ) ( * 158950 )
NEW met1 ( 230690 38590 ) ( 232070 * )
NEW met2 ( 230690 17850 ) ( * 38590 )
NEW met1 ( 230690 17850 ) ( 232070 * )
NEW met1 ( 232070 17510 ) ( * 17850 )
NEW met1 ( 232070 17510 ) ( 233910 * )
NEW met1 ( 196190 33830 ) ( * 34170 )
NEW met1 ( 196190 34170 ) ( 197110 * )
NEW met1 ( 197110 33830 ) ( * 34170 )
NEW met1 ( 197110 33830 ) ( 212290 * )
NEW met1 ( 212290 33490 ) ( * 33830 )
NEW met1 ( 212290 33490 ) ( 230690 * )
NEW met2 ( 198950 32130 ) ( * 33830 )
NEW met1 ( 192510 32130 ) ( 198950 * )
NEW met1 ( 145130 171870 ) ( 146970 * )
NEW met2 ( 145130 162690 ) ( * 171870 )
NEW met1 ( 151570 169490 ) ( * 169830 )
NEW met1 ( 151570 169490 ) ( 152490 * )
NEW met2 ( 152490 168980 ) ( * 169490 )
NEW met3 ( 145130 168980 ) ( 152490 * )
NEW met1 ( 121210 162690 ) ( 145130 * )
NEW met2 ( 145130 88910 ) ( * 162690 )
NEW met1 ( 26910 80070 ) ( 191130 * )
NEW met1 ( 191130 80070 ) M1M2_PR
NEW li1 ( 192510 32130 ) L1M1_PR_MR
NEW met1 ( 191130 32130 ) M1M2_PR
NEW li1 ( 26910 80070 ) L1M1_PR_MR
NEW met1 ( 27370 109310 ) M1M2_PR
NEW met1 ( 25990 109310 ) M1M2_PR
NEW met1 ( 25530 80070 ) M1M2_PR
NEW li1 ( 23230 158950 ) L1M1_PR_MR
NEW met1 ( 27370 158950 ) M1M2_PR
NEW li1 ( 19550 162010 ) L1M1_PR_MR
NEW met1 ( 23690 162010 ) M1M2_PR
NEW met1 ( 23690 158950 ) M1M2_PR
NEW met1 ( 145130 88910 ) M1M2_PR
NEW met1 ( 146970 88910 ) M1M2_PR
NEW met1 ( 146970 80070 ) M1M2_PR
NEW li1 ( 122130 164390 ) L1M1_PR_MR
NEW met1 ( 121210 164390 ) M1M2_PR
NEW li1 ( 121210 162690 ) L1M1_PR_MR
NEW met1 ( 121210 162690 ) M1M2_PR
NEW li1 ( 232070 38590 ) L1M1_PR_MR
NEW met1 ( 230690 38590 ) M1M2_PR
NEW met1 ( 230690 17850 ) M1M2_PR
NEW li1 ( 233910 17510 ) L1M1_PR_MR
NEW li1 ( 196190 33830 ) L1M1_PR_MR
NEW met1 ( 230690 33490 ) M1M2_PR
NEW met1 ( 198950 32130 ) M1M2_PR
NEW met1 ( 198950 33830 ) M1M2_PR
NEW met1 ( 145130 162690 ) M1M2_PR
NEW li1 ( 146970 171870 ) L1M1_PR_MR
NEW met1 ( 145130 171870 ) M1M2_PR
NEW li1 ( 151570 169830 ) L1M1_PR_MR
NEW met1 ( 152490 169490 ) M1M2_PR
NEW met2 ( 152490 168980 ) M2M3_PR_M
NEW met2 ( 145130 168980 ) M2M3_PR_M
NEW met1 ( 23690 158950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 146970 80070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 121210 162690 ) RECT ( -355 -70 0 70 )
NEW met2 ( 230690 33490 ) RECT ( -70 -485 70 0 )
NEW met1 ( 198950 33830 ) RECT ( -595 -70 0 70 )
NEW met2 ( 145130 168980 ) RECT ( -70 -485 70 0 ) ;
- _063_ ( ANTENNA__143__A DIODE ) ( ANTENNA__151__A DIODE ) ( ANTENNA__159__A DIODE ) ( ANTENNA__167__A DIODE ) ( ANTENNA__175__A DIODE ) ( _175_ A ) ( _167_ A )
( _159_ A ) ( _151_ A ) ( _143_ A ) ( _142_ X ) + USE SIGNAL
+ ROUTED met1 ( 186530 167450 ) ( 186990 * )
NEW met1 ( 183770 167450 ) ( 186530 * )
NEW met2 ( 186530 167450 ) ( * 170850 )
NEW met2 ( 67390 216070 ) ( * 226270 )
NEW met1 ( 63710 229670 ) ( 67390 * )
NEW met2 ( 67390 226270 ) ( * 229670 )
NEW met1 ( 192050 47770 ) ( 200790 * )
NEW met1 ( 200790 47430 ) ( * 47770 )
NEW met1 ( 186530 116450 ) ( 192050 * )
NEW met2 ( 186530 115430 ) ( * 116450 )
NEW met2 ( 186530 116450 ) ( * 167450 )
NEW met2 ( 192050 47770 ) ( * 116450 )
NEW met1 ( 148350 176290 ) ( 152950 * )
NEW met1 ( 141910 175270 ) ( 152950 * )
NEW met2 ( 152950 175270 ) ( * 176290 )
NEW met2 ( 152950 170850 ) ( * 175270 )
NEW met1 ( 152950 170850 ) ( 186530 * )
NEW met1 ( 158700 115430 ) ( 186530 * )
NEW met1 ( 158700 115090 ) ( * 115430 )
NEW met1 ( 67390 216070 ) ( 152950 * )
NEW met2 ( 152950 176290 ) ( * 216070 )
NEW met1 ( 220570 37570 ) ( 221030 * )
NEW met2 ( 220570 37570 ) ( * 47430 )
NEW met1 ( 220570 36890 ) ( 223330 * )
NEW met2 ( 220570 36890 ) ( * 37570 )
NEW met1 ( 200790 47430 ) ( 220570 * )
NEW met1 ( 107410 115430 ) ( 112470 * )
NEW met1 ( 112470 115090 ) ( * 115430 )
NEW met1 ( 112470 115090 ) ( 158700 * )
NEW li1 ( 186990 167450 ) L1M1_PR_MR
NEW met1 ( 186530 167450 ) M1M2_PR
NEW li1 ( 183770 167450 ) L1M1_PR_MR
NEW met1 ( 186530 170850 ) M1M2_PR
NEW li1 ( 67390 226270 ) L1M1_PR_MR
NEW met1 ( 67390 226270 ) M1M2_PR
NEW met1 ( 67390 216070 ) M1M2_PR
NEW li1 ( 63710 229670 ) L1M1_PR_MR
NEW met1 ( 67390 229670 ) M1M2_PR
NEW met1 ( 192050 47770 ) M1M2_PR
NEW met1 ( 192050 116450 ) M1M2_PR
NEW met1 ( 186530 116450 ) M1M2_PR
NEW met1 ( 186530 115430 ) M1M2_PR
NEW li1 ( 148350 176290 ) L1M1_PR_MR
NEW met1 ( 152950 176290 ) M1M2_PR
NEW li1 ( 141910 175270 ) L1M1_PR_MR
NEW met1 ( 152950 175270 ) M1M2_PR
NEW met1 ( 152950 170850 ) M1M2_PR
NEW li1 ( 152950 216070 ) L1M1_PR_MR
NEW met1 ( 152950 216070 ) M1M2_PR
NEW li1 ( 221030 37570 ) L1M1_PR_MR
NEW met1 ( 220570 37570 ) M1M2_PR
NEW met1 ( 220570 47430 ) M1M2_PR
NEW li1 ( 223330 36890 ) L1M1_PR_MR
NEW met1 ( 220570 36890 ) M1M2_PR
NEW li1 ( 112470 115090 ) L1M1_PR_MR
NEW li1 ( 107410 115430 ) L1M1_PR_MR
NEW met1 ( 67390 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 152950 216070 ) RECT ( -595 -70 0 70 ) ;
- _064_ ( ANTENNA__144__B2 DIODE ) ( ANTENNA__145__B2 DIODE ) ( ANTENNA__146__B2 DIODE ) ( ANTENNA__147__B2 DIODE ) ( ANTENNA__150__B2 DIODE ) ( _150_ B2 ) ( _147_ B2 )
( _146_ B2 ) ( _145_ B2 ) ( _144_ B2 ) ( _143_ X ) + USE SIGNAL
+ ROUTED met2 ( 17710 162010 ) ( * 165410 )
NEW met1 ( 17710 165410 ) ( 22310 * )
NEW met1 ( 123510 163710 ) ( * 164390 )
NEW met1 ( 118450 163710 ) ( 123510 * )
NEW li1 ( 118450 163710 ) ( * 165410 )
NEW met1 ( 123510 164050 ) ( 131330 * )
NEW met1 ( 22310 165410 ) ( 118450 * )
NEW met1 ( 216430 58310 ) ( 225630 * )
NEW met1 ( 213670 60350 ) ( 216430 * )
NEW met2 ( 216430 58310 ) ( * 60350 )
NEW met1 ( 190210 60350 ) ( 213670 * )
NEW met1 ( 223790 31450 ) ( 227010 * )
NEW met2 ( 227010 31450 ) ( 227470 * )
NEW met2 ( 227470 17170 ) ( * 31450 )
NEW met1 ( 227470 17170 ) ( 235750 * )
NEW met1 ( 235750 17170 ) ( * 17510 )
NEW met2 ( 225630 31450 ) ( * 58310 )
NEW met1 ( 189750 167110 ) ( 190210 * )
NEW met1 ( 153410 171870 ) ( 190210 * )
NEW met2 ( 190210 167110 ) ( * 171870 )
NEW met1 ( 150190 170170 ) ( 150220 * )
NEW met1 ( 150190 169840 ) ( * 170170 )
NEW met1 ( 149730 169840 ) ( 150190 * )
NEW met1 ( 149730 169490 ) ( * 169840 )
NEW met2 ( 149730 169490 ) ( * 171870 )
NEW met1 ( 149730 171870 ) ( 153410 * )
NEW met2 ( 149730 164050 ) ( * 169490 )
NEW met1 ( 131330 164050 ) ( 149730 * )
NEW met2 ( 190210 60350 ) ( * 167110 )
NEW met1 ( 190210 60350 ) M1M2_PR
NEW li1 ( 22310 165410 ) L1M1_PR_MR
NEW li1 ( 17710 162010 ) L1M1_PR_MR
NEW met1 ( 17710 162010 ) M1M2_PR
NEW met1 ( 17710 165410 ) M1M2_PR
NEW li1 ( 123510 164390 ) L1M1_PR_MR
NEW li1 ( 118450 163710 ) L1M1_PR_MR
NEW li1 ( 118450 165410 ) L1M1_PR_MR
NEW li1 ( 131330 164050 ) L1M1_PR_MR
NEW li1 ( 216430 58310 ) L1M1_PR_MR
NEW met1 ( 225630 58310 ) M1M2_PR
NEW li1 ( 213670 60350 ) L1M1_PR_MR
NEW met1 ( 216430 60350 ) M1M2_PR
NEW met1 ( 216430 58310 ) M1M2_PR
NEW li1 ( 223790 31450 ) L1M1_PR_MR
NEW met1 ( 227010 31450 ) M1M2_PR
NEW met1 ( 227470 17170 ) M1M2_PR
NEW li1 ( 235750 17510 ) L1M1_PR_MR
NEW met1 ( 225630 31450 ) M1M2_PR
NEW li1 ( 189750 167110 ) L1M1_PR_MR
NEW met1 ( 190210 167110 ) M1M2_PR
NEW li1 ( 153410 171870 ) L1M1_PR_MR
NEW met1 ( 190210 171870 ) M1M2_PR
NEW li1 ( 150220 170170 ) L1M1_PR_MR
NEW met1 ( 149730 169490 ) M1M2_PR
NEW met1 ( 149730 171870 ) M1M2_PR
NEW met1 ( 149730 164050 ) M1M2_PR
NEW met1 ( 17710 162010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 216430 58310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 225630 31450 ) RECT ( -595 -70 0 70 ) ;
- _065_ ( ANTENNA__150__A2 DIODE ) ( ANTENNA__152__A2 DIODE ) ( ANTENNA__153__A2 DIODE ) ( ANTENNA__154__A2 DIODE ) ( ANTENNA__155__A2 DIODE ) ( _155_ A2 ) ( _154_ A2 )
( _153_ A2 ) ( _152_ A2 ) ( _150_ A2 ) ( _148_ X ) + USE SIGNAL
+ ROUTED met2 ( 200330 52870 ) ( * 56270 )
NEW met1 ( 200790 38930 ) ( 202170 * )
NEW met2 ( 202170 38930 ) ( * 52870 )
NEW met1 ( 200330 52870 ) ( 202170 * )
NEW met1 ( 215510 73950 ) ( 215970 * )
NEW met2 ( 218730 73950 ) ( * 77350 )
NEW met1 ( 215970 73950 ) ( 218730 * )
NEW met2 ( 30130 99110 ) ( * 207000 )
NEW met2 ( 29670 207000 ) ( * 224230 )
NEW met2 ( 29670 207000 ) ( 30130 * )
NEW met1 ( 29670 226270 ) ( 31970 * )
NEW met2 ( 29670 224230 ) ( * 226270 )
NEW met1 ( 215510 58650 ) ( 215970 * )
NEW met1 ( 212750 56610 ) ( 215510 * )
NEW met2 ( 215510 56610 ) ( * 58650 )
NEW met1 ( 210910 56270 ) ( * 56610 )
NEW met1 ( 210910 56610 ) ( 212750 * )
NEW met1 ( 200330 56270 ) ( 210910 * )
NEW met2 ( 215510 58650 ) ( * 73950 )
NEW met1 ( 115230 52530 ) ( * 52870 )
NEW met1 ( 115230 52530 ) ( 119830 * )
NEW met1 ( 119830 52530 ) ( * 52870 )
NEW met1 ( 100510 52190 ) ( * 52530 )
NEW met1 ( 100510 52530 ) ( 101425 * )
NEW met1 ( 101425 52530 ) ( * 52870 )
NEW met1 ( 101425 52870 ) ( 115230 * )
NEW met1 ( 119830 52870 ) ( 200330 * )
NEW met2 ( 63710 99110 ) ( * 102170 )
NEW met1 ( 30130 99110 ) ( 66010 * )
NEW met3 ( 65550 54740 ) ( 89930 * )
NEW met2 ( 89930 52530 ) ( * 54740 )
NEW met1 ( 89930 52530 ) ( 91770 * )
NEW met1 ( 91770 52190 ) ( * 52530 )
NEW met2 ( 65550 54740 ) ( * 99110 )
NEW met1 ( 91770 52190 ) ( 100510 * )
NEW met1 ( 200330 56270 ) M1M2_PR
NEW met1 ( 200330 52870 ) M1M2_PR
NEW li1 ( 200790 38930 ) L1M1_PR_MR
NEW met1 ( 202170 38930 ) M1M2_PR
NEW met1 ( 202170 52870 ) M1M2_PR
NEW met1 ( 30130 99110 ) M1M2_PR
NEW li1 ( 215970 73950 ) L1M1_PR_MR
NEW met1 ( 215510 73950 ) M1M2_PR
NEW li1 ( 218730 77350 ) L1M1_PR_MR
NEW met1 ( 218730 77350 ) M1M2_PR
NEW met1 ( 218730 73950 ) M1M2_PR
NEW li1 ( 29670 224230 ) L1M1_PR_MR
NEW met1 ( 29670 224230 ) M1M2_PR
NEW li1 ( 31970 226270 ) L1M1_PR_MR
NEW met1 ( 29670 226270 ) M1M2_PR
NEW li1 ( 215970 58650 ) L1M1_PR_MR
NEW met1 ( 215510 58650 ) M1M2_PR
NEW li1 ( 212750 56610 ) L1M1_PR_MR
NEW met1 ( 215510 56610 ) M1M2_PR
NEW li1 ( 119830 52870 ) L1M1_PR_MR
NEW li1 ( 115230 52870 ) L1M1_PR_MR
NEW li1 ( 66010 99110 ) L1M1_PR_MR
NEW li1 ( 63710 102170 ) L1M1_PR_MR
NEW met1 ( 63710 102170 ) M1M2_PR
NEW met1 ( 63710 99110 ) M1M2_PR
NEW met1 ( 65550 99110 ) M1M2_PR
NEW met2 ( 65550 54740 ) M2M3_PR_M
NEW met2 ( 89930 54740 ) M2M3_PR_M
NEW met1 ( 89930 52530 ) M1M2_PR
NEW met1 ( 218730 77350 ) RECT ( 0 -70 355 70 )
NEW met1 ( 29670 224230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 63710 102170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 63710 99110 ) RECT ( -595 -70 0 70 )
NEW met1 ( 65550 99110 ) RECT ( -595 -70 0 70 ) ;
- _066_ ( ANTENNA__150__C1 DIODE ) ( ANTENNA__152__C1 DIODE ) ( ANTENNA__153__C1 DIODE ) ( ANTENNA__154__C1 DIODE ) ( ANTENNA__155__C1 DIODE ) ( _155_ C1 ) ( _154_ C1 )
( _153_ C1 ) ( _152_ C1 ) ( _150_ C1 ) ( _149_ X ) + USE SIGNAL
+ ROUTED met1 ( 29210 74630 ) ( 33810 * )
NEW met1 ( 29210 98430 ) ( 60950 * )
NEW met1 ( 60950 98430 ) ( * 98770 )
NEW met2 ( 61870 98770 ) ( * 102170 )
NEW met2 ( 216890 77350 ) ( * 79390 )
NEW met1 ( 31510 223890 ) ( * 224230 )
NEW met1 ( 29210 223890 ) ( 31510 * )
NEW met1 ( 29210 220830 ) ( 36570 * )
NEW met2 ( 29210 74630 ) ( * 223890 )
NEW met2 ( 117070 53210 ) ( * 55930 )
NEW met1 ( 117070 55930 ) ( 122590 * )
NEW met1 ( 122590 55590 ) ( * 55930 )
NEW met1 ( 122590 55590 ) ( 124430 * )
NEW met1 ( 124430 55590 ) ( * 55930 )
NEW met1 ( 124430 55930 ) ( 128110 * )
NEW met1 ( 128110 55930 ) ( * 56270 )
NEW met1 ( 128110 56270 ) ( 158700 * )
NEW met1 ( 158700 55930 ) ( * 56270 )
NEW met1 ( 112930 55930 ) ( 117070 * )
NEW met1 ( 112010 55930 ) ( 112930 * )
NEW met2 ( 217810 55930 ) ( * 58650 )
NEW met1 ( 215050 55930 ) ( 217810 * )
NEW met2 ( 216890 58650 ) ( 217810 * )
NEW met1 ( 158700 55930 ) ( 215050 * )
NEW met2 ( 216890 58650 ) ( * 77350 )
NEW met1 ( 60950 98770 ) ( 75900 * )
NEW met1 ( 75900 98430 ) ( * 98770 )
NEW met1 ( 75900 98430 ) ( 112010 * )
NEW met2 ( 112010 55930 ) ( * 98430 )
NEW met1 ( 29210 74630 ) M1M2_PR
NEW li1 ( 33810 74630 ) L1M1_PR_MR
NEW li1 ( 60950 98430 ) L1M1_PR_MR
NEW met1 ( 29210 98430 ) M1M2_PR
NEW li1 ( 61870 102170 ) L1M1_PR_MR
NEW met1 ( 61870 102170 ) M1M2_PR
NEW met1 ( 61870 98770 ) M1M2_PR
NEW li1 ( 216890 77350 ) L1M1_PR_MR
NEW met1 ( 216890 77350 ) M1M2_PR
NEW li1 ( 216890 79390 ) L1M1_PR_MR
NEW met1 ( 216890 79390 ) M1M2_PR
NEW li1 ( 31510 224230 ) L1M1_PR_MR
NEW met1 ( 29210 223890 ) M1M2_PR
NEW li1 ( 36570 220830 ) L1M1_PR_MR
NEW met1 ( 29210 220830 ) M1M2_PR
NEW li1 ( 117070 53210 ) L1M1_PR_MR
NEW met1 ( 117070 53210 ) M1M2_PR
NEW met1 ( 117070 55930 ) M1M2_PR
NEW li1 ( 112930 55930 ) L1M1_PR_MR
NEW met1 ( 112010 55930 ) M1M2_PR
NEW li1 ( 215050 55930 ) L1M1_PR_MR
NEW li1 ( 217810 58650 ) L1M1_PR_MR
NEW met1 ( 217810 58650 ) M1M2_PR
NEW met1 ( 217810 55930 ) M1M2_PR
NEW met1 ( 112010 98430 ) M1M2_PR
NEW met2 ( 29210 98430 ) RECT ( -70 -485 70 0 )
NEW met1 ( 61870 102170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 61870 98770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 216890 77350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 216890 79390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 29210 220830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 117070 53210 ) RECT ( 0 -70 355 70 )
NEW met1 ( 217810 58650 ) RECT ( 0 -70 355 70 ) ;
- _067_ ( ANTENNA__152__B2 DIODE ) ( ANTENNA__153__B2 DIODE ) ( ANTENNA__154__B2 DIODE ) ( ANTENNA__155__B2 DIODE ) ( ANTENNA__158__B2 DIODE ) ( _158_ B2 ) ( _155_ B2 )
( _154_ B2 ) ( _153_ B2 ) ( _152_ B2 ) ( _151_ X ) + USE SIGNAL
+ ROUTED met2 ( 186990 38930 ) ( * 41310 )
NEW met1 ( 186990 38930 ) ( 192970 * )
NEW met1 ( 192970 38930 ) ( * 39610 )
NEW met1 ( 225630 78370 ) ( 235290 * )
NEW met1 ( 218270 77690 ) ( 219650 * )
NEW met1 ( 219650 77690 ) ( * 78370 )
NEW met1 ( 219650 78370 ) ( 225630 * )
NEW met1 ( 35190 226270 ) ( 36110 * )
NEW met1 ( 30130 224570 ) ( * 225250 )
NEW met1 ( 30130 225250 ) ( 36110 * )
NEW met2 ( 36110 102510 ) ( * 226270 )
NEW met1 ( 122590 41310 ) ( 152030 * )
NEW met1 ( 152030 41310 ) ( * 41650 )
NEW met1 ( 152030 41650 ) ( 152950 * )
NEW met1 ( 152950 41310 ) ( * 41650 )
NEW met1 ( 152950 41310 ) ( 186990 * )
NEW met1 ( 192970 39610 ) ( 207000 * )
NEW met1 ( 207000 39270 ) ( * 39610 )
NEW met1 ( 207000 39270 ) ( 218270 * )
NEW met2 ( 218270 37740 ) ( * 39270 )
NEW met2 ( 218270 37740 ) ( 218730 * )
NEW met2 ( 218730 36210 ) ( * 37740 )
NEW met1 ( 218730 36210 ) ( 225170 * )
NEW met1 ( 225170 36210 ) ( * 36550 )
NEW met2 ( 219190 49300 ) ( 219650 * )
NEW met2 ( 219650 39270 ) ( * 49300 )
NEW met1 ( 218270 39270 ) ( 219650 * )
NEW met2 ( 219190 49300 ) ( * 77690 )
NEW met1 ( 235750 219470 ) ( 238510 * )
NEW met2 ( 238510 219470 ) ( * 229560 )
NEW met1 ( 238510 229560 ) ( * 229670 )
NEW met1 ( 238510 229670 ) ( 238525 * )
NEW met1 ( 235290 219470 ) ( 235750 * )
NEW met2 ( 235290 78370 ) ( * 219470 )
NEW met1 ( 115690 52870 ) ( 116610 * )
NEW met1 ( 116610 52870 ) ( * 53550 )
NEW met1 ( 112470 53550 ) ( 116610 * )
NEW met2 ( 112470 53550 ) ( * 54910 )
NEW met1 ( 117990 53210 ) ( 122130 * )
NEW met1 ( 117990 52870 ) ( * 53210 )
NEW met1 ( 116610 52870 ) ( 117990 * )
NEW met1 ( 122130 53210 ) ( 122590 * )
NEW met2 ( 96830 61710 ) ( * 63070 )
NEW met2 ( 122590 41310 ) ( * 53210 )
NEW met1 ( 36110 102510 ) ( 48300 * )
NEW met1 ( 63250 101830 ) ( 71070 * )
NEW met1 ( 48300 101830 ) ( * 102510 )
NEW met1 ( 48300 101830 ) ( 63250 * )
NEW met1 ( 96600 54910 ) ( 112470 * )
NEW met1 ( 96600 54910 ) ( * 55250 )
NEW met1 ( 96370 55250 ) ( 96600 * )
NEW met2 ( 96370 55250 ) ( * 60690 )
NEW met1 ( 95450 60690 ) ( 96370 * )
NEW li1 ( 95450 60690 ) ( * 61710 )
NEW met1 ( 95450 61710 ) ( 96830 * )
NEW met1 ( 94070 63070 ) ( 96830 * )
NEW met2 ( 93610 98940 ) ( * 101830 )
NEW met2 ( 93610 98940 ) ( 94070 * )
NEW met1 ( 71070 101830 ) ( 93610 * )
NEW met2 ( 94070 63070 ) ( * 98940 )
NEW met1 ( 186990 41310 ) M1M2_PR
NEW met1 ( 186990 38930 ) M1M2_PR
NEW met1 ( 36110 102510 ) M1M2_PR
NEW li1 ( 225630 78370 ) L1M1_PR_MR
NEW met1 ( 235290 78370 ) M1M2_PR
NEW li1 ( 218270 77690 ) L1M1_PR_MR
NEW met1 ( 219190 77690 ) M1M2_PR
NEW li1 ( 35190 226270 ) L1M1_PR_MR
NEW met1 ( 36110 226270 ) M1M2_PR
NEW li1 ( 30130 224570 ) L1M1_PR_MR
NEW met1 ( 36110 225250 ) M1M2_PR
NEW met1 ( 122590 41310 ) M1M2_PR
NEW met1 ( 218270 39270 ) M1M2_PR
NEW met1 ( 218730 36210 ) M1M2_PR
NEW li1 ( 225170 36550 ) L1M1_PR_MR
NEW met1 ( 219650 39270 ) M1M2_PR
NEW li1 ( 235750 219470 ) L1M1_PR_MR
NEW met1 ( 238510 219470 ) M1M2_PR
NEW met1 ( 238510 229560 ) M1M2_PR
NEW li1 ( 238525 229670 ) L1M1_PR_MR
NEW met1 ( 235290 219470 ) M1M2_PR
NEW li1 ( 115690 52870 ) L1M1_PR_MR
NEW met1 ( 112470 53550 ) M1M2_PR
NEW met1 ( 112470 54910 ) M1M2_PR
NEW li1 ( 122130 53210 ) L1M1_PR_MR
NEW met1 ( 122590 53210 ) M1M2_PR
NEW met1 ( 96830 61710 ) M1M2_PR
NEW met1 ( 96830 63070 ) M1M2_PR
NEW li1 ( 71070 101830 ) L1M1_PR_MR
NEW li1 ( 63250 101830 ) L1M1_PR_MR
NEW met1 ( 96370 55250 ) M1M2_PR
NEW met1 ( 96370 60690 ) M1M2_PR
NEW li1 ( 95450 60690 ) L1M1_PR_MR
NEW li1 ( 95450 61710 ) L1M1_PR_MR
NEW met1 ( 94070 63070 ) M1M2_PR
NEW met1 ( 93610 101830 ) M1M2_PR
NEW met1 ( 219190 77690 ) RECT ( -595 -70 0 70 )
NEW met2 ( 36110 225250 ) RECT ( -70 -485 70 0 ) ;
- _068_ ( ANTENNA__158__A2 DIODE ) ( ANTENNA__160__A2 DIODE ) ( ANTENNA__161__A2 DIODE ) ( ANTENNA__162__A2 DIODE ) ( ANTENNA__163__A2 DIODE ) ( _163_ A2 ) ( _162_ A2 )
( _161_ A2 ) ( _160_ A2 ) ( _158_ A2 ) ( _156_ X ) + USE SIGNAL
+ ROUTED met2 ( 206770 167110 ) ( * 187170 )
NEW met1 ( 237590 190910 ) ( 239430 * )
NEW met1 ( 237590 169830 ) ( 239430 * )
NEW met1 ( 233450 167450 ) ( 239430 * )
NEW met2 ( 239430 167450 ) ( * 169830 )
NEW met1 ( 233450 167110 ) ( * 167450 )
NEW met1 ( 206770 167110 ) ( 233450 * )
NEW met2 ( 239430 169830 ) ( * 190910 )
NEW met2 ( 105110 67490 ) ( * 72930 )
NEW met1 ( 102350 69190 ) ( 105110 * )
NEW met2 ( 206770 72930 ) ( * 167110 )
NEW met1 ( 105110 72930 ) ( 206770 * )
NEW met1 ( 30130 143650 ) ( 31970 * )
NEW met1 ( 236670 223550 ) ( 237130 * )
NEW met2 ( 237130 219300 ) ( * 223550 )
NEW met2 ( 237130 219300 ) ( 237590 * )
NEW met1 ( 238970 229670 ) ( * 230350 )
NEW met1 ( 237130 230350 ) ( 238970 * )
NEW met2 ( 237130 223550 ) ( * 230350 )
NEW met2 ( 237590 190910 ) ( * 219300 )
NEW met3 ( 23690 145860 ) ( 31970 * )
NEW met2 ( 23690 145010 ) ( * 145860 )
NEW met1 ( 23690 145010 ) ( 25990 * )
NEW met1 ( 25990 145010 ) ( * 145350 )
NEW met1 ( 32430 191590 ) ( 32890 * )
NEW met2 ( 31970 191590 ) ( 32430 * )
NEW met2 ( 31970 145860 ) ( * 191590 )
NEW met1 ( 31970 190910 ) ( 37030 * )
NEW met2 ( 31970 143650 ) ( * 145860 )
NEW met1 ( 31970 187170 ) ( 206770 * )
NEW met1 ( 206770 187170 ) M1M2_PR
NEW li1 ( 206770 167110 ) L1M1_PR_MR
NEW met1 ( 206770 167110 ) M1M2_PR
NEW met1 ( 237590 190910 ) M1M2_PR
NEW met1 ( 239430 190910 ) M1M2_PR
NEW li1 ( 237590 169830 ) L1M1_PR_MR
NEW met1 ( 239430 169830 ) M1M2_PR
NEW li1 ( 233450 167450 ) L1M1_PR_MR
NEW met1 ( 239430 167450 ) M1M2_PR
NEW li1 ( 105110 67490 ) L1M1_PR_MR
NEW met1 ( 105110 67490 ) M1M2_PR
NEW met1 ( 105110 72930 ) M1M2_PR
NEW li1 ( 102350 69190 ) L1M1_PR_MR
NEW met1 ( 105110 69190 ) M1M2_PR
NEW met1 ( 206770 72930 ) M1M2_PR
NEW li1 ( 30130 143650 ) L1M1_PR_MR
NEW met1 ( 31970 143650 ) M1M2_PR
NEW li1 ( 236670 223550 ) L1M1_PR_MR
NEW met1 ( 237130 223550 ) M1M2_PR
NEW li1 ( 238970 229670 ) L1M1_PR_MR
NEW met1 ( 237130 230350 ) M1M2_PR
NEW met2 ( 31970 145860 ) M2M3_PR_M
NEW met2 ( 23690 145860 ) M2M3_PR_M
NEW met1 ( 23690 145010 ) M1M2_PR
NEW li1 ( 25990 145350 ) L1M1_PR_MR
NEW li1 ( 32890 191590 ) L1M1_PR_MR
NEW met1 ( 32430 191590 ) M1M2_PR
NEW li1 ( 37030 190910 ) L1M1_PR_MR
NEW met1 ( 31970 190910 ) M1M2_PR
NEW met1 ( 31970 187170 ) M1M2_PR
NEW met1 ( 206770 167110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105110 67490 ) RECT ( -355 -70 0 70 )
NEW met2 ( 105110 69190 ) RECT ( -70 -485 70 0 )
NEW met2 ( 31970 190910 ) RECT ( -70 -485 70 0 )
NEW met2 ( 31970 187170 ) RECT ( -70 -485 70 0 ) ;
- _069_ ( ANTENNA__158__C1 DIODE ) ( ANTENNA__160__C1 DIODE ) ( ANTENNA__161__C1 DIODE ) ( ANTENNA__162__C1 DIODE ) ( ANTENNA__163__C1 DIODE ) ( _163_ C1 ) ( _162_ C1 )
( _161_ C1 ) ( _160_ C1 ) ( _158_ C1 ) ( _157_ X ) + USE SIGNAL
+ ROUTED met1 ( 228390 163710 ) ( 232070 * )
NEW met2 ( 233910 163710 ) ( * 169830 )
NEW met1 ( 232070 163710 ) ( 233910 * )
NEW met1 ( 97290 69530 ) ( 100510 * )
NEW li1 ( 97290 69530 ) ( * 70210 )
NEW met1 ( 79350 70210 ) ( 97290 * )
NEW met1 ( 79350 69870 ) ( * 70210 )
NEW met2 ( 99590 67490 ) ( * 69530 )
NEW met2 ( 97290 64260 ) ( 97750 * )
NEW met2 ( 97290 64260 ) ( * 69530 )
NEW met1 ( 105570 68850 ) ( * 69190 )
NEW met1 ( 99590 68850 ) ( 105570 * )
NEW met1 ( 95910 36550 ) ( 97290 * )
NEW met2 ( 97290 36550 ) ( * 60860 )
NEW met2 ( 97290 60860 ) ( 97750 * )
NEW met2 ( 97750 60860 ) ( * 64260 )
NEW met1 ( 27830 90270 ) ( 28750 * )
NEW met2 ( 28750 69870 ) ( * 90270 )
NEW met1 ( 28750 69870 ) ( 79350 * )
NEW met1 ( 32430 188190 ) ( 36110 * )
NEW met1 ( 34270 191590 ) ( 34730 * )
NEW met2 ( 34270 188190 ) ( * 191590 )
NEW met2 ( 227930 69190 ) ( * 72930 )
NEW met2 ( 227930 72930 ) ( 228390 * )
NEW met1 ( 105570 69190 ) ( 227930 * )
NEW met2 ( 228390 72930 ) ( * 163710 )
NEW met2 ( 32430 158700 ) ( * 188190 )
NEW met1 ( 27830 147390 ) ( 33350 * )
NEW met2 ( 27830 145690 ) ( * 147390 )
NEW met2 ( 32430 158700 ) ( 32890 * )
NEW met2 ( 32890 147390 ) ( * 158700 )
NEW met2 ( 27830 90270 ) ( * 145690 )
NEW met2 ( 231610 222530 ) ( * 229330 )
NEW met1 ( 231610 229330 ) ( 235290 * )
NEW met1 ( 235290 229330 ) ( * 229670 )
NEW met1 ( 235290 229670 ) ( 237130 * )
NEW met1 ( 231610 222530 ) ( 233910 * )
NEW met2 ( 233910 169830 ) ( * 222530 )
NEW li1 ( 232070 163710 ) L1M1_PR_MR
NEW met1 ( 228390 163710 ) M1M2_PR
NEW li1 ( 233910 169830 ) L1M1_PR_MR
NEW met1 ( 233910 169830 ) M1M2_PR
NEW met1 ( 233910 163710 ) M1M2_PR
NEW li1 ( 100510 69530 ) L1M1_PR_MR
NEW li1 ( 97290 69530 ) L1M1_PR_MR
NEW li1 ( 97290 70210 ) L1M1_PR_MR
NEW li1 ( 99590 67490 ) L1M1_PR_MR
NEW met1 ( 99590 67490 ) M1M2_PR
NEW met1 ( 99590 69530 ) M1M2_PR
NEW met1 ( 97290 69530 ) M1M2_PR
NEW met1 ( 99590 68850 ) M1M2_PR
NEW li1 ( 95910 36550 ) L1M1_PR_MR
NEW met1 ( 97290 36550 ) M1M2_PR
NEW met1 ( 27830 90270 ) M1M2_PR
NEW met1 ( 28750 90270 ) M1M2_PR
NEW met1 ( 28750 69870 ) M1M2_PR
NEW li1 ( 36110 188190 ) L1M1_PR_MR
NEW met1 ( 32430 188190 ) M1M2_PR
NEW li1 ( 34730 191590 ) L1M1_PR_MR
NEW met1 ( 34270 191590 ) M1M2_PR
NEW met1 ( 34270 188190 ) M1M2_PR
NEW met1 ( 227930 69190 ) M1M2_PR
NEW li1 ( 27830 145690 ) L1M1_PR_MR
NEW met1 ( 27830 145690 ) M1M2_PR
NEW li1 ( 33350 147390 ) L1M1_PR_MR
NEW met1 ( 27830 147390 ) M1M2_PR
NEW met1 ( 32890 147390 ) M1M2_PR
NEW li1 ( 231610 222530 ) L1M1_PR_MR
NEW met1 ( 231610 222530 ) M1M2_PR
NEW met1 ( 231610 229330 ) M1M2_PR
NEW li1 ( 237130 229670 ) L1M1_PR_MR
NEW met1 ( 233910 222530 ) M1M2_PR
NEW met1 ( 233910 169830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 99590 67490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 99590 69530 ) RECT ( -595 -70 0 70 )
NEW met1 ( 97290 69530 ) RECT ( -595 -70 0 70 )
NEW met2 ( 99590 68850 ) RECT ( -70 -485 70 0 )
NEW met1 ( 34270 188190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 27830 145690 ) RECT ( 0 -70 355 70 )
NEW met1 ( 32890 147390 ) RECT ( -595 -70 0 70 )
NEW met1 ( 231610 222530 ) RECT ( -355 -70 0 70 ) ;
- _070_ ( ANTENNA__160__B2 DIODE ) ( ANTENNA__161__B2 DIODE ) ( ANTENNA__162__B2 DIODE ) ( ANTENNA__163__B2 DIODE ) ( ANTENNA__166__B2 DIODE ) ( _166_ B2 ) ( _163_ B2 )
( _162_ B2 ) ( _161_ B2 ) ( _160_ B2 ) ( _159_ X ) + USE SIGNAL
+ ROUTED met1 ( 162610 169150 ) ( * 169490 )
NEW met1 ( 235750 169490 ) ( * 169830 )
NEW met1 ( 162610 169490 ) ( 235750 * )
NEW met2 ( 101890 69530 ) ( * 71230 )
NEW met1 ( 101890 71230 ) ( 106030 * )
NEW li1 ( 82570 188530 ) ( * 189890 )
NEW met2 ( 120750 71230 ) ( * 73950 )
NEW met1 ( 120750 73950 ) ( 142370 * )
NEW met2 ( 142370 73950 ) ( * 86530 )
NEW met2 ( 142370 86530 ) ( 142830 * )
NEW met2 ( 142830 86530 ) ( * 109310 )
NEW met1 ( 142830 109310 ) ( 144670 * )
NEW met1 ( 106030 71230 ) ( 120750 * )
NEW met2 ( 143750 174930 ) ( * 188190 )
NEW met1 ( 114770 188190 ) ( 143750 * )
NEW met1 ( 114770 188190 ) ( * 188530 )
NEW met1 ( 157090 169150 ) ( * 169490 )
NEW met1 ( 155710 169490 ) ( 157090 * )
NEW met1 ( 155710 169150 ) ( * 169490 )
NEW met1 ( 143750 169150 ) ( 155710 * )
NEW met1 ( 82570 188530 ) ( 114770 * )
NEW met1 ( 157090 169150 ) ( 162610 * )
NEW met1 ( 30590 143310 ) ( 32430 * )
NEW met1 ( 27370 137870 ) ( 28290 * )
NEW met2 ( 28290 137870 ) ( * 143310 )
NEW met1 ( 28290 143310 ) ( 30590 * )
NEW met1 ( 24150 140250 ) ( 25070 * )
NEW met2 ( 25070 137870 ) ( * 140250 )
NEW met1 ( 25070 137870 ) ( 27370 * )
NEW met1 ( 143750 134470 ) ( 144670 * )
NEW met2 ( 143750 134470 ) ( * 174930 )
NEW met2 ( 144670 109310 ) ( * 134470 )
NEW met1 ( 28290 145350 ) ( 30590 * )
NEW met1 ( 28290 145010 ) ( * 145350 )
NEW met1 ( 26915 145010 ) ( 28290 * )
NEW met1 ( 26915 145010 ) ( * 145350 )
NEW met1 ( 26450 145350 ) ( 26915 * )
NEW met1 ( 33305 191590 ) ( 33335 * )
NEW met1 ( 33305 191590 ) ( * 191930 )
NEW met1 ( 32890 191930 ) ( 33305 * )
NEW met1 ( 32890 191930 ) ( * 192270 )
NEW met2 ( 32890 189890 ) ( * 192270 )
NEW met1 ( 30590 189890 ) ( 32890 * )
NEW met2 ( 30590 145350 ) ( * 189890 )
NEW met1 ( 32890 192270 ) ( 39790 * )
NEW met2 ( 39790 189890 ) ( * 192270 )
NEW met2 ( 30590 143310 ) ( * 145350 )
NEW met1 ( 39790 189890 ) ( 82570 * )
NEW li1 ( 226550 169490 ) L1M1_PR_MR
NEW li1 ( 235750 169830 ) L1M1_PR_MR
NEW li1 ( 106030 71230 ) L1M1_PR_MR
NEW li1 ( 101890 69530 ) L1M1_PR_MR
NEW met1 ( 101890 69530 ) M1M2_PR
NEW met1 ( 101890 71230 ) M1M2_PR
NEW li1 ( 82570 189890 ) L1M1_PR_MR
NEW li1 ( 82570 188530 ) L1M1_PR_MR
NEW met1 ( 120750 71230 ) M1M2_PR
NEW met1 ( 120750 73950 ) M1M2_PR
NEW met1 ( 142370 73950 ) M1M2_PR
NEW met1 ( 142830 109310 ) M1M2_PR
NEW met1 ( 144670 109310 ) M1M2_PR
NEW li1 ( 143750 174930 ) L1M1_PR_MR
NEW met1 ( 143750 174930 ) M1M2_PR
NEW met1 ( 143750 188190 ) M1M2_PR
NEW met1 ( 143750 169150 ) M1M2_PR
NEW li1 ( 32430 143310 ) L1M1_PR_MR
NEW met1 ( 30590 143310 ) M1M2_PR
NEW li1 ( 27370 137870 ) L1M1_PR_MR
NEW met1 ( 28290 137870 ) M1M2_PR
NEW met1 ( 28290 143310 ) M1M2_PR
NEW li1 ( 24150 140250 ) L1M1_PR_MR
NEW met1 ( 25070 140250 ) M1M2_PR
NEW met1 ( 25070 137870 ) M1M2_PR
NEW met1 ( 143750 134470 ) M1M2_PR
NEW met1 ( 144670 134470 ) M1M2_PR
NEW met1 ( 30590 145350 ) M1M2_PR
NEW li1 ( 26450 145350 ) L1M1_PR_MR
NEW li1 ( 33335 191590 ) L1M1_PR_MR
NEW met1 ( 32890 192270 ) M1M2_PR
NEW met1 ( 32890 189890 ) M1M2_PR
NEW met1 ( 30590 189890 ) M1M2_PR
NEW li1 ( 39790 192270 ) L1M1_PR_MR
NEW met1 ( 39790 189890 ) M1M2_PR
NEW met1 ( 39790 192270 ) M1M2_PR
NEW met1 ( 226550 169490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 101890 69530 ) RECT ( 0 -70 355 70 )
NEW met1 ( 143750 174930 ) RECT ( -355 -70 0 70 )
NEW met2 ( 143750 169150 ) RECT ( -70 -485 70 0 )
NEW met1 ( 39790 192270 ) RECT ( -595 -70 0 70 ) ;
- _071_ ( ANTENNA__166__A2 DIODE ) ( ANTENNA__168__A2 DIODE ) ( ANTENNA__169__A2 DIODE ) ( ANTENNA__170__A2 DIODE ) ( ANTENNA__171__A2 DIODE ) ( _171_ A2 ) ( _170_ A2 )
( _169_ A2 ) ( _168_ A2 ) ( _166_ A2 ) ( _164_ X ) + USE SIGNAL
+ ROUTED met1 ( 179170 229670 ) ( 180090 * )
NEW met2 ( 179170 227290 ) ( * 229670 )
NEW met1 ( 175490 227290 ) ( 179170 * )
NEW met1 ( 16330 86530 ) ( 24150 * )
NEW met2 ( 27370 85510 ) ( * 86530 )
NEW met1 ( 24150 86530 ) ( 27370 * )
NEW met2 ( 16330 62100 ) ( * 86530 )
NEW met1 ( 20930 55250 ) ( * 55590 )
NEW met1 ( 16790 55250 ) ( 20930 * )
NEW met2 ( 16790 55250 ) ( * 62100 )
NEW met2 ( 16330 62100 ) ( 16790 * )
NEW met1 ( 20470 53890 ) ( 23230 * )
NEW met2 ( 20470 53890 ) ( * 55250 )
NEW met1 ( 24610 139570 ) ( * 139910 )
NEW met1 ( 24150 139570 ) ( 24610 * )
NEW met1 ( 24610 139230 ) ( 28750 * )
NEW met1 ( 24610 139230 ) ( * 139570 )
NEW met2 ( 24150 139570 ) ( * 150110 )
NEW met1 ( 21850 153850 ) ( 24150 * )
NEW met2 ( 24150 150110 ) ( * 153850 )
NEW met2 ( 24150 86530 ) ( * 139570 )
NEW met1 ( 138690 201790 ) ( 142830 * )
NEW met2 ( 142830 201790 ) ( * 227290 )
NEW met1 ( 138230 201790 ) ( 138690 * )
NEW met1 ( 142830 202460 ) ( * 202470 )
NEW met1 ( 142370 202460 ) ( 142830 * )
NEW met1 ( 142370 201790 ) ( * 202460 )
NEW met2 ( 138230 89250 ) ( * 201790 )
NEW met1 ( 142830 227290 ) ( 175490 * )
NEW met1 ( 95450 85510 ) ( 100970 * )
NEW met2 ( 100970 85510 ) ( * 89250 )
NEW met1 ( 27370 85510 ) ( 95450 * )
NEW met1 ( 100970 89250 ) ( 138230 * )
NEW li1 ( 175490 227290 ) L1M1_PR_MR
NEW li1 ( 180090 229670 ) L1M1_PR_MR
NEW met1 ( 179170 229670 ) M1M2_PR
NEW met1 ( 179170 227290 ) M1M2_PR
NEW met1 ( 24150 86530 ) M1M2_PR
NEW met1 ( 16330 86530 ) M1M2_PR
NEW met1 ( 27370 85510 ) M1M2_PR
NEW met1 ( 27370 86530 ) M1M2_PR
NEW met1 ( 138230 89250 ) M1M2_PR
NEW li1 ( 20930 55590 ) L1M1_PR_MR
NEW met1 ( 16790 55250 ) M1M2_PR
NEW li1 ( 23230 53890 ) L1M1_PR_MR
NEW met1 ( 20470 53890 ) M1M2_PR
NEW met1 ( 20470 55250 ) M1M2_PR
NEW li1 ( 24610 139910 ) L1M1_PR_MR
NEW met1 ( 24150 139570 ) M1M2_PR
NEW li1 ( 28750 139230 ) L1M1_PR_MR
NEW li1 ( 24150 150110 ) L1M1_PR_MR
NEW met1 ( 24150 150110 ) M1M2_PR
NEW li1 ( 21850 153850 ) L1M1_PR_MR
NEW met1 ( 24150 153850 ) M1M2_PR
NEW li1 ( 138690 201790 ) L1M1_PR_MR
NEW met1 ( 142830 201790 ) M1M2_PR
NEW met1 ( 142830 227290 ) M1M2_PR
NEW met1 ( 138230 201790 ) M1M2_PR
NEW li1 ( 142830 202470 ) L1M1_PR_MR
NEW li1 ( 95450 85510 ) L1M1_PR_MR
NEW met1 ( 100970 85510 ) M1M2_PR
NEW met1 ( 100970 89250 ) M1M2_PR
NEW met1 ( 20470 55250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 24150 150110 ) RECT ( -355 -70 0 70 ) ;
- _072_ ( ANTENNA__166__C1 DIODE ) ( ANTENNA__168__C1 DIODE ) ( ANTENNA__169__C1 DIODE ) ( ANTENNA__170__C1 DIODE ) ( ANTENNA__171__C1 DIODE ) ( _171_ C1 ) ( _170_ C1 )
( _169_ C1 ) ( _168_ C1 ) ( _166_ C1 ) ( _165_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 61030 ) ( * 62050 )
NEW met1 ( 177790 226270 ) ( 178250 * )
NEW met1 ( 176410 229330 ) ( * 229670 )
NEW met1 ( 176410 229330 ) ( 177790 * )
NEW met2 ( 177790 226270 ) ( * 229330 )
NEW met2 ( 177790 204510 ) ( * 226270 )
NEW met2 ( 140990 202470 ) ( * 204510 )
NEW met1 ( 140990 204510 ) ( 177790 * )
NEW met1 ( 23230 62050 ) ( 53130 * )
NEW met1 ( 53130 61710 ) ( * 62050 )
NEW met1 ( 53130 61710 ) ( 60950 * )
NEW met1 ( 60950 61710 ) ( * 62050 )
NEW met1 ( 25530 53890 ) ( 25990 * )
NEW met2 ( 25530 53890 ) ( * 62050 )
NEW met1 ( 19090 55590 ) ( 19550 * )
NEW met1 ( 19550 55590 ) ( * 56610 )
NEW met1 ( 19550 56610 ) ( 25530 * )
NEW met1 ( 60950 62050 ) ( 86250 * )
NEW met1 ( 20010 152830 ) ( * 153510 )
NEW met1 ( 20010 152830 ) ( 26910 * )
NEW met2 ( 26910 150110 ) ( * 152830 )
NEW met1 ( 22770 139910 ) ( * 140250 )
NEW met1 ( 20010 139910 ) ( 22770 * )
NEW met2 ( 20010 135150 ) ( * 139910 )
NEW met1 ( 20010 135150 ) ( 23230 * )
NEW met1 ( 22770 142970 ) ( 26910 * )
NEW met2 ( 22770 140250 ) ( * 142970 )
NEW met1 ( 34270 140250 ) ( 34730 * )
NEW met2 ( 34270 140250 ) ( * 142970 )
NEW met1 ( 26910 142970 ) ( 34270 * )
NEW met2 ( 23230 62050 ) ( * 135150 )
NEW met2 ( 26910 142970 ) ( * 150110 )
NEW met1 ( 118910 71570 ) ( 140990 * )
NEW met2 ( 115690 61030 ) ( * 71570 )
NEW met1 ( 115690 71570 ) ( 118910 * )
NEW met1 ( 86250 61030 ) ( 115690 * )
NEW met2 ( 140990 71570 ) ( * 202470 )
NEW met1 ( 177790 204510 ) M1M2_PR
NEW li1 ( 178250 226270 ) L1M1_PR_MR
NEW met1 ( 177790 226270 ) M1M2_PR
NEW li1 ( 176410 229670 ) L1M1_PR_MR
NEW met1 ( 177790 229330 ) M1M2_PR
NEW li1 ( 140990 202470 ) L1M1_PR_MR
NEW met1 ( 140990 202470 ) M1M2_PR
NEW li1 ( 140990 204510 ) L1M1_PR_MR
NEW met1 ( 140990 204510 ) M1M2_PR
NEW met1 ( 23230 62050 ) M1M2_PR
NEW li1 ( 25990 53890 ) L1M1_PR_MR
NEW met1 ( 25530 53890 ) M1M2_PR
NEW met1 ( 25530 62050 ) M1M2_PR
NEW li1 ( 19090 55590 ) L1M1_PR_MR
NEW met1 ( 25530 56610 ) M1M2_PR
NEW li1 ( 26910 150110 ) L1M1_PR_MR
NEW met1 ( 26910 150110 ) M1M2_PR
NEW li1 ( 20010 153510 ) L1M1_PR_MR
NEW met1 ( 26910 152830 ) M1M2_PR
NEW li1 ( 22770 140250 ) L1M1_PR_MR
NEW met1 ( 20010 139910 ) M1M2_PR
NEW met1 ( 20010 135150 ) M1M2_PR
NEW met1 ( 23230 135150 ) M1M2_PR
NEW met1 ( 26910 142970 ) M1M2_PR
NEW met1 ( 22770 142970 ) M1M2_PR
NEW met1 ( 22770 140250 ) M1M2_PR
NEW li1 ( 34730 140250 ) L1M1_PR_MR
NEW met1 ( 34270 140250 ) M1M2_PR
NEW met1 ( 34270 142970 ) M1M2_PR
NEW li1 ( 118910 71570 ) L1M1_PR_MR
NEW met1 ( 140990 71570 ) M1M2_PR
NEW met1 ( 115690 61030 ) M1M2_PR
NEW met1 ( 115690 71570 ) M1M2_PR
NEW met1 ( 140990 202470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140990 204510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25530 62050 ) RECT ( -595 -70 0 70 )
NEW met2 ( 25530 56610 ) RECT ( -70 -485 70 0 )
NEW met1 ( 26910 150110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22770 140250 ) RECT ( -595 -70 0 70 ) ;
- _073_ ( ANTENNA__168__B2 DIODE ) ( ANTENNA__169__B2 DIODE ) ( ANTENNA__170__B2 DIODE ) ( ANTENNA__171__B2 DIODE ) ( ANTENNA__174__B2 DIODE ) ( _174_ B2 ) ( _171_ B2 )
( _170_ B2 ) ( _169_ B2 ) ( _168_ B2 ) ( _167_ X ) + USE SIGNAL
+ ROUTED met2 ( 84870 203490 ) ( * 206210 )
NEW met1 ( 177330 229670 ) ( 178250 * )
NEW met2 ( 177330 229670 ) ( * 231710 )
NEW met1 ( 59110 206210 ) ( 84870 * )
NEW met1 ( 20470 55930 ) ( * 56270 )
NEW met1 ( 20470 56270 ) ( 25070 * )
NEW met2 ( 25070 56270 ) ( * 59330 )
NEW met1 ( 25070 155550 ) ( 25990 * )
NEW met2 ( 25070 145860 ) ( * 155550 )
NEW met2 ( 24610 145860 ) ( 25070 * )
NEW met2 ( 24610 137020 ) ( * 145860 )
NEW met2 ( 24610 137020 ) ( 25070 * )
NEW met1 ( 21390 153850 ) ( * 154190 )
NEW met1 ( 21390 154190 ) ( 25070 * )
NEW met1 ( 25990 155550 ) ( 59110 * )
NEW met2 ( 25070 59330 ) ( * 137020 )
NEW met1 ( 59110 229330 ) ( 60950 * )
NEW met2 ( 59110 155550 ) ( * 229330 )
NEW met2 ( 158470 203150 ) ( * 231710 )
NEW met1 ( 158470 231710 ) ( 177790 * )
NEW met2 ( 138230 203490 ) ( * 204510 )
NEW met1 ( 142370 202810 ) ( 142830 * )
NEW met1 ( 142830 202810 ) ( * 203490 )
NEW met1 ( 138230 203490 ) ( 142830 * )
NEW met1 ( 84870 203490 ) ( 138230 * )
NEW met1 ( 142830 203150 ) ( 158470 * )
NEW met1 ( 158485 169490 ) ( * 169830 )
NEW met1 ( 157550 169490 ) ( 158485 * )
NEW met1 ( 157550 169490 ) ( * 170170 )
NEW met1 ( 155710 170170 ) ( 157550 * )
NEW met2 ( 155710 165410 ) ( * 170170 )
NEW met2 ( 155710 170170 ) ( * 203150 )
NEW met1 ( 84870 206210 ) M1M2_PR
NEW met1 ( 84870 203490 ) M1M2_PR
NEW li1 ( 177790 231710 ) L1M1_PR_MR
NEW li1 ( 178250 229670 ) L1M1_PR_MR
NEW met1 ( 177330 229670 ) M1M2_PR
NEW met1 ( 177330 231710 ) M1M2_PR
NEW met1 ( 59110 206210 ) M1M2_PR
NEW met1 ( 158470 203150 ) M1M2_PR
NEW met1 ( 155710 203150 ) M1M2_PR
NEW li1 ( 25070 59330 ) L1M1_PR_MR
NEW met1 ( 25070 59330 ) M1M2_PR
NEW li1 ( 20470 55930 ) L1M1_PR_MR
NEW met1 ( 25070 56270 ) M1M2_PR
NEW li1 ( 25990 155550 ) L1M1_PR_MR
NEW met1 ( 25070 155550 ) M1M2_PR
NEW li1 ( 21390 153850 ) L1M1_PR_MR
NEW met1 ( 25070 154190 ) M1M2_PR
NEW met1 ( 59110 155550 ) M1M2_PR
NEW met1 ( 59110 229330 ) M1M2_PR
NEW li1 ( 60950 229330 ) L1M1_PR_MR
NEW met1 ( 158470 231710 ) M1M2_PR
NEW li1 ( 138230 204510 ) L1M1_PR_MR
NEW met1 ( 138230 204510 ) M1M2_PR
NEW met1 ( 138230 203490 ) M1M2_PR
NEW li1 ( 142370 202810 ) L1M1_PR_MR
NEW li1 ( 158485 169830 ) L1M1_PR_MR
NEW met1 ( 155710 170170 ) M1M2_PR
NEW li1 ( 155710 165410 ) L1M1_PR_MR
NEW met1 ( 155710 165410 ) M1M2_PR
NEW met1 ( 177330 231710 ) RECT ( -595 -70 0 70 )
NEW met2 ( 59110 206210 ) RECT ( -70 -485 70 0 )
NEW met1 ( 155710 203150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 25070 59330 ) RECT ( -355 -70 0 70 )
NEW met2 ( 25070 154190 ) RECT ( -70 -485 70 0 )
NEW met1 ( 138230 204510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 155710 165410 ) RECT ( -355 -70 0 70 ) ;
- _074_ ( ANTENNA__174__A2 DIODE ) ( ANTENNA__176__A2 DIODE ) ( ANTENNA__177__A2 DIODE ) ( ANTENNA__178__A2 DIODE ) ( ANTENNA__179__A2 DIODE ) ( _179_ A2 ) ( _178_ A2 )
( _177_ A2 ) ( _176_ A2 ) ( _174_ A2 ) ( _172_ X ) + USE SIGNAL
+ ROUTED met1 ( 181470 124270 ) ( 182390 * )
NEW met1 ( 184690 126310 ) ( 185150 * )
NEW met2 ( 184690 126140 ) ( * 126310 )
NEW met2 ( 184230 126140 ) ( 184690 * )
NEW met2 ( 184230 124270 ) ( * 126140 )
NEW met1 ( 182390 124270 ) ( 184230 * )
NEW met2 ( 142830 86020 ) ( 143290 * )
NEW met2 ( 143290 36550 ) ( * 42330 )
NEW met2 ( 139610 43010 ) ( * 44030 )
NEW met1 ( 139610 43010 ) ( 143290 * )
NEW met2 ( 143290 42330 ) ( * 43010 )
NEW met2 ( 142830 43010 ) ( 143290 * )
NEW met2 ( 142830 43010 ) ( * 86020 )
NEW met1 ( 88090 129030 ) ( 118450 * )
NEW met2 ( 143290 86020 ) ( * 103870 )
NEW met1 ( 118450 124270 ) ( 181470 * )
NEW met2 ( 217810 35700 ) ( * 35870 )
NEW met3 ( 194810 35700 ) ( 217810 * )
NEW met2 ( 194810 35020 ) ( * 35700 )
NEW met2 ( 194350 35020 ) ( 194810 * )
NEW met2 ( 194350 34510 ) ( * 35020 )
NEW met1 ( 220570 34170 ) ( * 34510 )
NEW met1 ( 217810 34510 ) ( 220570 * )
NEW met2 ( 217810 34510 ) ( * 35700 )
NEW met2 ( 167210 34340 ) ( * 36550 )
NEW met3 ( 167210 34340 ) ( 191590 * )
NEW met2 ( 191590 34340 ) ( * 34850 )
NEW met1 ( 191590 34170 ) ( * 34850 )
NEW met1 ( 191590 34170 ) ( 192050 * )
NEW met1 ( 192050 34170 ) ( * 34510 )
NEW met1 ( 143290 36550 ) ( 167210 * )
NEW met1 ( 192050 34510 ) ( 194350 * )
NEW met1 ( 162610 169830 ) ( 181470 * )
NEW met1 ( 158010 170170 ) ( 160310 * )
NEW met1 ( 160310 169830 ) ( * 170170 )
NEW met1 ( 160310 169830 ) ( 162610 * )
NEW met2 ( 181470 124270 ) ( * 169830 )
NEW met1 ( 124200 103870 ) ( 143290 * )
NEW met1 ( 118450 105230 ) ( 118910 * )
NEW met1 ( 118450 103870 ) ( 124200 * )
NEW met2 ( 118450 103870 ) ( * 105230 )
NEW met1 ( 124200 103870 ) ( * 104550 )
NEW met2 ( 118450 105230 ) ( * 129030 )
NEW li1 ( 88090 129030 ) L1M1_PR_MR
NEW li1 ( 182390 124270 ) L1M1_PR_MR
NEW met1 ( 181470 124270 ) M1M2_PR
NEW li1 ( 185150 126310 ) L1M1_PR_MR
NEW met1 ( 184690 126310 ) M1M2_PR
NEW met1 ( 184230 124270 ) M1M2_PR
NEW li1 ( 143290 42330 ) L1M1_PR_MR
NEW met1 ( 143290 42330 ) M1M2_PR
NEW met1 ( 143290 36550 ) M1M2_PR
NEW li1 ( 139610 44030 ) L1M1_PR_MR
NEW met1 ( 139610 44030 ) M1M2_PR
NEW met1 ( 139610 43010 ) M1M2_PR
NEW met1 ( 143290 43010 ) M1M2_PR
NEW met1 ( 118450 129030 ) M1M2_PR
NEW met1 ( 118450 124270 ) M1M2_PR
NEW met1 ( 143290 103870 ) M1M2_PR
NEW li1 ( 217810 35870 ) L1M1_PR_MR
NEW met1 ( 217810 35870 ) M1M2_PR
NEW met2 ( 217810 35700 ) M2M3_PR_M
NEW met2 ( 194810 35700 ) M2M3_PR_M
NEW met1 ( 194350 34510 ) M1M2_PR
NEW li1 ( 220570 34170 ) L1M1_PR_MR
NEW met1 ( 217810 34510 ) M1M2_PR
NEW met1 ( 167210 36550 ) M1M2_PR
NEW met2 ( 167210 34340 ) M2M3_PR_M
NEW met2 ( 191590 34340 ) M2M3_PR_M
NEW met1 ( 191590 34850 ) M1M2_PR
NEW li1 ( 162610 169830 ) L1M1_PR_MR
NEW met1 ( 181470 169830 ) M1M2_PR
NEW li1 ( 158010 170170 ) L1M1_PR_MR
NEW li1 ( 118910 105230 ) L1M1_PR_MR
NEW met1 ( 118450 105230 ) M1M2_PR
NEW met1 ( 118450 103870 ) M1M2_PR
NEW li1 ( 124200 104550 ) L1M1_PR_MR
NEW met1 ( 143290 42330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 139610 44030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 118450 124270 ) RECT ( -70 -485 70 0 )
NEW met1 ( 217810 35870 ) RECT ( -355 -70 0 70 ) ;
- _075_ ( ANTENNA__174__C1 DIODE ) ( ANTENNA__176__C1 DIODE ) ( ANTENNA__177__C1 DIODE ) ( ANTENNA__178__C1 DIODE ) ( ANTENNA__179__C1 DIODE ) ( _179_ C1 ) ( _178_ C1 )
( _177_ C1 ) ( _176_ C1 ) ( _174_ C1 ) ( _173_ X ) + USE SIGNAL
+ ROUTED met3 ( 181470 62220 ) ( 184230 * )
NEW met1 ( 160310 109990 ) ( 183310 * )
NEW met2 ( 160310 104890 ) ( * 109990 )
NEW met1 ( 181470 43010 ) ( 192050 * )
NEW met1 ( 192050 42670 ) ( * 43010 )
NEW met2 ( 181470 43010 ) ( * 62220 )
NEW met2 ( 183310 109990 ) ( * 110400 )
NEW met2 ( 184230 62220 ) ( * 110400 )
NEW met1 ( 186990 125970 ) ( * 126310 )
NEW met1 ( 183770 125970 ) ( 186990 * )
NEW met2 ( 183770 125970 ) ( * 128350 )
NEW met2 ( 183310 110400 ) ( 183770 * )
NEW met2 ( 183770 110400 ) ( * 125970 )
NEW met2 ( 183770 110400 ) ( 184230 * )
NEW met1 ( 158700 43010 ) ( 181470 * )
NEW met2 ( 141910 41990 ) ( * 44030 )
NEW met1 ( 146970 42330 ) ( * 42670 )
NEW met1 ( 144670 42670 ) ( 146970 * )
NEW met1 ( 144670 42330 ) ( * 42670 )
NEW met1 ( 143750 42330 ) ( 144670 * )
NEW met1 ( 143750 41990 ) ( * 42330 )
NEW met1 ( 141910 41990 ) ( 143750 * )
NEW met1 ( 158700 42670 ) ( * 43010 )
NEW met1 ( 146970 42670 ) ( 158700 * )
NEW met2 ( 126730 104890 ) ( * 106590 )
NEW met1 ( 126730 104890 ) ( 160310 * )
NEW met1 ( 212750 33830 ) ( 218715 * )
NEW met2 ( 212750 33830 ) ( * 42670 )
NEW met1 ( 216430 29410 ) ( 216890 * )
NEW met2 ( 216430 29410 ) ( * 33830 )
NEW met1 ( 192050 42670 ) ( 212750 * )
NEW met1 ( 14950 41990 ) ( 141910 * )
NEW met1 ( 159850 169490 ) ( * 169830 )
NEW met1 ( 159850 169490 ) ( 160310 * )
NEW met1 ( 153870 174590 ) ( 160310 * )
NEW met2 ( 160310 169490 ) ( * 174590 )
NEW met2 ( 160310 109990 ) ( * 169490 )
NEW met1 ( 120750 104550 ) ( 122130 * )
NEW met2 ( 120750 104550 ) ( * 106590 )
NEW met1 ( 120750 106590 ) ( 126730 * )
NEW met2 ( 184230 62220 ) M2M3_PR_M
NEW met2 ( 181470 62220 ) M2M3_PR_M
NEW met1 ( 160310 109990 ) M1M2_PR
NEW met1 ( 183310 109990 ) M1M2_PR
NEW met1 ( 160310 104890 ) M1M2_PR
NEW met1 ( 181470 43010 ) M1M2_PR
NEW li1 ( 186990 126310 ) L1M1_PR_MR
NEW met1 ( 183770 125970 ) M1M2_PR
NEW li1 ( 183770 128350 ) L1M1_PR_MR
NEW met1 ( 183770 128350 ) M1M2_PR
NEW li1 ( 14950 41990 ) L1M1_PR_MR
NEW li1 ( 141910 44030 ) L1M1_PR_MR
NEW met1 ( 141910 44030 ) M1M2_PR
NEW met1 ( 141910 41990 ) M1M2_PR
NEW li1 ( 146970 42330 ) L1M1_PR_MR
NEW met1 ( 126730 106590 ) M1M2_PR
NEW met1 ( 126730 104890 ) M1M2_PR
NEW li1 ( 218715 33830 ) L1M1_PR_MR
NEW met1 ( 212750 33830 ) M1M2_PR
NEW met1 ( 212750 42670 ) M1M2_PR
NEW li1 ( 216890 29410 ) L1M1_PR_MR
NEW met1 ( 216430 29410 ) M1M2_PR
NEW met1 ( 216430 33830 ) M1M2_PR
NEW li1 ( 159850 169830 ) L1M1_PR_MR
NEW met1 ( 160310 169490 ) M1M2_PR
NEW li1 ( 153870 174590 ) L1M1_PR_MR
NEW met1 ( 160310 174590 ) M1M2_PR
NEW li1 ( 122130 104550 ) L1M1_PR_MR
NEW met1 ( 120750 104550 ) M1M2_PR
NEW li1 ( 120750 106590 ) L1M1_PR_MR
NEW met1 ( 120750 106590 ) M1M2_PR
NEW met1 ( 183770 128350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 141910 44030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 216430 33830 ) RECT ( -595 -70 0 70 )
NEW met1 ( 120750 106590 ) RECT ( -355 -70 0 70 ) ;
- _076_ ( ANTENNA__176__B2 DIODE ) ( ANTENNA__177__B2 DIODE ) ( ANTENNA__178__B2 DIODE ) ( ANTENNA__179__B2 DIODE ) ( ANTENNA__180__B2 DIODE ) ( _180_ B2 ) ( _179_ B2 )
( _178_ B2 ) ( _177_ B2 ) ( _176_ B2 ) ( _175_ X ) + USE SIGNAL
+ ROUTED met2 ( 167670 36550 ) ( * 38590 )
NEW met2 ( 185610 126650 ) ( * 128690 )
NEW met1 ( 180550 128690 ) ( 185610 * )
NEW met1 ( 145130 42330 ) ( 146510 * )
NEW met1 ( 137770 37570 ) ( 146510 * )
NEW met2 ( 146510 37570 ) ( * 42330 )
NEW met1 ( 151570 38590 ) ( * 38930 )
NEW met1 ( 146510 38930 ) ( 151570 * )
NEW met1 ( 151570 38590 ) ( 167670 * )
NEW met1 ( 151110 128690 ) ( 180550 * )
NEW met2 ( 220110 33830 ) ( * 36550 )
NEW met1 ( 215050 36550 ) ( 220110 * )
NEW met1 ( 167670 36550 ) ( 215050 * )
NEW met1 ( 145130 73950 ) ( 146510 * )
NEW met2 ( 145130 71230 ) ( * 73950 )
NEW met1 ( 145130 71230 ) ( 146510 * )
NEW met1 ( 156170 74290 ) ( * 74630 )
NEW met1 ( 156170 74290 ) ( 159390 * )
NEW met2 ( 159390 69530 ) ( * 74290 )
NEW met1 ( 146510 69530 ) ( 159390 * )
NEW met1 ( 146050 75650 ) ( 151110 * )
NEW li1 ( 146050 73950 ) ( * 75650 )
NEW met2 ( 146510 42330 ) ( * 71230 )
NEW met2 ( 151110 75650 ) ( * 128690 )
NEW met1 ( 111550 102850 ) ( 119370 * )
NEW met2 ( 111550 102850 ) ( * 115090 )
NEW met1 ( 108790 115090 ) ( 111550 * )
NEW met1 ( 123510 104550 ) ( 123555 * )
NEW met1 ( 123510 104440 ) ( * 104550 )
NEW met2 ( 123510 102850 ) ( * 104440 )
NEW met1 ( 119370 102850 ) ( 151110 * )
NEW met1 ( 167670 38590 ) M1M2_PR
NEW met1 ( 167670 36550 ) M1M2_PR
NEW li1 ( 180550 128690 ) L1M1_PR_MR
NEW li1 ( 185610 126650 ) L1M1_PR_MR
NEW met1 ( 185610 126650 ) M1M2_PR
NEW met1 ( 185610 128690 ) M1M2_PR
NEW met1 ( 151110 102850 ) M1M2_PR
NEW li1 ( 145130 42330 ) L1M1_PR_MR
NEW met1 ( 146510 42330 ) M1M2_PR
NEW li1 ( 137770 37570 ) L1M1_PR_MR
NEW met1 ( 146510 37570 ) M1M2_PR
NEW met1 ( 146510 38930 ) M1M2_PR
NEW met1 ( 151110 128690 ) M1M2_PR
NEW li1 ( 215050 36550 ) L1M1_PR_MR
NEW li1 ( 220110 33830 ) L1M1_PR_MR
NEW met1 ( 220110 33830 ) M1M2_PR
NEW met1 ( 220110 36550 ) M1M2_PR
NEW li1 ( 146510 73950 ) L1M1_PR_MR
NEW met1 ( 145130 73950 ) M1M2_PR
NEW met1 ( 145130 71230 ) M1M2_PR
NEW met1 ( 146510 71230 ) M1M2_PR
NEW li1 ( 156170 74630 ) L1M1_PR_MR
NEW met1 ( 159390 74290 ) M1M2_PR
NEW met1 ( 159390 69530 ) M1M2_PR
NEW met1 ( 146510 69530 ) M1M2_PR
NEW met1 ( 151110 75650 ) M1M2_PR
NEW li1 ( 146050 75650 ) L1M1_PR_MR
NEW li1 ( 146050 73950 ) L1M1_PR_MR
NEW li1 ( 119370 102850 ) L1M1_PR_MR
NEW met1 ( 111550 102850 ) M1M2_PR
NEW met1 ( 111550 115090 ) M1M2_PR
NEW li1 ( 108790 115090 ) L1M1_PR_MR
NEW li1 ( 123555 104550 ) L1M1_PR_MR
NEW met1 ( 123510 104440 ) M1M2_PR
NEW met1 ( 123510 102850 ) M1M2_PR
NEW met1 ( 185610 126650 ) RECT ( -355 -70 0 70 )
NEW met2 ( 151110 102850 ) RECT ( -70 -485 70 0 )
NEW met2 ( 146510 38930 ) RECT ( -70 -485 70 0 )
NEW met1 ( 220110 33830 ) RECT ( 0 -70 355 70 )
NEW met2 ( 146510 69530 ) RECT ( -70 -485 70 0 )
NEW met1 ( 146050 73950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123510 102850 ) RECT ( -595 -70 0 70 ) ;
- _077_ ( _185_ C ) ( _184_ Y ) + USE SIGNAL
+ ROUTED met1 ( 124890 56610 ) ( 127190 * )
NEW met2 ( 127190 56610 ) ( * 112710 )
NEW met1 ( 97750 112710 ) ( 127190 * )
NEW met1 ( 127190 112710 ) M1M2_PR
NEW li1 ( 124890 56610 ) L1M1_PR_MR
NEW met1 ( 127190 56610 ) M1M2_PR
NEW li1 ( 97750 112710 ) L1M1_PR_MR ;
- _078_ ( ANTENNA__102__D DIODE ) ( ANTENNA__186__A DIODE ) ( _186_ A ) ( _185_ X ) ( _102_ D ) + USE SIGNAL
+ ROUTED met2 ( 68770 51170 ) ( * 52530 )
NEW met1 ( 68770 51170 ) ( 103730 * )
NEW met2 ( 103730 51170 ) ( * 55590 )
NEW met2 ( 65550 50150 ) ( * 52530 )
NEW met1 ( 65550 48450 ) ( 66010 * )
NEW met2 ( 65550 48450 ) ( * 50150 )
NEW met2 ( 16330 52530 ) ( * 55250 )
NEW met1 ( 16330 52530 ) ( 20010 * )
NEW met1 ( 20010 52530 ) ( 68770 * )
NEW met1 ( 103730 55590 ) ( 122130 * )
NEW met1 ( 68770 52530 ) M1M2_PR
NEW met1 ( 68770 51170 ) M1M2_PR
NEW met1 ( 103730 51170 ) M1M2_PR
NEW met1 ( 103730 55590 ) M1M2_PR
NEW li1 ( 65550 50150 ) L1M1_PR_MR
NEW met1 ( 65550 50150 ) M1M2_PR
NEW met1 ( 65550 52530 ) M1M2_PR
NEW li1 ( 66010 48450 ) L1M1_PR_MR
NEW met1 ( 65550 48450 ) M1M2_PR
NEW li1 ( 20010 52530 ) L1M1_PR_MR
NEW li1 ( 16330 55250 ) L1M1_PR_MR
NEW met1 ( 16330 55250 ) M1M2_PR
NEW met1 ( 16330 52530 ) M1M2_PR
NEW li1 ( 122130 55590 ) L1M1_PR_MR
NEW met1 ( 65550 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 65550 52530 ) RECT ( -595 -70 0 70 )
NEW met1 ( 16330 55250 ) RECT ( -355 -70 0 70 ) ;
- _079_ ( ANTENNA__187__A DIODE ) ( ANTENNA__212__A DIODE ) ( ANTENNA__219__A DIODE ) ( ANTENNA__226__A DIODE ) ( _226_ A ) ( _219_ A ) ( _212_ A )
( _187_ A ) ( _186_ Y ) + USE SIGNAL
+ ROUTED met1 ( 208150 130050 ) ( 209070 * )
NEW met1 ( 212290 129370 ) ( * 130050 )
NEW met1 ( 209070 130050 ) ( 212290 * )
NEW met2 ( 208150 130050 ) ( * 156910 )
NEW met1 ( 63250 96730 ) ( 67390 * )
NEW met1 ( 67390 153510 ) ( 71070 * )
NEW met2 ( 67390 152830 ) ( * 153510 )
NEW met1 ( 85330 153170 ) ( 96830 * )
NEW met1 ( 85330 152830 ) ( * 153170 )
NEW met1 ( 67390 152830 ) ( 85330 * )
NEW met2 ( 98210 153170 ) ( * 156570 )
NEW met1 ( 96830 153170 ) ( 98210 * )
NEW met2 ( 67390 96730 ) ( * 152830 )
NEW met1 ( 35650 96730 ) ( 38870 * )
NEW met1 ( 38870 96730 ) ( 63250 * )
NEW met1 ( 98210 156570 ) ( 110400 * )
NEW met1 ( 110400 156570 ) ( * 156910 )
NEW met1 ( 110400 156910 ) ( 208150 * )
NEW met2 ( 63250 51170 ) ( * 96730 )
NEW li1 ( 209070 130050 ) L1M1_PR_MR
NEW met1 ( 208150 130050 ) M1M2_PR
NEW li1 ( 212290 129370 ) L1M1_PR_MR
NEW met1 ( 208150 156910 ) M1M2_PR
NEW met1 ( 63250 96730 ) M1M2_PR
NEW met1 ( 67390 96730 ) M1M2_PR
NEW li1 ( 67390 152830 ) L1M1_PR_MR
NEW met1 ( 67390 152830 ) M1M2_PR
NEW li1 ( 71070 153510 ) L1M1_PR_MR
NEW met1 ( 67390 153510 ) M1M2_PR
NEW li1 ( 96830 153170 ) L1M1_PR_MR
NEW li1 ( 98210 156570 ) L1M1_PR_MR
NEW met1 ( 98210 156570 ) M1M2_PR
NEW met1 ( 98210 153170 ) M1M2_PR
NEW li1 ( 38870 96730 ) L1M1_PR_MR
NEW li1 ( 35650 96730 ) L1M1_PR_MR
NEW li1 ( 63250 51170 ) L1M1_PR_MR
NEW met1 ( 63250 51170 ) M1M2_PR
NEW met1 ( 67390 152830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 98210 156570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 63250 51170 ) RECT ( -355 -70 0 70 ) ;
- _080_ ( ANTENNA__188__A DIODE ) ( ANTENNA__198__A DIODE ) ( ANTENNA__205__A DIODE ) ( ANTENNA__233__A2 DIODE ) ( ANTENNA__234__A2 DIODE ) ( _234_ A2 ) ( _233_ A2 )
( _205_ A ) ( _198_ A ) ( _188_ A ) ( _187_ X ) + USE SIGNAL
+ ROUTED met1 ( 204010 86190 ) ( 205850 * )
NEW met1 ( 66010 53210 ) ( 84410 * )
NEW met1 ( 88550 50830 ) ( 89010 * )
NEW met2 ( 88550 50830 ) ( * 53210 )
NEW met1 ( 84410 53210 ) ( 88550 * )
NEW met2 ( 88550 50150 ) ( * 50830 )
NEW met2 ( 66010 53210 ) ( * 76670 )
NEW met1 ( 78890 223550 ) ( 79350 * )
NEW met1 ( 73370 226270 ) ( * 227290 )
NEW met1 ( 73370 226270 ) ( 76590 * )
NEW met2 ( 76590 223550 ) ( * 226270 )
NEW met1 ( 76590 223550 ) ( 78890 * )
NEW met2 ( 78890 154190 ) ( * 223550 )
NEW met1 ( 163070 50490 ) ( * 50830 )
NEW met1 ( 163070 50830 ) ( 204010 * )
NEW met2 ( 204010 50830 ) ( * 86190 )
NEW met2 ( 201710 129030 ) ( * 152830 )
NEW met2 ( 205850 113050 ) ( * 129030 )
NEW met2 ( 205850 86190 ) ( * 113050 )
NEW met1 ( 205850 113050 ) ( 212290 * )
NEW met1 ( 201710 129030 ) ( 214130 * )
NEW met1 ( 21390 77010 ) ( 34730 * )
NEW met1 ( 34730 76670 ) ( * 77010 )
NEW met2 ( 19550 77010 ) ( * 80410 )
NEW met1 ( 19550 77010 ) ( 21390 * )
NEW met1 ( 34730 76670 ) ( 66010 * )
NEW met1 ( 134550 50150 ) ( * 50490 )
NEW met1 ( 88550 50150 ) ( 134550 * )
NEW met1 ( 134550 50490 ) ( 163070 * )
NEW met1 ( 153870 153510 ) ( 172730 * )
NEW li1 ( 172730 152830 ) ( * 153510 )
NEW met1 ( 151110 153510 ) ( 153870 * )
NEW li1 ( 148350 153510 ) ( * 154190 )
NEW met1 ( 148350 153510 ) ( 151110 * )
NEW met1 ( 78890 154190 ) ( 148350 * )
NEW met1 ( 172730 152830 ) ( 201710 * )
NEW li1 ( 212290 113050 ) L1M1_PR_MR
NEW li1 ( 214130 129030 ) L1M1_PR_MR
NEW met1 ( 66010 76670 ) M1M2_PR
NEW met1 ( 204010 86190 ) M1M2_PR
NEW met1 ( 205850 86190 ) M1M2_PR
NEW li1 ( 84410 53210 ) L1M1_PR_MR
NEW met1 ( 66010 53210 ) M1M2_PR
NEW li1 ( 89010 50830 ) L1M1_PR_MR
NEW met1 ( 88550 50830 ) M1M2_PR
NEW met1 ( 88550 53210 ) M1M2_PR
NEW met1 ( 88550 50150 ) M1M2_PR
NEW met1 ( 78890 154190 ) M1M2_PR
NEW li1 ( 79350 223550 ) L1M1_PR_MR
NEW met1 ( 78890 223550 ) M1M2_PR
NEW li1 ( 73370 227290 ) L1M1_PR_MR
NEW met1 ( 76590 226270 ) M1M2_PR
NEW met1 ( 76590 223550 ) M1M2_PR
NEW met1 ( 204010 50830 ) M1M2_PR
NEW met1 ( 201710 129030 ) M1M2_PR
NEW met1 ( 201710 152830 ) M1M2_PR
NEW met1 ( 205850 113050 ) M1M2_PR
NEW met1 ( 205850 129030 ) M1M2_PR
NEW li1 ( 206310 113050 ) L1M1_PR_MR
NEW li1 ( 21390 77010 ) L1M1_PR_MR
NEW li1 ( 19550 80410 ) L1M1_PR_MR
NEW met1 ( 19550 80410 ) M1M2_PR
NEW met1 ( 19550 77010 ) M1M2_PR
NEW li1 ( 153870 153510 ) L1M1_PR_MR
NEW li1 ( 172730 153510 ) L1M1_PR_MR
NEW li1 ( 172730 152830 ) L1M1_PR_MR
NEW li1 ( 151110 153510 ) L1M1_PR_MR
NEW li1 ( 148350 154190 ) L1M1_PR_MR
NEW li1 ( 148350 153510 ) L1M1_PR_MR
NEW met1 ( 205850 129030 ) RECT ( -595 -70 0 70 )
NEW met1 ( 206310 113050 ) RECT ( -595 -70 0 70 )
NEW met1 ( 19550 80410 ) RECT ( 0 -70 355 70 ) ;
- _081_ ( ANTENNA__193__A2 DIODE ) ( ANTENNA__194__A2 DIODE ) ( ANTENNA__195__A2 DIODE ) ( ANTENNA__196__A2 DIODE ) ( ANTENNA__197__A2 DIODE ) ( _197_ A2 ) ( _196_ A2 )
( _195_ A2 ) ( _194_ A2 ) ( _193_ A2 ) ( _188_ X ) + USE SIGNAL
+ ROUTED met2 ( 187450 42330 ) ( * 44030 )
NEW met1 ( 167670 44030 ) ( 187450 * )
NEW met2 ( 167670 44030 ) ( * 52190 )
NEW met1 ( 165830 52190 ) ( 167670 * )
NEW met1 ( 165830 52190 ) ( * 52530 )
NEW met1 ( 189290 20570 ) ( 190210 * )
NEW met2 ( 189290 20570 ) ( * 42330 )
NEW met1 ( 190210 20570 ) ( 194090 * )
NEW met2 ( 151110 52530 ) ( * 53890 )
NEW met1 ( 151110 52530 ) ( 165830 * )
NEW met2 ( 110630 158700 ) ( 111090 * )
NEW met1 ( 111550 211650 ) ( 118450 * )
NEW met1 ( 118450 210630 ) ( * 211650 )
NEW met1 ( 110630 211650 ) ( 111550 * )
NEW met2 ( 110630 158700 ) ( * 211650 )
NEW met2 ( 213210 43010 ) ( * 44710 )
NEW met1 ( 213210 44710 ) ( 213670 * )
NEW met1 ( 213210 42330 ) ( * 43010 )
NEW met1 ( 187450 42330 ) ( 213210 * )
NEW met1 ( 144900 53890 ) ( 151110 * )
NEW met1 ( 110170 53550 ) ( * 53890 )
NEW met1 ( 110170 53550 ) ( 111550 * )
NEW met1 ( 111550 53550 ) ( * 53890 )
NEW met1 ( 111550 53890 ) ( 117530 * )
NEW met1 ( 117530 53550 ) ( * 53890 )
NEW met1 ( 117530 53550 ) ( 144900 * )
NEW met1 ( 144900 53550 ) ( * 53890 )
NEW met2 ( 58190 61030 ) ( * 63070 )
NEW met1 ( 58190 63070 ) ( 60490 * )
NEW met1 ( 58190 58990 ) ( 88090 * )
NEW met2 ( 58190 58990 ) ( * 61030 )
NEW met1 ( 85765 55590 ) ( 85790 * )
NEW met2 ( 85790 55590 ) ( * 58990 )
NEW met2 ( 85790 52870 ) ( * 55590 )
NEW met1 ( 85790 53890 ) ( 110170 * )
NEW met2 ( 111090 53550 ) ( * 158700 )
NEW met1 ( 187450 42330 ) M1M2_PR
NEW met1 ( 187450 44030 ) M1M2_PR
NEW met1 ( 167670 44030 ) M1M2_PR
NEW met1 ( 167670 52190 ) M1M2_PR
NEW li1 ( 190210 20570 ) L1M1_PR_MR
NEW met1 ( 189290 20570 ) M1M2_PR
NEW met1 ( 189290 42330 ) M1M2_PR
NEW li1 ( 194090 20570 ) L1M1_PR_MR
NEW met1 ( 151110 53890 ) M1M2_PR
NEW met1 ( 151110 52530 ) M1M2_PR
NEW li1 ( 111550 211650 ) L1M1_PR_MR
NEW li1 ( 118450 210630 ) L1M1_PR_MR
NEW met1 ( 110630 211650 ) M1M2_PR
NEW li1 ( 213210 43010 ) L1M1_PR_MR
NEW met1 ( 213210 43010 ) M1M2_PR
NEW met1 ( 213210 44710 ) M1M2_PR
NEW li1 ( 213670 44710 ) L1M1_PR_MR
NEW met1 ( 111090 53550 ) M1M2_PR
NEW li1 ( 58190 61030 ) L1M1_PR_MR
NEW met1 ( 58190 61030 ) M1M2_PR
NEW met1 ( 58190 63070 ) M1M2_PR
NEW li1 ( 60490 63070 ) L1M1_PR_MR
NEW li1 ( 88090 58990 ) L1M1_PR_MR
NEW met1 ( 58190 58990 ) M1M2_PR
NEW li1 ( 85765 55590 ) L1M1_PR_MR
NEW met1 ( 85790 55590 ) M1M2_PR
NEW met1 ( 85790 58990 ) M1M2_PR
NEW li1 ( 85790 52870 ) L1M1_PR_MR
NEW met1 ( 85790 52870 ) M1M2_PR
NEW met1 ( 85790 53890 ) M1M2_PR
NEW met1 ( 189290 42330 ) RECT ( -595 -70 0 70 )
NEW met1 ( 213210 43010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 111090 53550 ) RECT ( -595 -70 0 70 )
NEW met1 ( 58190 61030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 85765 55590 ) RECT ( -330 -70 0 70 )
NEW met1 ( 85790 58990 ) RECT ( -595 -70 0 70 )
NEW met1 ( 85790 52870 ) RECT ( -355 -70 0 70 )
NEW met2 ( 85790 53890 ) RECT ( -70 -485 70 0 ) ;
- _082_ ( ANTENNA__100__C DIODE ) ( ANTENNA__190__A DIODE ) ( _190_ A ) ( _189_ X ) ( _100_ C ) + USE SIGNAL
+ ROUTED met1 ( 203090 158950 ) ( 204930 * )
NEW met2 ( 209070 132770 ) ( * 133790 )
NEW met1 ( 202630 158950 ) ( 203090 * )
NEW met2 ( 202630 158950 ) ( * 185470 )
NEW met1 ( 228850 131750 ) ( 233910 * )
NEW li1 ( 227930 131750 ) ( * 132770 )
NEW met1 ( 227930 131750 ) ( 228850 * )
NEW met1 ( 209070 132770 ) ( 227930 * )
NEW met2 ( 204930 133790 ) ( * 158950 )
NEW met1 ( 204930 133790 ) ( 209070 * )
NEW met1 ( 22310 185470 ) ( * 186150 )
NEW met1 ( 22310 185470 ) ( 25530 * )
NEW met1 ( 158700 185470 ) ( 202630 * )
NEW met1 ( 114770 185470 ) ( * 186150 )
NEW met1 ( 114770 186150 ) ( 134550 * )
NEW met1 ( 134550 185810 ) ( * 186150 )
NEW met1 ( 134550 185810 ) ( 158700 * )
NEW met1 ( 158700 185470 ) ( * 185810 )
NEW met1 ( 25530 185470 ) ( 114770 * )
NEW met1 ( 202630 185470 ) M1M2_PR
NEW li1 ( 203090 158950 ) L1M1_PR_MR
NEW met1 ( 204930 158950 ) M1M2_PR
NEW met1 ( 209070 133790 ) M1M2_PR
NEW met1 ( 209070 132770 ) M1M2_PR
NEW met1 ( 202630 158950 ) M1M2_PR
NEW li1 ( 228850 131750 ) L1M1_PR_MR
NEW li1 ( 233910 131750 ) L1M1_PR_MR
NEW li1 ( 227930 132770 ) L1M1_PR_MR
NEW li1 ( 227930 131750 ) L1M1_PR_MR
NEW met1 ( 204930 133790 ) M1M2_PR
NEW li1 ( 25530 185470 ) L1M1_PR_MR
NEW li1 ( 22310 186150 ) L1M1_PR_MR ;
- _083_ ( ANTENNA__191__A DIODE ) ( ANTENNA__213__A DIODE ) ( ANTENNA__220__A DIODE ) ( ANTENNA__227__A DIODE ) ( _227_ A ) ( _220_ A ) ( _213_ A )
( _191_ A ) ( _190_ Y ) + USE SIGNAL
+ ROUTED met1 ( 192050 194650 ) ( 198490 * )
NEW met1 ( 191590 194650 ) ( 192050 * )
NEW met2 ( 181930 181390 ) ( * 182750 )
NEW met1 ( 181930 182750 ) ( 191590 * )
NEW met1 ( 213210 146030 ) ( 214130 * )
NEW met2 ( 213210 146030 ) ( * 149090 )
NEW met2 ( 191590 149090 ) ( * 194650 )
NEW met1 ( 191590 149090 ) ( 213210 * )
NEW met2 ( 113390 181390 ) ( * 185810 )
NEW met1 ( 22770 185810 ) ( 113390 * )
NEW met1 ( 113390 181390 ) ( 181930 * )
NEW met1 ( 23690 228990 ) ( 24610 * )
NEW met1 ( 31510 228990 ) ( * 229670 )
NEW met1 ( 24610 228990 ) ( 31510 * )
NEW met2 ( 23690 185810 ) ( * 228990 )
NEW met1 ( 114310 32130 ) ( 114770 * )
NEW met2 ( 114310 32130 ) ( * 62100 )
NEW met2 ( 114310 62100 ) ( 114770 * )
NEW met1 ( 114310 33830 ) ( 118910 * )
NEW met2 ( 114770 62100 ) ( * 181390 )
NEW li1 ( 192050 194650 ) L1M1_PR_MR
NEW li1 ( 198490 194650 ) L1M1_PR_MR
NEW met1 ( 191590 194650 ) M1M2_PR
NEW met1 ( 181930 181390 ) M1M2_PR
NEW met1 ( 181930 182750 ) M1M2_PR
NEW met1 ( 191590 182750 ) M1M2_PR
NEW li1 ( 213210 149090 ) L1M1_PR_MR
NEW li1 ( 214130 146030 ) L1M1_PR_MR
NEW met1 ( 213210 146030 ) M1M2_PR
NEW met1 ( 213210 149090 ) M1M2_PR
NEW met1 ( 191590 149090 ) M1M2_PR
NEW li1 ( 22770 185810 ) L1M1_PR_MR
NEW met1 ( 23690 185810 ) M1M2_PR
NEW met1 ( 113390 185810 ) M1M2_PR
NEW met1 ( 113390 181390 ) M1M2_PR
NEW met1 ( 114770 181390 ) M1M2_PR
NEW li1 ( 24610 228990 ) L1M1_PR_MR
NEW met1 ( 23690 228990 ) M1M2_PR
NEW li1 ( 31510 229670 ) L1M1_PR_MR
NEW li1 ( 114770 32130 ) L1M1_PR_MR
NEW met1 ( 114310 32130 ) M1M2_PR
NEW li1 ( 118910 33830 ) L1M1_PR_MR
NEW met1 ( 114310 33830 ) M1M2_PR
NEW met2 ( 191590 182750 ) RECT ( -70 -485 70 0 )
NEW met1 ( 213210 149090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 23690 185810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 114770 181390 ) RECT ( -595 -70 0 70 )
NEW met2 ( 114310 33830 ) RECT ( -70 -485 70 0 ) ;
- _084_ ( ANTENNA__192__A DIODE ) ( ANTENNA__199__A DIODE ) ( ANTENNA__206__A DIODE ) ( ANTENNA__233__B2 DIODE ) ( ANTENNA__234__B2 DIODE ) ( _234_ B2 ) ( _233_ B2 )
( _206_ A ) ( _199_ A ) ( _192_ A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met2 ( 220570 145010 ) ( * 150790 )
NEW met2 ( 184690 64090 ) ( * 67490 )
NEW met1 ( 76590 44370 ) ( * 45390 )
NEW met1 ( 76590 45390 ) ( 93610 * )
NEW met1 ( 76590 226950 ) ( 77050 * )
NEW met1 ( 77050 224230 ) ( 82110 * )
NEW met2 ( 77050 148750 ) ( * 226950 )
NEW met1 ( 181930 150110 ) ( * 150790 )
NEW met1 ( 181930 150790 ) ( 220570 * )
NEW met2 ( 17710 80410 ) ( * 83810 )
NEW met1 ( 17710 83810 ) ( 20470 * )
NEW met2 ( 133630 67490 ) ( * 82110 )
NEW met1 ( 129030 85850 ) ( 131330 * )
NEW met2 ( 131330 82110 ) ( * 85850 )
NEW met1 ( 131330 82110 ) ( 133630 * )
NEW met1 ( 133630 90270 ) ( 134550 * )
NEW met2 ( 134550 85850 ) ( * 90270 )
NEW met1 ( 131330 85850 ) ( 134550 * )
NEW met1 ( 133630 67490 ) ( 184690 * )
NEW met1 ( 216430 64090 ) ( 218730 * )
NEW met1 ( 184690 64090 ) ( 216430 * )
NEW met1 ( 59110 31450 ) ( 59570 * )
NEW met2 ( 59570 31450 ) ( * 44370 )
NEW met1 ( 58190 28390 ) ( 59570 * )
NEW met2 ( 59570 28390 ) ( * 31450 )
NEW met1 ( 59570 44370 ) ( 76590 * )
NEW li1 ( 121210 148070 ) ( * 148750 )
NEW met1 ( 121210 148070 ) ( 147430 * )
NEW met1 ( 147430 148070 ) ( * 148750 )
NEW met1 ( 147430 148750 ) ( 151110 * )
NEW met2 ( 151110 148750 ) ( * 150450 )
NEW met1 ( 151110 150450 ) ( 154330 * )
NEW met1 ( 154330 150110 ) ( * 150450 )
NEW met1 ( 77050 148750 ) ( 121210 * )
NEW met2 ( 133630 90270 ) ( * 148070 )
NEW met1 ( 154330 150110 ) ( 181930 * )
NEW met2 ( 64170 83810 ) ( * 84660 )
NEW met1 ( 20470 83810 ) ( 64170 * )
NEW met3 ( 64170 84660 ) ( 75900 * )
NEW met3 ( 75900 83980 ) ( * 84660 )
NEW met3 ( 75900 83980 ) ( 93610 * )
NEW met2 ( 93610 45390 ) ( * 83980 )
NEW met3 ( 93610 83980 ) ( 131330 * )
NEW met1 ( 220570 150790 ) M1M2_PR
NEW li1 ( 220570 145010 ) L1M1_PR_MR
NEW met1 ( 220570 145010 ) M1M2_PR
NEW met1 ( 184690 67490 ) M1M2_PR
NEW met1 ( 184690 64090 ) M1M2_PR
NEW met1 ( 93610 45390 ) M1M2_PR
NEW met1 ( 77050 148750 ) M1M2_PR
NEW li1 ( 76590 226950 ) L1M1_PR_MR
NEW met1 ( 77050 226950 ) M1M2_PR
NEW li1 ( 82110 224230 ) L1M1_PR_MR
NEW met1 ( 77050 224230 ) M1M2_PR
NEW li1 ( 20470 83810 ) L1M1_PR_MR
NEW li1 ( 17710 80410 ) L1M1_PR_MR
NEW met1 ( 17710 80410 ) M1M2_PR
NEW met1 ( 17710 83810 ) M1M2_PR
NEW li1 ( 133630 82110 ) L1M1_PR_MR
NEW met1 ( 133630 82110 ) M1M2_PR
NEW met1 ( 133630 67490 ) M1M2_PR
NEW li1 ( 129030 85850 ) L1M1_PR_MR
NEW met1 ( 131330 85850 ) M1M2_PR
NEW met1 ( 131330 82110 ) M1M2_PR
NEW met2 ( 131330 83980 ) M2M3_PR_M
NEW met1 ( 133630 90270 ) M1M2_PR
NEW met1 ( 134550 90270 ) M1M2_PR
NEW met1 ( 134550 85850 ) M1M2_PR
NEW li1 ( 216430 64090 ) L1M1_PR_MR
NEW li1 ( 218730 64090 ) L1M1_PR_MR
NEW li1 ( 59110 31450 ) L1M1_PR_MR
NEW met1 ( 59570 31450 ) M1M2_PR
NEW met1 ( 59570 44370 ) M1M2_PR
NEW li1 ( 58190 28390 ) L1M1_PR_MR
NEW met1 ( 59570 28390 ) M1M2_PR
NEW li1 ( 121210 148750 ) L1M1_PR_MR
NEW li1 ( 121210 148070 ) L1M1_PR_MR
NEW met1 ( 151110 148750 ) M1M2_PR
NEW met1 ( 151110 150450 ) M1M2_PR
NEW met1 ( 133630 148070 ) M1M2_PR
NEW met2 ( 64170 84660 ) M2M3_PR_M
NEW met1 ( 64170 83810 ) M1M2_PR
NEW met2 ( 93610 83980 ) M2M3_PR_M
NEW met1 ( 220570 145010 ) RECT ( -355 -70 0 70 )
NEW met2 ( 77050 224230 ) RECT ( -70 -485 70 0 )
NEW met1 ( 17710 80410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 133630 82110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 131330 83980 ) RECT ( -70 -485 70 0 )
NEW met1 ( 133630 148070 ) RECT ( -595 -70 0 70 ) ;
- _085_ ( ANTENNA__193__B2 DIODE ) ( ANTENNA__194__B2 DIODE ) ( ANTENNA__195__B2 DIODE ) ( ANTENNA__196__B2 DIODE ) ( ANTENNA__197__B2 DIODE ) ( _197_ B2 ) ( _196_ B2 )
( _195_ B2 ) ( _194_ B2 ) ( _193_ B2 ) ( _192_ X ) + USE SIGNAL
+ ROUTED met2 ( 186070 46750 ) ( * 54740 )
NEW met1 ( 190670 24990 ) ( 191130 * )
NEW met2 ( 190670 24990 ) ( * 46750 )
NEW met1 ( 195730 20570 ) ( 196190 * )
NEW met1 ( 195730 20570 ) ( * 20910 )
NEW met1 ( 195270 20910 ) ( 195730 * )
NEW met1 ( 195270 20910 ) ( * 21250 )
NEW met1 ( 190670 21250 ) ( 195270 * )
NEW met2 ( 190670 21250 ) ( * 24990 )
NEW met1 ( 96830 55930 ) ( 110400 * )
NEW met1 ( 110400 55930 ) ( * 56270 )
NEW met1 ( 110400 56270 ) ( 122590 * )
NEW met3 ( 122590 54740 ) ( 186070 * )
NEW met2 ( 117530 210630 ) ( * 212670 )
NEW met1 ( 117530 212670 ) ( 122130 * )
NEW met1 ( 212750 46750 ) ( 215970 * )
NEW met2 ( 215970 45050 ) ( * 46750 )
NEW met1 ( 186070 46750 ) ( 212750 * )
NEW met1 ( 87630 55590 ) ( 89470 * )
NEW met1 ( 89470 55250 ) ( * 55590 )
NEW met1 ( 89470 55250 ) ( 92230 * )
NEW met1 ( 92230 55250 ) ( * 55930 )
NEW met1 ( 61870 58650 ) ( 84410 * )
NEW met2 ( 84410 54910 ) ( * 58650 )
NEW met1 ( 84410 54910 ) ( 87630 * )
NEW met1 ( 87630 54910 ) ( * 55590 )
NEW met1 ( 60030 61030 ) ( 60490 * )
NEW met2 ( 60490 58650 ) ( * 61030 )
NEW met1 ( 60490 58650 ) ( 61870 * )
NEW met1 ( 92230 55930 ) ( 96830 * )
NEW met1 ( 122590 78370 ) ( 123510 * )
NEW met2 ( 123510 78370 ) ( * 85510 )
NEW met2 ( 117530 85510 ) ( * 210630 )
NEW met2 ( 122590 54740 ) ( * 78370 )
NEW met1 ( 117530 85510 ) ( 130410 * )
NEW li1 ( 96830 55930 ) L1M1_PR_MR
NEW met1 ( 186070 46750 ) M1M2_PR
NEW met2 ( 186070 54740 ) M2M3_PR_M
NEW li1 ( 191130 24990 ) L1M1_PR_MR
NEW met1 ( 190670 24990 ) M1M2_PR
NEW met1 ( 190670 46750 ) M1M2_PR
NEW li1 ( 196190 20570 ) L1M1_PR_MR
NEW met1 ( 190670 21250 ) M1M2_PR
NEW li1 ( 130410 85510 ) L1M1_PR_MR
NEW met2 ( 122590 54740 ) M2M3_PR_M
NEW met1 ( 122590 56270 ) M1M2_PR
NEW li1 ( 117530 210630 ) L1M1_PR_MR
NEW met1 ( 117530 210630 ) M1M2_PR
NEW met1 ( 117530 212670 ) M1M2_PR
NEW li1 ( 122130 212670 ) L1M1_PR_MR
NEW li1 ( 212750 46750 ) L1M1_PR_MR
NEW met1 ( 215970 46750 ) M1M2_PR
NEW li1 ( 215970 45050 ) L1M1_PR_MR
NEW met1 ( 215970 45050 ) M1M2_PR
NEW li1 ( 87630 55590 ) L1M1_PR_MR
NEW li1 ( 61870 58650 ) L1M1_PR_MR
NEW met1 ( 84410 58650 ) M1M2_PR
NEW met1 ( 84410 54910 ) M1M2_PR
NEW li1 ( 60030 61030 ) L1M1_PR_MR
NEW met1 ( 60490 61030 ) M1M2_PR
NEW met1 ( 60490 58650 ) M1M2_PR
NEW met1 ( 117530 85510 ) M1M2_PR
NEW met1 ( 122590 78370 ) M1M2_PR
NEW met1 ( 123510 78370 ) M1M2_PR
NEW met1 ( 123510 85510 ) M1M2_PR
NEW met1 ( 190670 46750 ) RECT ( -595 -70 0 70 )
NEW met2 ( 122590 56270 ) RECT ( -70 -485 70 0 )
NEW met1 ( 117530 210630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 215970 45050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123510 85510 ) RECT ( -595 -70 0 70 ) ;
- _086_ ( ANTENNA__200__A2 DIODE ) ( ANTENNA__201__A2 DIODE ) ( ANTENNA__202__A2 DIODE ) ( ANTENNA__203__A2 DIODE ) ( ANTENNA__204__A2 DIODE ) ( _204_ A2 ) ( _203_ A2 )
( _202_ A2 ) ( _201_ A2 ) ( _200_ A2 ) ( _198_ X ) + USE SIGNAL
+ ROUTED met1 ( 209070 112710 ) ( 211830 * )
NEW met2 ( 198030 186490 ) ( * 188870 )
NEW met1 ( 211830 66470 ) ( 214590 * )
NEW met2 ( 211830 66470 ) ( * 112710 )
NEW met2 ( 143750 121550 ) ( * 123930 )
NEW met1 ( 54485 120870 ) ( * 121210 )
NEW met1 ( 54485 121210 ) ( 57730 * )
NEW met2 ( 57730 121210 ) ( * 121380 )
NEW met2 ( 57730 121380 ) ( 58650 * )
NEW met2 ( 58650 121210 ) ( * 121380 )
NEW met1 ( 58650 121210 ) ( 59110 * )
NEW met1 ( 59110 120870 ) ( * 121210 )
NEW met2 ( 152490 123930 ) ( * 141950 )
NEW met1 ( 152490 141950 ) ( 158470 * )
NEW met1 ( 191130 120870 ) ( 192050 * )
NEW met2 ( 192050 118830 ) ( * 120870 )
NEW met2 ( 192510 112710 ) ( * 118830 )
NEW met2 ( 192050 118830 ) ( 192510 * )
NEW met1 ( 143750 123930 ) ( 152490 * )
NEW met1 ( 192510 112710 ) ( 209070 * )
NEW met1 ( 152030 145690 ) ( 152490 * )
NEW met1 ( 158470 145010 ) ( 192050 * )
NEW met2 ( 152490 141950 ) ( * 145690 )
NEW met2 ( 158470 141950 ) ( * 145010 )
NEW met2 ( 192050 120870 ) ( * 186490 )
NEW met1 ( 192050 186490 ) ( 199870 * )
NEW li1 ( 121210 120870 ) ( * 121550 )
NEW met1 ( 59110 120870 ) ( 121210 * )
NEW met1 ( 121210 121550 ) ( 143750 * )
NEW li1 ( 209070 112710 ) L1M1_PR_MR
NEW met1 ( 211830 112710 ) M1M2_PR
NEW li1 ( 199870 186490 ) L1M1_PR_MR
NEW li1 ( 198030 188870 ) L1M1_PR_MR
NEW met1 ( 198030 188870 ) M1M2_PR
NEW met1 ( 198030 186490 ) M1M2_PR
NEW li1 ( 211830 66470 ) L1M1_PR_MR
NEW met1 ( 211830 66470 ) M1M2_PR
NEW li1 ( 214590 66470 ) L1M1_PR_MR
NEW met1 ( 143750 123930 ) M1M2_PR
NEW met1 ( 143750 121550 ) M1M2_PR
NEW li1 ( 59110 120870 ) L1M1_PR_MR
NEW li1 ( 54485 120870 ) L1M1_PR_MR
NEW met1 ( 57730 121210 ) M1M2_PR
NEW met1 ( 58650 121210 ) M1M2_PR
NEW li1 ( 152490 141950 ) L1M1_PR_MR
NEW met1 ( 152490 141950 ) M1M2_PR
NEW met1 ( 152490 123930 ) M1M2_PR
NEW met1 ( 158470 141950 ) M1M2_PR
NEW li1 ( 191130 120870 ) L1M1_PR_MR
NEW met1 ( 192050 120870 ) M1M2_PR
NEW li1 ( 192050 118830 ) L1M1_PR_MR
NEW met1 ( 192050 118830 ) M1M2_PR
NEW met1 ( 192510 112710 ) M1M2_PR
NEW li1 ( 152030 145690 ) L1M1_PR_MR
NEW met1 ( 152490 145690 ) M1M2_PR
NEW met1 ( 192050 186490 ) M1M2_PR
NEW met1 ( 158470 145010 ) M1M2_PR
NEW met1 ( 192050 145010 ) M1M2_PR
NEW li1 ( 121210 120870 ) L1M1_PR_MR
NEW li1 ( 121210 121550 ) L1M1_PR_MR
NEW met1 ( 198030 188870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 198030 186490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 211830 66470 ) RECT ( 0 -70 355 70 )
NEW met1 ( 152490 141950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 192050 118830 ) RECT ( -355 -70 0 70 )
NEW met2 ( 192050 145010 ) RECT ( -70 0 70 485 ) ;
- _087_ ( ANTENNA__200__B2 DIODE ) ( ANTENNA__201__B2 DIODE ) ( ANTENNA__202__B2 DIODE ) ( ANTENNA__203__B2 DIODE ) ( ANTENNA__204__B2 DIODE ) ( _204_ B2 ) ( _203_ B2 )
( _202_ B2 ) ( _201_ B2 ) ( _200_ B2 ) ( _199_ X ) + USE SIGNAL
+ ROUTED met1 ( 201710 69870 ) ( 205390 * )
NEW met2 ( 205850 66810 ) ( * 69870 )
NEW met1 ( 205390 69870 ) ( 205850 * )
NEW li1 ( 69690 120190 ) ( * 121210 )
NEW met2 ( 201710 69870 ) ( * 118490 )
NEW met1 ( 205850 66810 ) ( 208610 * )
NEW met1 ( 55890 117470 ) ( 57730 * )
NEW met1 ( 55890 120870 ) ( 56350 * )
NEW met2 ( 55890 117470 ) ( * 120870 )
NEW met1 ( 58190 120190 ) ( * 120530 )
NEW met1 ( 56350 120530 ) ( 58190 * )
NEW met1 ( 56350 120530 ) ( * 120870 )
NEW met2 ( 55890 28730 ) ( * 117470 )
NEW met1 ( 58190 120190 ) ( 69690 * )
NEW met1 ( 134550 120870 ) ( * 121210 )
NEW met1 ( 155250 120190 ) ( 182390 * )
NEW met2 ( 155250 120190 ) ( * 120870 )
NEW met1 ( 187450 120190 ) ( * 120870 )
NEW met1 ( 182390 120190 ) ( 187450 * )
NEW met2 ( 188370 118490 ) ( * 120190 )
NEW met1 ( 187450 120190 ) ( 188370 * )
NEW met1 ( 134550 120870 ) ( 155250 * )
NEW met1 ( 188370 118490 ) ( 201710 * )
NEW met2 ( 183310 120190 ) ( * 144900 )
NEW met1 ( 183770 188190 ) ( 190670 * )
NEW met2 ( 183770 144900 ) ( * 188190 )
NEW met2 ( 183310 144900 ) ( 183770 * )
NEW li1 ( 190210 188190 ) ( * 189210 )
NEW met1 ( 149730 145010 ) ( * 145350 )
NEW met1 ( 149730 145010 ) ( 150650 * )
NEW met2 ( 150650 145010 ) ( * 145180 )
NEW met3 ( 150650 145180 ) ( 161230 * )
NEW met1 ( 148810 145350 ) ( * 145690 )
NEW met1 ( 148810 145350 ) ( 149730 * )
NEW met1 ( 143750 145690 ) ( 148810 * )
NEW met2 ( 161230 120190 ) ( * 145180 )
NEW met1 ( 190210 189210 ) ( 195730 * )
NEW met1 ( 69690 121210 ) ( 134550 * )
NEW li1 ( 195730 189210 ) L1M1_PR_MR
NEW li1 ( 205390 69870 ) L1M1_PR_MR
NEW met1 ( 201710 69870 ) M1M2_PR
NEW met1 ( 205850 66810 ) M1M2_PR
NEW met1 ( 205850 69870 ) M1M2_PR
NEW li1 ( 69690 120190 ) L1M1_PR_MR
NEW li1 ( 69690 121210 ) L1M1_PR_MR
NEW met1 ( 201710 118490 ) M1M2_PR
NEW li1 ( 208610 66810 ) L1M1_PR_MR
NEW li1 ( 55890 28730 ) L1M1_PR_MR
NEW met1 ( 55890 28730 ) M1M2_PR
NEW li1 ( 57730 117470 ) L1M1_PR_MR
NEW met1 ( 55890 117470 ) M1M2_PR
NEW li1 ( 56350 120870 ) L1M1_PR_MR
NEW met1 ( 55890 120870 ) M1M2_PR
NEW li1 ( 143750 145690 ) L1M1_PR_MR
NEW li1 ( 182390 120190 ) L1M1_PR_MR
NEW met1 ( 155250 120190 ) M1M2_PR
NEW met1 ( 155250 120870 ) M1M2_PR
NEW li1 ( 187450 120870 ) L1M1_PR_MR
NEW met1 ( 188370 118490 ) M1M2_PR
NEW met1 ( 188370 120190 ) M1M2_PR
NEW met1 ( 161230 120190 ) M1M2_PR
NEW met1 ( 183310 120190 ) M1M2_PR
NEW li1 ( 190670 188190 ) L1M1_PR_MR
NEW met1 ( 183770 188190 ) M1M2_PR
NEW li1 ( 190210 189210 ) L1M1_PR_MR
NEW li1 ( 190210 188190 ) L1M1_PR_MR
NEW li1 ( 149730 145350 ) L1M1_PR_MR
NEW met1 ( 150650 145010 ) M1M2_PR
NEW met2 ( 150650 145180 ) M2M3_PR_M
NEW met2 ( 161230 145180 ) M2M3_PR_M
NEW met1 ( 55890 28730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161230 120190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 183310 120190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 190210 188190 ) RECT ( -595 -70 0 70 ) ;
- _088_ ( ANTENNA__207__A2 DIODE ) ( ANTENNA__208__A2 DIODE ) ( ANTENNA__209__A2 DIODE ) ( ANTENNA__210__A2 DIODE ) ( ANTENNA__211__A2 DIODE ) ( _211_ A2 ) ( _210_ A2 )
( _209_ A2 ) ( _208_ A2 ) ( _207_ A2 ) ( _205_ X ) + USE SIGNAL
+ ROUTED met2 ( 231150 135490 ) ( * 137530 )
NEW met1 ( 225630 135490 ) ( 231150 * )
NEW met2 ( 70150 120190 ) ( * 122910 )
NEW met1 ( 48070 121890 ) ( 49910 * )
NEW met2 ( 49910 121890 ) ( * 122910 )
NEW met1 ( 45285 123930 ) ( 45310 * )
NEW met2 ( 45310 121890 ) ( * 123930 )
NEW met1 ( 45310 121890 ) ( 48070 * )
NEW met1 ( 49910 122910 ) ( 70150 * )
NEW met2 ( 148810 85170 ) ( * 110400 )
NEW met2 ( 149270 110400 ) ( * 135490 )
NEW met2 ( 148810 110400 ) ( 149270 * )
NEW met2 ( 149730 135660 ) ( * 153170 )
NEW met2 ( 149270 135660 ) ( 149730 * )
NEW met2 ( 149270 135490 ) ( * 135660 )
NEW met1 ( 149270 135490 ) ( 225630 * )
NEW met1 ( 140530 85170 ) ( 148810 * )
NEW met1 ( 136130 85850 ) ( * 86190 )
NEW met1 ( 136130 85170 ) ( * 85850 )
NEW met1 ( 136130 85170 ) ( 140530 * )
NEW met1 ( 78865 91290 ) ( 78890 * )
NEW met1 ( 78890 91290 ) ( * 91630 )
NEW met1 ( 77970 91630 ) ( 78890 * )
NEW met1 ( 77970 91290 ) ( * 91630 )
NEW met1 ( 76590 91290 ) ( 77970 * )
NEW met2 ( 76590 91290 ) ( * 120190 )
NEW met1 ( 81650 88570 ) ( 82110 * )
NEW met2 ( 82110 88570 ) ( * 90610 )
NEW met1 ( 77970 90610 ) ( 82110 * )
NEW met1 ( 77970 90610 ) ( * 91290 )
NEW met1 ( 82110 88230 ) ( 103470 * )
NEW met1 ( 82110 88230 ) ( * 88570 )
NEW met1 ( 106490 88910 ) ( 107870 * )
NEW met2 ( 106490 88740 ) ( * 88910 )
NEW met3 ( 103730 88740 ) ( 106490 * )
NEW met2 ( 103730 88230 ) ( * 88740 )
NEW met1 ( 103470 88230 ) ( 103730 * )
NEW met2 ( 106490 86190 ) ( * 88740 )
NEW met1 ( 70150 120190 ) ( 76590 * )
NEW met1 ( 106490 86190 ) ( 136130 * )
NEW li1 ( 225630 135490 ) L1M1_PR_MR
NEW met1 ( 231150 135490 ) M1M2_PR
NEW li1 ( 231150 137530 ) L1M1_PR_MR
NEW met1 ( 231150 137530 ) M1M2_PR
NEW met1 ( 70150 122910 ) M1M2_PR
NEW met1 ( 70150 120190 ) M1M2_PR
NEW met1 ( 148810 85170 ) M1M2_PR
NEW li1 ( 48070 121890 ) L1M1_PR_MR
NEW met1 ( 49910 121890 ) M1M2_PR
NEW met1 ( 49910 122910 ) M1M2_PR
NEW li1 ( 45285 123930 ) L1M1_PR_MR
NEW met1 ( 45310 123930 ) M1M2_PR
NEW met1 ( 45310 121890 ) M1M2_PR
NEW met1 ( 149270 135490 ) M1M2_PR
NEW li1 ( 149730 153170 ) L1M1_PR_MR
NEW met1 ( 149730 153170 ) M1M2_PR
NEW li1 ( 140530 85170 ) L1M1_PR_MR
NEW li1 ( 136130 85850 ) L1M1_PR_MR
NEW li1 ( 78865 91290 ) L1M1_PR_MR
NEW met1 ( 76590 91290 ) M1M2_PR
NEW met1 ( 76590 120190 ) M1M2_PR
NEW li1 ( 81650 88570 ) L1M1_PR_MR
NEW met1 ( 82110 88570 ) M1M2_PR
NEW met1 ( 82110 90610 ) M1M2_PR
NEW li1 ( 103470 88230 ) L1M1_PR_MR
NEW li1 ( 107870 88910 ) L1M1_PR_MR
NEW met1 ( 106490 88910 ) M1M2_PR
NEW met2 ( 106490 88740 ) M2M3_PR_M
NEW met2 ( 103730 88740 ) M2M3_PR_M
NEW met1 ( 103730 88230 ) M1M2_PR
NEW met1 ( 106490 86190 ) M1M2_PR
NEW met1 ( 231150 137530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45285 123930 ) RECT ( -330 -70 0 70 )
NEW met1 ( 149730 153170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103470 88230 ) RECT ( -335 -70 0 70 ) ;
- _089_ ( ANTENNA__207__B2 DIODE ) ( ANTENNA__208__B2 DIODE ) ( ANTENNA__209__B2 DIODE ) ( ANTENNA__210__B2 DIODE ) ( ANTENNA__211__B2 DIODE ) ( _211_ B2 ) ( _210_ B2 )
( _209_ B2 ) ( _208_ B2 ) ( _207_ B2 ) ( _206_ X ) + USE SIGNAL
+ ROUTED met1 ( 225170 139230 ) ( 227700 * )
NEW met1 ( 227700 139230 ) ( * 139570 )
NEW met1 ( 227700 139570 ) ( 228850 * )
NEW met2 ( 228850 137190 ) ( * 139570 )
NEW met2 ( 183310 85850 ) ( * 88230 )
NEW met1 ( 215510 63750 ) ( 228850 * )
NEW met2 ( 228850 63750 ) ( * 88230 )
NEW met1 ( 183310 88230 ) ( 228850 * )
NEW met2 ( 228850 88230 ) ( * 137190 )
NEW met1 ( 48990 119170 ) ( 57730 * )
NEW met2 ( 57730 116110 ) ( * 119170 )
NEW met1 ( 57730 116110 ) ( 61410 * )
NEW met1 ( 47150 123930 ) ( 48990 * )
NEW met2 ( 48990 119170 ) ( * 123930 )
NEW met2 ( 139610 83810 ) ( * 86700 )
NEW met1 ( 139610 85850 ) ( 183310 * )
NEW met2 ( 61410 87550 ) ( * 116110 )
NEW met2 ( 137770 85850 ) ( * 86700 )
NEW met1 ( 137770 85850 ) ( 138230 * )
NEW met2 ( 110630 86700 ) ( * 87550 )
NEW met1 ( 105570 88230 ) ( 110630 * )
NEW met2 ( 110630 87550 ) ( * 88230 )
NEW met1 ( 84410 88910 ) ( 105570 * )
NEW met1 ( 105570 88230 ) ( * 88910 )
NEW met1 ( 80730 91290 ) ( 81190 * )
NEW met2 ( 81190 88910 ) ( * 91290 )
NEW met1 ( 81190 88910 ) ( 84410 * )
NEW met1 ( 80730 87550 ) ( * 87890 )
NEW met1 ( 80730 87890 ) ( 81190 * )
NEW met1 ( 81190 87890 ) ( * 88910 )
NEW met1 ( 61410 87550 ) ( 80730 * )
NEW met3 ( 110630 86700 ) ( 139610 * )
NEW li1 ( 225170 139230 ) L1M1_PR_MR
NEW li1 ( 228850 137190 ) L1M1_PR_MR
NEW met1 ( 228850 137190 ) M1M2_PR
NEW met1 ( 228850 139570 ) M1M2_PR
NEW met1 ( 183310 85850 ) M1M2_PR
NEW met1 ( 183310 88230 ) M1M2_PR
NEW met1 ( 228850 88230 ) M1M2_PR
NEW li1 ( 215510 63750 ) L1M1_PR_MR
NEW met1 ( 228850 63750 ) M1M2_PR
NEW li1 ( 48990 119170 ) L1M1_PR_MR
NEW met1 ( 57730 119170 ) M1M2_PR
NEW met1 ( 57730 116110 ) M1M2_PR
NEW met1 ( 61410 116110 ) M1M2_PR
NEW li1 ( 47150 123930 ) L1M1_PR_MR
NEW met1 ( 48990 123930 ) M1M2_PR
NEW met1 ( 48990 119170 ) M1M2_PR
NEW li1 ( 139610 83810 ) L1M1_PR_MR
NEW met1 ( 139610 83810 ) M1M2_PR
NEW met2 ( 139610 86700 ) M2M3_PR_M
NEW met1 ( 139610 85850 ) M1M2_PR
NEW li1 ( 138230 85850 ) L1M1_PR_MR
NEW met1 ( 61410 87550 ) M1M2_PR
NEW met1 ( 137770 85850 ) M1M2_PR
NEW met2 ( 137770 86700 ) M2M3_PR_M
NEW li1 ( 110630 87550 ) L1M1_PR_MR
NEW met1 ( 110630 87550 ) M1M2_PR
NEW met2 ( 110630 86700 ) M2M3_PR_M
NEW li1 ( 105570 88230 ) L1M1_PR_MR
NEW met1 ( 110630 88230 ) M1M2_PR
NEW li1 ( 84410 88910 ) L1M1_PR_MR
NEW li1 ( 80730 91290 ) L1M1_PR_MR
NEW met1 ( 81190 91290 ) M1M2_PR
NEW met1 ( 81190 88910 ) M1M2_PR
NEW met1 ( 228850 137190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 48990 119170 ) RECT ( -595 -70 0 70 )
NEW met1 ( 139610 83810 ) RECT ( -355 -70 0 70 )
NEW met2 ( 139610 85850 ) RECT ( -70 -485 70 0 )
NEW met3 ( 137770 86700 ) RECT ( -800 -150 0 150 )
NEW met1 ( 110630 87550 ) RECT ( -355 -70 0 70 ) ;
- _090_ ( ANTENNA__214__A2 DIODE ) ( ANTENNA__215__A2 DIODE ) ( ANTENNA__216__A2 DIODE ) ( ANTENNA__217__A2 DIODE ) ( ANTENNA__218__A2 DIODE ) ( _218_ A2 ) ( _217_ A2 )
( _216_ A2 ) ( _215_ A2 ) ( _214_ A2 ) ( _212_ X ) + USE SIGNAL
+ ROUTED met2 ( 73830 109820 ) ( 74290 * )
NEW met2 ( 74290 82790 ) ( * 109820 )
NEW met1 ( 73370 82790 ) ( 74290 * )
NEW met2 ( 73370 63750 ) ( * 82790 )
NEW met2 ( 73830 109820 ) ( * 153170 )
NEW met1 ( 65090 227970 ) ( 73830 * )
NEW met2 ( 65090 227970 ) ( * 228990 )
NEW met2 ( 96830 211650 ) ( * 221170 )
NEW met1 ( 73830 221170 ) ( 96830 * )
NEW met2 ( 103270 210970 ) ( * 213350 )
NEW met1 ( 96830 210970 ) ( 103270 * )
NEW met2 ( 96830 210970 ) ( * 211650 )
NEW met2 ( 96830 221170 ) ( * 226950 )
NEW met2 ( 73830 153170 ) ( * 227970 )
NEW met1 ( 54970 229330 ) ( 57730 * )
NEW met1 ( 57730 228990 ) ( * 229330 )
NEW met2 ( 54050 229330 ) ( * 232730 )
NEW met1 ( 54050 229330 ) ( 54970 * )
NEW met1 ( 57730 228990 ) ( 65090 * )
NEW met1 ( 96830 226950 ) ( 110400 * )
NEW met1 ( 110400 226610 ) ( 123510 * )
NEW met1 ( 110400 226610 ) ( * 226950 )
NEW met1 ( 130870 226610 ) ( * 226950 )
NEW met1 ( 123510 226610 ) ( 130870 * )
NEW met1 ( 123970 63070 ) ( 124430 * )
NEW met2 ( 124430 63070 ) ( * 70210 )
NEW met1 ( 124430 61030 ) ( 125550 * )
NEW met2 ( 124430 61030 ) ( * 63070 )
NEW li1 ( 108330 63070 ) ( * 63750 )
NEW met1 ( 108330 63070 ) ( 123970 * )
NEW met1 ( 73370 63750 ) ( 108330 * )
NEW met1 ( 148350 70210 ) ( 148810 * )
NEW met2 ( 148810 70210 ) ( * 71910 )
NEW met1 ( 148810 71910 ) ( 149960 * )
NEW met1 ( 124430 70210 ) ( 148350 * )
NEW met1 ( 74290 82790 ) M1M2_PR
NEW met1 ( 73370 82790 ) M1M2_PR
NEW met1 ( 73370 63750 ) M1M2_PR
NEW li1 ( 73830 153170 ) L1M1_PR_MR
NEW met1 ( 73830 153170 ) M1M2_PR
NEW met1 ( 73830 227970 ) M1M2_PR
NEW met1 ( 65090 227970 ) M1M2_PR
NEW met1 ( 65090 228990 ) M1M2_PR
NEW li1 ( 96830 211650 ) L1M1_PR_MR
NEW met1 ( 96830 211650 ) M1M2_PR
NEW met1 ( 96830 221170 ) M1M2_PR
NEW met1 ( 73830 221170 ) M1M2_PR
NEW li1 ( 103270 213350 ) L1M1_PR_MR
NEW met1 ( 103270 213350 ) M1M2_PR
NEW met1 ( 103270 210970 ) M1M2_PR
NEW met1 ( 96830 210970 ) M1M2_PR
NEW met1 ( 96830 226950 ) M1M2_PR
NEW li1 ( 54970 229330 ) L1M1_PR_MR
NEW li1 ( 54050 232730 ) L1M1_PR_MR
NEW met1 ( 54050 232730 ) M1M2_PR
NEW met1 ( 54050 229330 ) M1M2_PR
NEW li1 ( 123510 226610 ) L1M1_PR_MR
NEW li1 ( 130870 226950 ) L1M1_PR_MR
NEW li1 ( 123970 63070 ) L1M1_PR_MR
NEW met1 ( 124430 63070 ) M1M2_PR
NEW met1 ( 124430 70210 ) M1M2_PR
NEW li1 ( 125550 61030 ) L1M1_PR_MR
NEW met1 ( 124430 61030 ) M1M2_PR
NEW li1 ( 108330 63750 ) L1M1_PR_MR
NEW li1 ( 108330 63070 ) L1M1_PR_MR
NEW li1 ( 148350 70210 ) L1M1_PR_MR
NEW met1 ( 148810 70210 ) M1M2_PR
NEW met1 ( 148810 71910 ) M1M2_PR
NEW li1 ( 149960 71910 ) L1M1_PR_MR
NEW met1 ( 73830 153170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 96830 211650 ) RECT ( -355 -70 0 70 )
NEW met2 ( 73830 221170 ) RECT ( -70 -485 70 0 )
NEW met1 ( 103270 213350 ) RECT ( 0 -70 355 70 )
NEW met1 ( 54050 232730 ) RECT ( -355 -70 0 70 ) ;
- _091_ ( ANTENNA__214__B2 DIODE ) ( ANTENNA__215__B2 DIODE ) ( ANTENNA__216__B2 DIODE ) ( ANTENNA__217__B2 DIODE ) ( ANTENNA__218__B2 DIODE ) ( _218_ B2 ) ( _217_ B2 )
( _216_ B2 ) ( _215_ B2 ) ( _214_ B2 ) ( _213_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 211650 ) ( 104190 * )
NEW met2 ( 100050 211650 ) ( * 213350 )
NEW met2 ( 104190 208930 ) ( * 211650 )
NEW met2 ( 54970 213350 ) ( * 226270 )
NEW met1 ( 50370 232730 ) ( * 233070 )
NEW met1 ( 50370 233070 ) ( 54970 * )
NEW met2 ( 54970 226270 ) ( * 233070 )
NEW met1 ( 54970 213350 ) ( 100050 * )
NEW met1 ( 117530 34170 ) ( 119370 * )
NEW met2 ( 120750 158700 ) ( 121210 * )
NEW met1 ( 121210 227290 ) ( 123510 * )
NEW met1 ( 123510 226950 ) ( * 227290 )
NEW met1 ( 123510 226950 ) ( 128570 * )
NEW met1 ( 120750 227290 ) ( 121210 * )
NEW met1 ( 104190 208930 ) ( 120750 * )
NEW met2 ( 120750 158700 ) ( * 227290 )
NEW met1 ( 117070 60690 ) ( 118910 * )
NEW met1 ( 118910 60350 ) ( 119370 * )
NEW met1 ( 118910 60350 ) ( * 60690 )
NEW met1 ( 127545 60690 ) ( * 61030 )
NEW met1 ( 127190 60690 ) ( 127545 * )
NEW met1 ( 127190 60350 ) ( * 60690 )
NEW met1 ( 119370 60350 ) ( 127190 * )
NEW met2 ( 128570 71910 ) ( * 76670 )
NEW met2 ( 119370 34170 ) ( * 60350 )
NEW met1 ( 145130 71910 ) ( 147890 * )
NEW met2 ( 147890 71060 ) ( * 71910 )
NEW met3 ( 147890 71060 ) ( 153410 * )
NEW met2 ( 153410 71060 ) ( * 71910 )
NEW met1 ( 152030 71910 ) ( 153410 * )
NEW met1 ( 128570 71910 ) ( 145130 * )
NEW met2 ( 118450 76670 ) ( * 98430 )
NEW met1 ( 118450 98430 ) ( 121210 * )
NEW met1 ( 117070 76670 ) ( 118450 * )
NEW met2 ( 117070 60690 ) ( * 76670 )
NEW met2 ( 121210 98430 ) ( * 158700 )
NEW met1 ( 118450 76670 ) ( 128570 * )
NEW li1 ( 100050 213350 ) L1M1_PR_MR
NEW li1 ( 104190 211650 ) L1M1_PR_MR
NEW met1 ( 100050 211650 ) M1M2_PR
NEW met1 ( 100050 213350 ) M1M2_PR
NEW met1 ( 104190 208930 ) M1M2_PR
NEW met1 ( 104190 211650 ) M1M2_PR
NEW li1 ( 54970 226270 ) L1M1_PR_MR
NEW met1 ( 54970 226270 ) M1M2_PR
NEW met1 ( 54970 213350 ) M1M2_PR
NEW li1 ( 50370 232730 ) L1M1_PR_MR
NEW met1 ( 54970 233070 ) M1M2_PR
NEW met1 ( 119370 34170 ) M1M2_PR
NEW li1 ( 117530 34170 ) L1M1_PR_MR
NEW li1 ( 121210 227290 ) L1M1_PR_MR
NEW li1 ( 128570 226950 ) L1M1_PR_MR
NEW met1 ( 120750 227290 ) M1M2_PR
NEW met1 ( 120750 208930 ) M1M2_PR
NEW li1 ( 118910 60690 ) L1M1_PR_MR
NEW met1 ( 117070 60690 ) M1M2_PR
NEW met1 ( 119370 60350 ) M1M2_PR
NEW li1 ( 127545 61030 ) L1M1_PR_MR
NEW met1 ( 128570 71910 ) M1M2_PR
NEW met1 ( 128570 76670 ) M1M2_PR
NEW li1 ( 145130 71910 ) L1M1_PR_MR
NEW met1 ( 147890 71910 ) M1M2_PR
NEW met2 ( 147890 71060 ) M2M3_PR_M
NEW met2 ( 153410 71060 ) M2M3_PR_M
NEW met1 ( 153410 71910 ) M1M2_PR
NEW li1 ( 152030 71910 ) L1M1_PR_MR
NEW met1 ( 118450 76670 ) M1M2_PR
NEW met1 ( 118450 98430 ) M1M2_PR
NEW met1 ( 121210 98430 ) M1M2_PR
NEW met1 ( 117070 76670 ) M1M2_PR
NEW met1 ( 100050 213350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 104190 211650 ) RECT ( -595 -70 0 70 )
NEW met1 ( 54970 226270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 120750 208930 ) RECT ( -70 -485 70 0 ) ;
- _092_ ( ANTENNA__221__A2 DIODE ) ( ANTENNA__222__A2 DIODE ) ( ANTENNA__223__A2 DIODE ) ( ANTENNA__224__A2 DIODE ) ( ANTENNA__225__A2 DIODE ) ( _225_ A2 ) ( _224_ A2 )
( _223_ A2 ) ( _222_ A2 ) ( _221_ A2 ) ( _219_ X ) + USE SIGNAL
+ ROUTED met1 ( 13110 197030 ) ( 13135 * )
NEW met1 ( 13110 197030 ) ( * 197370 )
NEW met1 ( 9430 197370 ) ( 13110 * )
NEW met1 ( 9230 162010 ) ( 9430 * )
NEW met2 ( 9430 162010 ) ( * 164730 )
NEW met1 ( 9430 159970 ) ( 10810 * )
NEW met2 ( 9430 159970 ) ( * 162010 )
NEW met2 ( 11270 143650 ) ( * 159970 )
NEW met1 ( 10810 159970 ) ( 11270 * )
NEW met1 ( 9690 140250 ) ( 11270 * )
NEW met2 ( 11270 140250 ) ( * 143650 )
NEW met2 ( 11270 138380 ) ( 11730 * )
NEW met2 ( 11270 138380 ) ( * 140250 )
NEW met2 ( 9430 164730 ) ( * 197370 )
NEW met2 ( 11730 97070 ) ( * 138380 )
NEW met1 ( 182390 174930 ) ( * 175270 )
NEW met1 ( 173190 174590 ) ( 174110 * )
NEW met2 ( 173190 165070 ) ( * 174590 )
NEW met1 ( 174110 174590 ) ( * 174930 )
NEW met1 ( 174110 174930 ) ( 182390 * )
NEW met2 ( 109710 39270 ) ( * 40290 )
NEW met2 ( 109250 40290 ) ( 109710 * )
NEW met1 ( 11730 97070 ) ( 33810 * )
NEW met1 ( 36570 164730 ) ( * 165070 )
NEW met1 ( 9430 164730 ) ( 36570 * )
NEW met1 ( 13135 197030 ) ( 19090 * )
NEW met1 ( 36570 165070 ) ( 173190 * )
NEW met1 ( 109710 39270 ) ( 112930 * )
NEW met3 ( 107180 43180 ) ( 109250 * )
NEW met2 ( 109250 40290 ) ( * 43180 )
NEW met2 ( 81650 89420 ) ( * 97070 )
NEW met3 ( 81650 89420 ) ( 107180 * )
NEW met1 ( 33810 97070 ) ( 81650 * )
NEW met4 ( 107180 43180 ) ( * 89420 )
NEW met1 ( 11730 97070 ) M1M2_PR
NEW li1 ( 13135 197030 ) L1M1_PR_MR
NEW met1 ( 9430 197370 ) M1M2_PR
NEW li1 ( 9230 162010 ) L1M1_PR_MR
NEW met1 ( 9430 162010 ) M1M2_PR
NEW met1 ( 9430 164730 ) M1M2_PR
NEW li1 ( 10810 159970 ) L1M1_PR_MR
NEW met1 ( 9430 159970 ) M1M2_PR
NEW li1 ( 11270 143650 ) L1M1_PR_MR
NEW met1 ( 11270 143650 ) M1M2_PR
NEW met1 ( 11270 159970 ) M1M2_PR
NEW li1 ( 9690 140250 ) L1M1_PR_MR
NEW met1 ( 11270 140250 ) M1M2_PR
NEW li1 ( 182390 175270 ) L1M1_PR_MR
NEW li1 ( 174110 174590 ) L1M1_PR_MR
NEW met1 ( 173190 174590 ) M1M2_PR
NEW met1 ( 173190 165070 ) M1M2_PR
NEW li1 ( 109250 40290 ) L1M1_PR_MR
NEW met1 ( 109250 40290 ) M1M2_PR
NEW met1 ( 109710 39270 ) M1M2_PR
NEW li1 ( 33810 97070 ) L1M1_PR_MR
NEW li1 ( 19090 197030 ) L1M1_PR_MR
NEW li1 ( 112930 39270 ) L1M1_PR_MR
NEW met3 ( 107180 43180 ) M3M4_PR_M
NEW met2 ( 109250 43180 ) M2M3_PR_M
NEW met1 ( 81650 97070 ) M1M2_PR
NEW met2 ( 81650 89420 ) M2M3_PR_M
NEW met3 ( 107180 89420 ) M3M4_PR_M
NEW met1 ( 11270 143650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 40290 ) RECT ( -355 -70 0 70 ) ;
- _093_ ( ANTENNA__221__B2 DIODE ) ( ANTENNA__222__B2 DIODE ) ( ANTENNA__223__B2 DIODE ) ( ANTENNA__224__B2 DIODE ) ( ANTENNA__225__B2 DIODE ) ( _225_ B2 ) ( _224_ B2 )
( _223_ B2 ) ( _222_ B2 ) ( _221_ B2 ) ( _220_ X ) + USE SIGNAL
+ ROUTED met2 ( 11270 197030 ) ( * 200090 )
NEW met1 ( 7130 197030 ) ( 11270 * )
NEW met2 ( 7130 179400 ) ( * 197030 )
NEW met1 ( 7130 162010 ) ( 7590 * )
NEW met2 ( 7590 162010 ) ( * 179400 )
NEW met2 ( 7130 179400 ) ( 7590 * )
NEW met1 ( 7590 163710 ) ( 11730 * )
NEW met2 ( 13110 143650 ) ( * 163710 )
NEW met1 ( 11730 163710 ) ( 13110 * )
NEW met1 ( 7590 140250 ) ( * 140930 )
NEW met1 ( 7590 140930 ) ( 13110 * )
NEW met2 ( 13110 140930 ) ( * 143650 )
NEW met1 ( 175490 175270 ) ( 179170 * )
NEW met2 ( 175490 173570 ) ( * 175270 )
NEW met2 ( 179170 175270 ) ( * 197370 )
NEW met1 ( 16330 200090 ) ( 27830 * )
NEW met1 ( 11270 200090 ) ( 16330 * )
NEW met1 ( 27830 197370 ) ( 179170 * )
NEW met1 ( 14490 141950 ) ( 15870 * )
NEW met2 ( 15870 141950 ) ( * 143650 )
NEW met1 ( 13110 143650 ) ( 15870 * )
NEW met1 ( 27830 229330 ) ( 28290 * )
NEW met2 ( 27830 197370 ) ( * 229330 )
NEW met1 ( 110630 41310 ) ( 117070 * )
NEW met2 ( 117070 39270 ) ( * 41310 )
NEW met1 ( 114770 39270 ) ( 117070 * )
NEW met2 ( 111090 41310 ) ( * 43010 )
NEW met3 ( 15870 47260 ) ( 37950 * )
NEW met2 ( 37950 43010 ) ( * 47260 )
NEW met2 ( 15870 47260 ) ( * 141950 )
NEW met1 ( 37950 43010 ) ( 111090 * )
NEW li1 ( 11270 197030 ) L1M1_PR_MR
NEW met1 ( 11270 197030 ) M1M2_PR
NEW met1 ( 11270 200090 ) M1M2_PR
NEW met1 ( 7130 197030 ) M1M2_PR
NEW li1 ( 7130 162010 ) L1M1_PR_MR
NEW met1 ( 7590 162010 ) M1M2_PR
NEW li1 ( 11730 163710 ) L1M1_PR_MR
NEW met1 ( 7590 163710 ) M1M2_PR
NEW met1 ( 13110 143650 ) M1M2_PR
NEW met1 ( 13110 163710 ) M1M2_PR
NEW li1 ( 7590 140250 ) L1M1_PR_MR
NEW met1 ( 13110 140930 ) M1M2_PR
NEW met1 ( 179170 197370 ) M1M2_PR
NEW li1 ( 179170 175270 ) L1M1_PR_MR
NEW met1 ( 175490 175270 ) M1M2_PR
NEW li1 ( 175490 173570 ) L1M1_PR_MR
NEW met1 ( 175490 173570 ) M1M2_PR
NEW met1 ( 179170 175270 ) M1M2_PR
NEW met1 ( 27830 197370 ) M1M2_PR
NEW li1 ( 16330 200090 ) L1M1_PR_MR
NEW met1 ( 27830 200090 ) M1M2_PR
NEW li1 ( 14490 141950 ) L1M1_PR_MR
NEW met1 ( 15870 141950 ) M1M2_PR
NEW met1 ( 15870 143650 ) M1M2_PR
NEW met1 ( 27830 229330 ) M1M2_PR
NEW li1 ( 28290 229330 ) L1M1_PR_MR
NEW li1 ( 110630 41310 ) L1M1_PR_MR
NEW met1 ( 117070 41310 ) M1M2_PR
NEW met1 ( 117070 39270 ) M1M2_PR
NEW li1 ( 114770 39270 ) L1M1_PR_MR
NEW met1 ( 111090 43010 ) M1M2_PR
NEW met1 ( 111090 41310 ) M1M2_PR
NEW met2 ( 15870 47260 ) M2M3_PR_M
NEW met2 ( 37950 47260 ) M2M3_PR_M
NEW met1 ( 37950 43010 ) M1M2_PR
NEW met1 ( 11270 197030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 7590 163710 ) RECT ( -70 -485 70 0 )
NEW met1 ( 175490 173570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 179170 175270 ) RECT ( -595 -70 0 70 )
NEW met2 ( 27830 200090 ) RECT ( -70 -485 70 0 )
NEW met1 ( 111090 41310 ) RECT ( -595 -70 0 70 ) ;
- _094_ ( ANTENNA__228__A2 DIODE ) ( ANTENNA__229__A2 DIODE ) ( ANTENNA__230__A2 DIODE ) ( ANTENNA__231__A2 DIODE ) ( ANTENNA__232__A2 DIODE ) ( _232_ A2 ) ( _231_ A2 )
( _230_ A2 ) ( _229_ A2 ) ( _228_ A2 ) ( _226_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 181050 ) ( 178250 * )
NEW met1 ( 173190 177310 ) ( 177790 * )
NEW met2 ( 177790 177310 ) ( * 181050 )
NEW met2 ( 183770 87890 ) ( * 91970 )
NEW met1 ( 95450 156230 ) ( * 156910 )
NEW met2 ( 177790 156230 ) ( * 177310 )
NEW met2 ( 139610 91970 ) ( * 92990 )
NEW met1 ( 136365 91290 ) ( 136390 * )
NEW met2 ( 136390 91290 ) ( * 92990 )
NEW met1 ( 136390 92990 ) ( 139610 * )
NEW met1 ( 134985 93670 ) ( 135010 * )
NEW met1 ( 135010 92990 ) ( * 93670 )
NEW met1 ( 135010 92990 ) ( 136390 * )
NEW met2 ( 135010 93670 ) ( * 97070 )
NEW met1 ( 139610 91970 ) ( 183770 * )
NEW met1 ( 207690 12070 ) ( 213410 * )
NEW met1 ( 183770 87890 ) ( 208150 * )
NEW met2 ( 19550 137870 ) ( * 156230 )
NEW met1 ( 19550 156230 ) ( 59110 * )
NEW met1 ( 59110 156230 ) ( * 156910 )
NEW met1 ( 14465 140250 ) ( 15870 * )
NEW met1 ( 15870 139910 ) ( * 140250 )
NEW met1 ( 15870 139910 ) ( 19550 * )
NEW met1 ( 59110 156910 ) ( 95450 * )
NEW met2 ( 137770 92990 ) ( * 156230 )
NEW met1 ( 95450 156230 ) ( 177790 * )
NEW met1 ( 208150 23970 ) ( 208610 * )
NEW met2 ( 207690 23460 ) ( 208150 * )
NEW met2 ( 208150 23460 ) ( * 23970 )
NEW met2 ( 207690 12070 ) ( * 23460 )
NEW met2 ( 208150 23970 ) ( * 87890 )
NEW li1 ( 178250 181050 ) L1M1_PR_MR
NEW met1 ( 177790 181050 ) M1M2_PR
NEW li1 ( 173190 177310 ) L1M1_PR_MR
NEW met1 ( 177790 177310 ) M1M2_PR
NEW met1 ( 183770 91970 ) M1M2_PR
NEW met1 ( 183770 87890 ) M1M2_PR
NEW li1 ( 95450 156910 ) L1M1_PR_MR
NEW met1 ( 177790 156230 ) M1M2_PR
NEW li1 ( 139610 92990 ) L1M1_PR_MR
NEW met1 ( 139610 92990 ) M1M2_PR
NEW met1 ( 139610 91970 ) M1M2_PR
NEW li1 ( 136365 91290 ) L1M1_PR_MR
NEW met1 ( 136390 91290 ) M1M2_PR
NEW met1 ( 136390 92990 ) M1M2_PR
NEW li1 ( 134985 93670 ) L1M1_PR_MR
NEW li1 ( 135010 97070 ) L1M1_PR_MR
NEW met1 ( 135010 97070 ) M1M2_PR
NEW met1 ( 135010 93670 ) M1M2_PR
NEW met1 ( 137770 92990 ) M1M2_PR
NEW met1 ( 207690 12070 ) M1M2_PR
NEW li1 ( 213410 12070 ) L1M1_PR_MR
NEW met1 ( 208150 87890 ) M1M2_PR
NEW li1 ( 19550 137870 ) L1M1_PR_MR
NEW met1 ( 19550 137870 ) M1M2_PR
NEW met1 ( 19550 156230 ) M1M2_PR
NEW li1 ( 14465 140250 ) L1M1_PR_MR
NEW met1 ( 19550 139910 ) M1M2_PR
NEW met1 ( 137770 156230 ) M1M2_PR
NEW li1 ( 208610 23970 ) L1M1_PR_MR
NEW met1 ( 208150 23970 ) M1M2_PR
NEW met1 ( 139610 92990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136365 91290 ) RECT ( -330 -70 0 70 )
NEW met1 ( 135010 97070 ) RECT ( 0 -70 355 70 )
NEW met1 ( 135010 93670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 137770 92990 ) RECT ( -595 -70 0 70 )
NEW met1 ( 19550 137870 ) RECT ( -355 -70 0 70 )
NEW met2 ( 19550 139910 ) RECT ( -70 -485 70 0 )
NEW met1 ( 137770 156230 ) RECT ( -595 -70 0 70 ) ;
- _095_ ( ANTENNA__228__B2 DIODE ) ( ANTENNA__229__B2 DIODE ) ( ANTENNA__230__B2 DIODE ) ( ANTENNA__231__B2 DIODE ) ( ANTENNA__232__B2 DIODE ) ( _232_ B2 ) ( _231_ B2 )
( _230_ B2 ) ( _229_ B2 ) ( _228_ B2 ) ( _227_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 181390 ) ( 195270 * )
NEW met2 ( 195270 181390 ) ( * 194310 )
NEW met1 ( 182850 180030 ) ( * 181390 )
NEW met2 ( 177330 180030 ) ( * 181050 )
NEW met1 ( 177330 180030 ) ( 182850 * )
NEW met2 ( 177330 179400 ) ( * 180030 )
NEW met2 ( 176870 179400 ) ( 177330 * )
NEW met2 ( 86250 132770 ) ( * 135490 )
NEW met2 ( 163990 131070 ) ( * 133790 )
NEW met1 ( 163990 133790 ) ( 176870 * )
NEW met2 ( 176870 133790 ) ( * 179400 )
NEW met2 ( 22310 135490 ) ( * 136510 )
NEW met1 ( 16330 140250 ) ( 20470 * )
NEW met2 ( 20470 138210 ) ( * 140250 )
NEW met2 ( 20470 138210 ) ( 20930 * )
NEW met2 ( 20930 136510 ) ( * 138210 )
NEW met1 ( 20930 136510 ) ( 22310 * )
NEW met1 ( 22310 135490 ) ( 86250 * )
NEW met1 ( 158010 131070 ) ( * 131410 )
NEW met1 ( 135470 131410 ) ( 158010 * )
NEW met2 ( 135470 131410 ) ( * 132770 )
NEW met1 ( 86250 132770 ) ( 135470 * )
NEW met1 ( 158010 131070 ) ( 163990 * )
NEW met1 ( 212750 24990 ) ( 213670 * )
NEW met2 ( 213670 24820 ) ( * 24990 )
NEW met3 ( 210910 24820 ) ( 213670 * )
NEW met2 ( 210910 24820 ) ( * 37230 )
NEW met1 ( 201250 37230 ) ( 210910 * )
NEW met1 ( 215510 12070 ) ( * 12410 )
NEW met1 ( 212290 12410 ) ( 215510 * )
NEW met2 ( 212290 12410 ) ( * 14620 )
NEW met2 ( 211370 14620 ) ( 212290 * )
NEW met2 ( 211370 14620 ) ( * 22780 )
NEW met2 ( 210910 22780 ) ( 211370 * )
NEW met2 ( 210910 22780 ) ( * 24820 )
NEW met2 ( 201250 37230 ) ( * 87550 )
NEW met1 ( 137770 91290 ) ( 138230 * )
NEW met2 ( 137770 87550 ) ( * 91290 )
NEW met1 ( 137770 87550 ) ( 139610 * )
NEW met2 ( 136850 90270 ) ( * 93670 )
NEW met1 ( 136850 90270 ) ( 137770 * )
NEW met1 ( 136850 95710 ) ( 137770 * )
NEW met2 ( 136850 93670 ) ( * 95710 )
NEW met2 ( 136850 95710 ) ( * 131410 )
NEW met1 ( 139610 87550 ) ( 201250 * )
NEW li1 ( 182390 181390 ) L1M1_PR_MR
NEW met1 ( 195270 181390 ) M1M2_PR
NEW li1 ( 195270 194310 ) L1M1_PR_MR
NEW met1 ( 195270 194310 ) M1M2_PR
NEW met1 ( 177330 180030 ) M1M2_PR
NEW li1 ( 177330 181050 ) L1M1_PR_MR
NEW met1 ( 177330 181050 ) M1M2_PR
NEW met1 ( 201250 87550 ) M1M2_PR
NEW met1 ( 86250 135490 ) M1M2_PR
NEW met1 ( 86250 132770 ) M1M2_PR
NEW met1 ( 163990 131070 ) M1M2_PR
NEW met1 ( 163990 133790 ) M1M2_PR
NEW met1 ( 176870 133790 ) M1M2_PR
NEW li1 ( 22310 136510 ) L1M1_PR_MR
NEW met1 ( 22310 136510 ) M1M2_PR
NEW met1 ( 22310 135490 ) M1M2_PR
NEW li1 ( 16330 140250 ) L1M1_PR_MR
NEW met1 ( 20470 140250 ) M1M2_PR
NEW met1 ( 20930 136510 ) M1M2_PR
NEW met1 ( 135470 131410 ) M1M2_PR
NEW met1 ( 135470 132770 ) M1M2_PR
NEW met1 ( 136850 131410 ) M1M2_PR
NEW li1 ( 212750 24990 ) L1M1_PR_MR
NEW met1 ( 213670 24990 ) M1M2_PR
NEW met2 ( 213670 24820 ) M2M3_PR_M
NEW met2 ( 210910 24820 ) M2M3_PR_M
NEW met1 ( 210910 37230 ) M1M2_PR
NEW met1 ( 201250 37230 ) M1M2_PR
NEW li1 ( 215510 12070 ) L1M1_PR_MR
NEW met1 ( 212290 12410 ) M1M2_PR
NEW li1 ( 139610 87550 ) L1M1_PR_MR
NEW li1 ( 138230 91290 ) L1M1_PR_MR
NEW met1 ( 137770 91290 ) M1M2_PR
NEW met1 ( 137770 87550 ) M1M2_PR
NEW li1 ( 136850 93670 ) L1M1_PR_MR
NEW met1 ( 136850 93670 ) M1M2_PR
NEW met1 ( 136850 90270 ) M1M2_PR
NEW met1 ( 137770 90270 ) M1M2_PR
NEW li1 ( 137770 95710 ) L1M1_PR_MR
NEW met1 ( 136850 95710 ) M1M2_PR
NEW met1 ( 195270 194310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 177330 181050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22310 136510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136850 131410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 136850 93670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 137770 90270 ) RECT ( -70 -485 70 0 ) ;
- _096_ ( ANTENNA__101__A DIODE ) ( _101_ A ) ( _100_ X ) + USE SIGNAL
+ ROUTED met1 ( 232530 132090 ) ( 236210 * )
NEW met1 ( 232530 132090 ) ( * 132430 )
NEW met2 ( 93610 132430 ) ( * 152830 )
NEW met1 ( 92690 156570 ) ( 93610 * )
NEW met2 ( 93610 152830 ) ( * 156570 )
NEW met1 ( 93610 132430 ) ( 232530 * )
NEW li1 ( 236210 132090 ) L1M1_PR_MR
NEW li1 ( 93610 152830 ) L1M1_PR_MR
NEW met1 ( 93610 152830 ) M1M2_PR
NEW met1 ( 93610 132430 ) M1M2_PR
NEW li1 ( 92690 156570 ) L1M1_PR_MR
NEW met1 ( 93610 156570 ) M1M2_PR
NEW met1 ( 93610 152830 ) RECT ( -355 -70 0 70 ) ;
- _097_ ( ANTENNA__103__A DIODE ) ( _103_ A ) ( _102_ X ) + USE SIGNAL
+ ROUTED met1 ( 169510 12070 ) ( 169970 * )
NEW met1 ( 168130 18530 ) ( 169970 * )
NEW met2 ( 168130 18530 ) ( * 39950 )
NEW met2 ( 169510 12070 ) ( * 18530 )
NEW met2 ( 14030 56610 ) ( * 58310 )
NEW met1 ( 115690 39950 ) ( 168130 * )
NEW met1 ( 14030 58310 ) ( 96600 * )
NEW met1 ( 96600 58310 ) ( * 58650 )
NEW met1 ( 96600 58650 ) ( 115690 * )
NEW met2 ( 115690 39950 ) ( * 58650 )
NEW li1 ( 169970 12070 ) L1M1_PR_MR
NEW met1 ( 169510 12070 ) M1M2_PR
NEW li1 ( 169970 18530 ) L1M1_PR_MR
NEW met1 ( 168130 18530 ) M1M2_PR
NEW met1 ( 168130 39950 ) M1M2_PR
NEW met1 ( 169510 18530 ) M1M2_PR
NEW li1 ( 14030 56610 ) L1M1_PR_MR
NEW met1 ( 14030 56610 ) M1M2_PR
NEW met1 ( 14030 58310 ) M1M2_PR
NEW met1 ( 115690 39950 ) M1M2_PR
NEW met1 ( 115690 58650 ) M1M2_PR
NEW met1 ( 169510 18530 ) RECT ( -595 -70 0 70 )
NEW met1 ( 14030 56610 ) RECT ( 0 -70 355 70 ) ;
- _098_ ( ANTENNA__105__B1 DIODE ) ( _105_ B1 ) ( _104_ Y ) + USE SIGNAL
+ ROUTED met1 ( 231610 183430 ) ( 239890 * )
NEW met1 ( 239890 183430 ) ( * 183770 )
NEW met2 ( 231610 181730 ) ( * 183430 )
NEW met1 ( 51750 181730 ) ( 231610 * )
NEW met1 ( 51750 59330 ) ( 52210 * )
NEW met2 ( 51750 59330 ) ( * 181730 )
NEW li1 ( 231610 183430 ) L1M1_PR_MR
NEW li1 ( 239890 183770 ) L1M1_PR_MR
NEW met1 ( 231610 181730 ) M1M2_PR
NEW met1 ( 231610 183430 ) M1M2_PR
NEW met1 ( 51750 181730 ) M1M2_PR
NEW met1 ( 51750 59330 ) M1M2_PR
NEW li1 ( 52210 59330 ) L1M1_PR_MR
NEW met1 ( 231610 183430 ) RECT ( -595 -70 0 70 ) ;
- _099_ ( _106_ B ) ( _105_ X ) + USE SIGNAL
+ ROUTED met1 ( 240350 172890 ) ( 240810 * )
NEW met2 ( 240810 172890 ) ( * 182750 )
NEW li1 ( 240810 182750 ) L1M1_PR_MR
NEW met1 ( 240810 182750 ) M1M2_PR
NEW li1 ( 240350 172890 ) L1M1_PR_MR
NEW met1 ( 240810 172890 ) M1M2_PR
NEW met1 ( 240810 182750 ) RECT ( -355 -70 0 70 ) ;
- clk ( PIN clk ) ( output137 X ) + USE CLOCK
+ ROUTED met3 ( 3220 5100 0 ) ( 14260 * )
NEW met4 ( 14260 5100 ) ( * 26180 )
NEW met3 ( 14260 26180 ) ( 35650 * )
NEW met2 ( 35650 26180 ) ( * 27710 )
NEW met1 ( 35650 27710 ) ( 37030 * )
NEW met3 ( 14260 5100 ) M3M4_PR_M
NEW met3 ( 14260 26180 ) M3M4_PR_M
NEW met2 ( 35650 26180 ) M2M3_PR_M
NEW met1 ( 35650 27710 ) M1M2_PR
NEW li1 ( 37030 27710 ) L1M1_PR_MR ;
- csb0 ( PIN csb0 ) ( output138 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 14620 0 ) ( 7130 * )
NEW met1 ( 7130 35870 ) ( 7590 * )
NEW met2 ( 7130 14620 ) ( * 35870 )
NEW met2 ( 7130 14620 ) M2M3_PR_M
NEW met1 ( 7130 35870 ) M1M2_PR
NEW li1 ( 7590 35870 ) L1M1_PR_MR ;
- csb1 ( PIN csb1 ) ( output139 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 33660 0 ) ( 7590 * )
NEW met2 ( 7590 33660 ) ( * 38590 )
NEW met2 ( 7590 33660 ) M2M3_PR_M
NEW li1 ( 7590 38590 ) L1M1_PR_MR
NEW met1 ( 7590 38590 ) M1M2_PR
NEW met1 ( 7590 38590 ) RECT ( -355 -70 0 70 ) ;
- din0[0] ( PIN din0[0] ) ( output140 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 43180 0 ) ( 7590 * )
NEW met2 ( 7590 43180 ) ( * 44030 )
NEW met2 ( 7590 43180 ) M2M3_PR_M
NEW li1 ( 7590 44030 ) L1M1_PR_MR
NEW met1 ( 7590 44030 ) M1M2_PR
NEW met1 ( 7590 44030 ) RECT ( -355 -70 0 70 ) ;
- din0[10] ( PIN din0[10] ) ( output141 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 108460 ) ( * 109310 )
NEW met3 ( 240350 108460 ) ( 246100 * 0 )
NEW li1 ( 240350 109310 ) L1M1_PR_MR
NEW met1 ( 240350 109310 ) M1M2_PR
NEW met2 ( 240350 108460 ) M2M3_PR_M
NEW met1 ( 240350 109310 ) RECT ( -355 -70 0 70 ) ;
- din0[11] ( PIN din0[11] ) ( output142 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 110500 0 ) ( 7590 * )
NEW met2 ( 7590 110500 ) ( * 112030 )
NEW met2 ( 7590 110500 ) M2M3_PR_M
NEW li1 ( 7590 112030 ) L1M1_PR_MR
NEW met1 ( 7590 112030 ) M1M2_PR
NEW met1 ( 7590 112030 ) RECT ( -355 -70 0 70 ) ;
- din0[12] ( PIN din0[12] ) ( output143 X ) + USE SIGNAL
+ ROUTED met1 ( 221950 16830 ) ( 240810 * )
NEW met2 ( 240810 3740 0 ) ( * 16830 )
NEW met1 ( 240810 16830 ) M1M2_PR
NEW li1 ( 221950 16830 ) L1M1_PR_MR ;
- din0[13] ( PIN din0[13] ) ( output144 X ) + USE SIGNAL
+ ROUTED met1 ( 228390 230690 ) ( 229310 * )
NEW met2 ( 228390 230690 ) ( * 246500 0 )
NEW li1 ( 229310 230690 ) L1M1_PR_MR
NEW met1 ( 228390 230690 ) M1M2_PR ;
- din0[14] ( PIN din0[14] ) ( output145 X ) + USE SIGNAL
+ ROUTED met1 ( 232070 225250 ) ( 233450 * )
NEW met2 ( 232070 225250 ) ( * 246500 0 )
NEW li1 ( 233450 225250 ) L1M1_PR_MR
NEW met1 ( 232070 225250 ) M1M2_PR ;
- din0[15] ( PIN din0[15] ) ( output146 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 137870 ) ( * 139740 )
NEW met3 ( 3220 139740 0 ) ( 7590 * )
NEW li1 ( 7590 137870 ) L1M1_PR_MR
NEW met1 ( 7590 137870 ) M1M2_PR
NEW met2 ( 7590 139740 ) M2M3_PR_M
NEW met1 ( 7590 137870 ) RECT ( -355 -70 0 70 ) ;
- din0[16] ( PIN din0[16] ) ( output147 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 149260 0 ) ( 7590 * )
NEW met2 ( 7590 149260 ) ( * 150110 )
NEW met2 ( 7590 149260 ) M2M3_PR_M
NEW li1 ( 7590 150110 ) L1M1_PR_MR
NEW met1 ( 7590 150110 ) M1M2_PR
NEW met1 ( 7590 150110 ) RECT ( -355 -70 0 70 ) ;
- din0[17] ( PIN din0[17] ) ( output148 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 158780 0 ) ( 7590 * )
NEW met2 ( 7590 158780 ) ( * 159630 )
NEW met2 ( 7590 158780 ) M2M3_PR_M
NEW li1 ( 7590 159630 ) L1M1_PR_MR
NEW met1 ( 7590 159630 ) M1M2_PR
NEW met1 ( 7590 159630 ) RECT ( -355 -70 0 70 ) ;
- din0[18] ( PIN din0[18] ) ( output149 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 164900 ) ( * 165070 )
NEW met3 ( 240350 164900 ) ( 246100 * 0 )
NEW li1 ( 240350 165070 ) L1M1_PR_MR
NEW met1 ( 240350 165070 ) M1M2_PR
NEW met2 ( 240350 164900 ) M2M3_PR_M
NEW met1 ( 240350 165070 ) RECT ( -355 -70 0 70 ) ;
- din0[19] ( PIN din0[19] ) ( output150 X ) + USE SIGNAL
+ ROUTED met1 ( 235750 222530 ) ( 238970 * )
NEW met2 ( 235750 222530 ) ( * 246500 0 )
NEW li1 ( 238970 222530 ) L1M1_PR_MR
NEW met1 ( 235750 222530 ) M1M2_PR ;
- din0[1] ( PIN din0[1] ) ( output151 X ) + USE SIGNAL
+ ROUTED met1 ( 217810 13090 ) ( 232070 * )
NEW met2 ( 232070 11220 ) ( * 13090 )
NEW met3 ( 232070 11220 ) ( 246100 * 0 )
NEW met1 ( 215970 14110 ) ( 217810 * )
NEW met2 ( 217810 13090 ) ( * 14110 )
NEW met1 ( 217810 13090 ) M1M2_PR
NEW met1 ( 232070 13090 ) M1M2_PR
NEW met2 ( 232070 11220 ) M2M3_PR_M
NEW met1 ( 217810 14110 ) M1M2_PR
NEW li1 ( 215970 14110 ) L1M1_PR_MR ;
- din0[20] ( PIN din0[20] ) ( output152 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 173060 ) ( * 174590 )
NEW met3 ( 240350 173060 ) ( 246100 * 0 )
NEW li1 ( 240350 174590 ) L1M1_PR_MR
NEW met1 ( 240350 174590 ) M1M2_PR
NEW met2 ( 240350 173060 ) M2M3_PR_M
NEW met1 ( 240350 174590 ) RECT ( -355 -70 0 70 ) ;
- din0[21] ( PIN din0[21] ) ( output153 X ) + USE SIGNAL
+ ROUTED met1 ( 237590 219810 ) ( 238970 * )
NEW met2 ( 237590 219810 ) ( * 246500 0 )
NEW li1 ( 238970 219810 ) L1M1_PR_MR
NEW met1 ( 237590 219810 ) M1M2_PR ;
- din0[22] ( PIN din0[22] ) ( output154 X ) + USE SIGNAL
+ ROUTED met1 ( 227930 226270 ) ( 239430 * )
NEW met2 ( 239430 226270 ) ( * 246500 0 )
NEW li1 ( 227930 226270 ) L1M1_PR_MR
NEW met1 ( 239430 226270 ) M1M2_PR ;
- din0[23] ( PIN din0[23] ) ( output155 X ) + USE SIGNAL
+ ROUTED met1 ( 234370 182750 ) ( 234830 * )
NEW met2 ( 234370 181220 ) ( * 182750 )
NEW met3 ( 234370 181220 ) ( 246100 * 0 )
NEW li1 ( 234830 182750 ) L1M1_PR_MR
NEW met1 ( 234370 182750 ) M1M2_PR
NEW met2 ( 234370 181220 ) M2M3_PR_M ;
- din0[24] ( PIN din0[24] ) ( output156 X ) + USE SIGNAL
+ ROUTED li1 ( 246330 20570 ) ( * 27710 )
NEW met1 ( 235750 27710 ) ( 246330 * )
NEW met2 ( 246330 3740 0 ) ( * 20570 )
NEW li1 ( 246330 20570 ) L1M1_PR_MR
NEW met1 ( 246330 20570 ) M1M2_PR
NEW li1 ( 246330 27710 ) L1M1_PR_MR
NEW li1 ( 235750 27710 ) L1M1_PR_MR
NEW met1 ( 246330 20570 ) RECT ( -355 -70 0 70 ) ;
- din0[25] ( PIN din0[25] ) ( output157 X ) + USE SIGNAL
+ ROUTED met1 ( 234830 221170 ) ( 241270 * )
NEW met2 ( 241270 221170 ) ( * 246500 0 )
NEW li1 ( 234830 221170 ) L1M1_PR_MR
NEW met1 ( 241270 221170 ) M1M2_PR ;
- din0[26] ( PIN din0[26] ) ( output158 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 189380 ) ( * 190910 )
NEW met3 ( 240350 189380 ) ( 246100 * 0 )
NEW li1 ( 240350 190910 ) L1M1_PR_MR
NEW met1 ( 240350 190910 ) M1M2_PR
NEW met2 ( 240350 189380 ) M2M3_PR_M
NEW met1 ( 240350 190910 ) RECT ( -355 -70 0 70 ) ;
- din0[27] ( PIN din0[27] ) ( output159 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 196350 ) ( * 196860 )
NEW met3 ( 240350 196860 ) ( 246100 * 0 )
NEW li1 ( 240350 196350 ) L1M1_PR_MR
NEW met1 ( 240350 196350 ) M1M2_PR
NEW met2 ( 240350 196860 ) M2M3_PR_M
NEW met1 ( 240350 196350 ) RECT ( -355 -70 0 70 ) ;
- din0[28] ( PIN din0[28] ) ( output160 X ) + USE SIGNAL
+ ROUTED met1 ( 221950 230350 ) ( 234370 * )
NEW met1 ( 234370 230350 ) ( * 230690 )
NEW met1 ( 234370 230690 ) ( 235290 * )
NEW met2 ( 235290 230690 ) ( * 231710 )
NEW met1 ( 235290 231710 ) ( 243110 * )
NEW met2 ( 243110 231710 ) ( * 246500 0 )
NEW li1 ( 221950 230350 ) L1M1_PR_MR
NEW met1 ( 235290 230690 ) M1M2_PR
NEW met1 ( 235290 231710 ) M1M2_PR
NEW met1 ( 243110 231710 ) M1M2_PR ;
- din0[29] ( PIN din0[29] ) ( output161 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 217090 ) ( * 221340 )
NEW met3 ( 240350 221340 ) ( 246100 * 0 )
NEW li1 ( 240350 217090 ) L1M1_PR_MR
NEW met1 ( 240350 217090 ) M1M2_PR
NEW met2 ( 240350 221340 ) M2M3_PR_M
NEW met1 ( 240350 217090 ) RECT ( -355 -70 0 70 ) ;
- din0[2] ( PIN din0[2] ) ( output162 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 35700 ) ( * 35870 )
NEW met3 ( 240350 35700 ) ( 246100 * 0 )
NEW li1 ( 240350 35870 ) L1M1_PR_MR
NEW met1 ( 240350 35870 ) M1M2_PR
NEW met2 ( 240350 35700 ) M2M3_PR_M
NEW met1 ( 240350 35870 ) RECT ( -355 -70 0 70 ) ;
- din0[30] ( PIN din0[30] ) ( output163 X ) + USE SIGNAL
+ ROUTED li1 ( 248630 19550 ) ( * 24990 )
NEW met1 ( 231150 24990 ) ( 248630 * )
NEW met2 ( 248630 3740 0 ) ( * 19550 )
NEW li1 ( 248630 19550 ) L1M1_PR_MR
NEW met1 ( 248630 19550 ) M1M2_PR
NEW li1 ( 248630 24990 ) L1M1_PR_MR
NEW li1 ( 231150 24990 ) L1M1_PR_MR
NEW met1 ( 248630 19550 ) RECT ( -355 -70 0 70 ) ;
- din0[31] ( PIN din0[31] ) ( output164 X ) + USE SIGNAL
+ ROUTED met1 ( 218270 233410 ) ( 246790 * )
NEW met2 ( 246790 233410 ) ( * 246500 0 )
NEW li1 ( 218270 233410 ) L1M1_PR_MR
NEW met1 ( 246790 233410 ) M1M2_PR ;
- din0[3] ( PIN din0[3] ) ( output165 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 43860 ) ( * 44030 )
NEW met3 ( 240350 43860 ) ( 246100 * 0 )
NEW li1 ( 240350 44030 ) L1M1_PR_MR
NEW met1 ( 240350 44030 ) M1M2_PR
NEW met2 ( 240350 43860 ) M2M3_PR_M
NEW met1 ( 240350 44030 ) RECT ( -355 -70 0 70 ) ;
- din0[4] ( PIN din0[4] ) ( output166 X ) + USE SIGNAL
+ ROUTED met1 ( 218270 230690 ) ( 218730 * )
NEW met2 ( 218730 230690 ) ( * 246500 0 )
NEW li1 ( 218270 230690 ) L1M1_PR_MR
NEW met1 ( 218730 230690 ) M1M2_PR ;
- din0[5] ( PIN din0[5] ) ( output167 X ) + USE SIGNAL
+ ROUTED met2 ( 240350 67660 ) ( * 68510 )
NEW met3 ( 240350 67660 ) ( 246100 * 0 )
NEW li1 ( 240350 68510 ) L1M1_PR_MR
NEW met1 ( 240350 68510 ) M1M2_PR
NEW met2 ( 240350 67660 ) M2M3_PR_M
NEW met1 ( 240350 68510 ) RECT ( -355 -70 0 70 ) ;
- din0[6] ( PIN din0[6] ) ( output168 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 62220 0 ) ( 7590 * )
NEW met2 ( 7590 62220 ) ( * 63070 )
NEW met2 ( 7590 62220 ) M2M3_PR_M
NEW li1 ( 7590 63070 ) L1M1_PR_MR
NEW met1 ( 7590 63070 ) M1M2_PR
NEW met1 ( 7590 63070 ) RECT ( -355 -70 0 70 ) ;
- din0[7] ( PIN din0[7] ) ( output169 X ) + USE SIGNAL
+ ROUTED met1 ( 227930 22270 ) ( 238970 * )
NEW met2 ( 227930 22270 ) ( * 23970 )
NEW met1 ( 226550 23970 ) ( 227930 * )
NEW met2 ( 238970 3740 0 ) ( * 22270 )
NEW met1 ( 238970 22270 ) M1M2_PR
NEW met1 ( 227930 22270 ) M1M2_PR
NEW met1 ( 227930 23970 ) M1M2_PR
NEW li1 ( 226550 23970 ) L1M1_PR_MR ;
- din0[8] ( PIN din0[8] ) ( output170 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 81940 ) ( * 82110 )
NEW met3 ( 3220 81940 0 ) ( 7590 * )
NEW li1 ( 7590 82110 ) L1M1_PR_MR
NEW met1 ( 7590 82110 ) M1M2_PR
NEW met2 ( 7590 81940 ) M2M3_PR_M
NEW met1 ( 7590 82110 ) RECT ( -355 -70 0 70 ) ;
- din0[9] ( PIN din0[9] ) ( output171 X ) + USE SIGNAL
+ ROUTED met2 ( 239890 3740 0 ) ( * 8670 )
NEW met1 ( 221490 8670 ) ( 239890 * )
NEW met2 ( 221490 8670 ) ( * 16830 )
NEW met1 ( 218270 16830 ) ( 221490 * )
NEW met1 ( 239890 8670 ) M1M2_PR
NEW met1 ( 221490 8670 ) M1M2_PR
NEW met1 ( 221490 16830 ) M1M2_PR
NEW li1 ( 218270 16830 ) L1M1_PR_MR ;
- dout0[0] ( PIN dout0[0] ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met3 ( 232530 4420 ) ( 233220 * )
NEW met3 ( 233220 3740 ) ( * 4420 )
NEW met3 ( 233220 3740 ) ( 246100 * 0 )
NEW met1 ( 226090 23290 ) ( 236670 * )
NEW met1 ( 226090 22610 ) ( * 23290 )
NEW met1 ( 203550 22610 ) ( 226090 * )
NEW met2 ( 232530 4420 ) ( * 23290 )
NEW li1 ( 203550 22610 ) L1M1_PR_MR
NEW met2 ( 232530 4420 ) M2M3_PR_M
NEW li1 ( 236670 23290 ) L1M1_PR_MR
NEW met1 ( 232530 23290 ) M1M2_PR
NEW met1 ( 232530 23290 ) RECT ( -595 -70 0 70 ) ;
- dout0[10] ( PIN dout0[10] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 116450 ) ( * 116620 )
NEW met3 ( 240810 116620 ) ( 246100 * 0 )
NEW met1 ( 240350 118490 ) ( 240810 * )
NEW met2 ( 240810 116620 ) ( * 118490 )
NEW li1 ( 240810 116450 ) L1M1_PR_MR
NEW met1 ( 240810 116450 ) M1M2_PR
NEW met2 ( 240810 116620 ) M2M3_PR_M
NEW li1 ( 240350 118490 ) L1M1_PR_MR
NEW met1 ( 240810 118490 ) M1M2_PR
NEW met1 ( 240810 116450 ) RECT ( -355 -70 0 70 ) ;
- dout0[11] ( PIN dout0[11] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 124780 ) ( * 126310 )
NEW met3 ( 240810 124780 ) ( 246100 * 0 )
NEW met1 ( 237130 126310 ) ( 240810 * )
NEW li1 ( 240810 126310 ) L1M1_PR_MR
NEW met1 ( 240810 126310 ) M1M2_PR
NEW met2 ( 240810 124780 ) M2M3_PR_M
NEW li1 ( 237130 126310 ) L1M1_PR_MR
NEW met1 ( 240810 126310 ) RECT ( -355 -70 0 70 ) ;
- dout0[12] ( PIN dout0[12] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 8050 119170 ) ( * 120020 )
NEW met3 ( 3220 120020 0 ) ( 8050 * )
NEW met1 ( 8050 120530 ) ( 9430 * )
NEW met2 ( 8050 120020 ) ( * 120530 )
NEW li1 ( 8050 119170 ) L1M1_PR_MR
NEW met1 ( 8050 119170 ) M1M2_PR
NEW met2 ( 8050 120020 ) M2M3_PR_M
NEW li1 ( 9430 120530 ) L1M1_PR_MR
NEW met1 ( 8050 120530 ) M1M2_PR
NEW met1 ( 8050 119170 ) RECT ( -355 -70 0 70 ) ;
- dout0[13] ( PIN dout0[13] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met1 ( 230690 234770 ) ( 232070 * )
NEW met2 ( 230690 229330 ) ( * 234770 )
NEW met1 ( 222870 229330 ) ( 230690 * )
NEW met1 ( 222870 228990 ) ( * 229330 )
NEW met1 ( 208610 228990 ) ( 222870 * )
NEW met2 ( 230230 236980 ) ( * 246500 0 )
NEW met2 ( 230230 236980 ) ( 230690 * )
NEW met2 ( 230690 234770 ) ( * 236980 )
NEW li1 ( 232070 234770 ) L1M1_PR_MR
NEW met1 ( 230690 234770 ) M1M2_PR
NEW met1 ( 230690 229330 ) M1M2_PR
NEW li1 ( 208610 228990 ) L1M1_PR_MR ;
- dout0[14] ( PIN dout0[14] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 132260 ) ( * 132430 )
NEW met3 ( 240810 132260 ) ( 246100 * 0 )
NEW met2 ( 240810 132430 ) ( * 134810 )
NEW li1 ( 240810 132430 ) L1M1_PR_MR
NEW met1 ( 240810 132430 ) M1M2_PR
NEW met2 ( 240810 132260 ) M2M3_PR_M
NEW li1 ( 240810 134810 ) L1M1_PR_MR
NEW met1 ( 240810 134810 ) M1M2_PR
NEW met1 ( 240810 132430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240810 134810 ) RECT ( -355 -70 0 70 ) ;
- dout0[15] ( PIN dout0[15] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 140420 ) ( * 142290 )
NEW met3 ( 240350 140420 ) ( 246100 * 0 )
NEW met1 ( 237130 142290 ) ( 240350 * )
NEW li1 ( 240350 142290 ) L1M1_PR_MR
NEW met1 ( 240350 142290 ) M1M2_PR
NEW met2 ( 240350 140420 ) M2M3_PR_M
NEW li1 ( 237130 142290 ) L1M1_PR_MR
NEW met1 ( 240350 142290 ) RECT ( -355 -70 0 70 ) ;
- dout0[16] ( PIN dout0[16] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 148580 ) ( * 148750 )
NEW met3 ( 240810 148580 ) ( 246100 * 0 )
NEW met2 ( 240810 148750 ) ( * 151130 )
NEW li1 ( 240810 148750 ) L1M1_PR_MR
NEW met1 ( 240810 148750 ) M1M2_PR
NEW met2 ( 240810 148580 ) M2M3_PR_M
NEW li1 ( 240810 151130 ) L1M1_PR_MR
NEW met1 ( 240810 151130 ) M1M2_PR
NEW met1 ( 240810 148750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240810 151130 ) RECT ( -355 -70 0 70 ) ;
- dout0[17] ( PIN dout0[17] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 168130 ) ( * 168300 )
NEW met3 ( 3220 168300 0 ) ( 7130 * )
NEW met2 ( 7130 168300 ) ( * 169830 )
NEW li1 ( 7130 168130 ) L1M1_PR_MR
NEW met1 ( 7130 168130 ) M1M2_PR
NEW met2 ( 7130 168300 ) M2M3_PR_M
NEW li1 ( 7130 169830 ) L1M1_PR_MR
NEW met1 ( 7130 169830 ) M1M2_PR
NEW met1 ( 7130 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 169830 ) RECT ( -355 -70 0 70 ) ;
- dout0[18] ( PIN dout0[18] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 235290 11730 ) ( 237590 * )
NEW met1 ( 237590 11390 ) ( * 11730 )
NEW met1 ( 237590 11390 ) ( 247710 * )
NEW met2 ( 242650 3740 0 ) ( * 6290 )
NEW li1 ( 242650 6290 ) ( * 10370 )
NEW met1 ( 242650 10370 ) ( 244490 * )
NEW met1 ( 244490 10370 ) ( * 11390 )
NEW met1 ( 238510 41990 ) ( 247710 * )
NEW li1 ( 247710 11390 ) ( * 41990 )
NEW li1 ( 235290 11730 ) L1M1_PR_MR
NEW li1 ( 247710 11390 ) L1M1_PR_MR
NEW li1 ( 242650 6290 ) L1M1_PR_MR
NEW met1 ( 242650 6290 ) M1M2_PR
NEW li1 ( 242650 10370 ) L1M1_PR_MR
NEW li1 ( 247710 41990 ) L1M1_PR_MR
NEW li1 ( 238510 41990 ) L1M1_PR_MR
NEW met1 ( 242650 6290 ) RECT ( -355 -70 0 70 ) ;
- dout0[19] ( PIN dout0[19] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met1 ( 223790 15130 ) ( 227010 * )
NEW met1 ( 227010 14450 ) ( * 15130 )
NEW met1 ( 227010 14450 ) ( 243570 * )
NEW met2 ( 210450 20910 ) ( * 22270 )
NEW met1 ( 210450 20910 ) ( 216430 * )
NEW met1 ( 216430 20570 ) ( * 20910 )
NEW met1 ( 216430 20570 ) ( 220570 * )
NEW met2 ( 220570 15130 ) ( * 20570 )
NEW met1 ( 220570 15130 ) ( 223790 * )
NEW met1 ( 206310 22270 ) ( 210450 * )
NEW met2 ( 243570 3740 0 ) ( * 14450 )
NEW li1 ( 206310 22270 ) L1M1_PR_MR
NEW li1 ( 223790 15130 ) L1M1_PR_MR
NEW met1 ( 243570 14450 ) M1M2_PR
NEW met1 ( 210450 22270 ) M1M2_PR
NEW met1 ( 210450 20910 ) M1M2_PR
NEW met1 ( 220570 20570 ) M1M2_PR
NEW met1 ( 220570 15130 ) M1M2_PR ;
- dout0[1] ( PIN dout0[1] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met1 ( 239890 20910 ) ( 240350 * )
NEW met2 ( 239890 20910 ) ( * 41650 )
NEW met1 ( 235290 41650 ) ( 239890 * )
NEW met3 ( 239890 19380 ) ( 246100 * 0 )
NEW met2 ( 239890 19380 ) ( * 20910 )
NEW li1 ( 240350 20910 ) L1M1_PR_MR
NEW met1 ( 239890 20910 ) M1M2_PR
NEW met1 ( 239890 41650 ) M1M2_PR
NEW li1 ( 235290 41650 ) L1M1_PR_MR
NEW met2 ( 239890 19380 ) M2M3_PR_M ;
- dout0[20] ( PIN dout0[20] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 244490 4590 ) ( 244950 * )
NEW met2 ( 244490 3740 0 ) ( * 4590 )
NEW met1 ( 240350 11730 ) ( 244950 * )
NEW li1 ( 244950 4590 ) ( * 13800 )
NEW li1 ( 244950 13800 ) ( 245410 * )
NEW li1 ( 245410 13800 ) ( * 44370 )
NEW met1 ( 237130 44370 ) ( 245410 * )
NEW li1 ( 244950 4590 ) L1M1_PR_MR
NEW met1 ( 244490 4590 ) M1M2_PR
NEW li1 ( 240350 11730 ) L1M1_PR_MR
NEW li1 ( 244950 11730 ) L1M1_PR_MR
NEW li1 ( 245410 44370 ) L1M1_PR_MR
NEW li1 ( 237130 44370 ) L1M1_PR_MR
NEW li1 ( 244950 11730 ) RECT ( -85 -330 85 0 ) ;
- dout0[21] ( PIN dout0[21] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met2 ( 245410 3740 0 ) ( * 6970 )
NEW met1 ( 242650 6970 ) ( 245410 * )
NEW met2 ( 241730 15130 ) ( * 15300 )
NEW met1 ( 241730 15130 ) ( 242650 * )
NEW met2 ( 242650 6970 ) ( * 15130 )
NEW met2 ( 241270 15300 ) ( * 20060 )
NEW met3 ( 215510 20060 ) ( 241270 * )
NEW met2 ( 215510 20060 ) ( * 26350 )
NEW met1 ( 206770 26350 ) ( 215510 * )
NEW met1 ( 240810 15130 ) ( 241730 * )
NEW met2 ( 241270 15300 ) ( 241730 * )
NEW met1 ( 245410 6970 ) M1M2_PR
NEW met1 ( 242650 6970 ) M1M2_PR
NEW met1 ( 241730 15130 ) M1M2_PR
NEW met1 ( 242650 15130 ) M1M2_PR
NEW li1 ( 240810 15130 ) L1M1_PR_MR
NEW met2 ( 241270 20060 ) M2M3_PR_M
NEW met2 ( 215510 20060 ) M2M3_PR_M
NEW met1 ( 215510 26350 ) M1M2_PR
NEW li1 ( 206770 26350 ) L1M1_PR_MR ;
- dout0[22] ( PIN dout0[22] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met2 ( 8050 187170 ) ( * 187340 )
NEW met3 ( 3220 187340 0 ) ( 8050 * )
NEW met1 ( 8050 189210 ) ( 9430 * )
NEW met2 ( 8050 187340 ) ( * 189210 )
NEW li1 ( 8050 187170 ) L1M1_PR_MR
NEW met1 ( 8050 187170 ) M1M2_PR
NEW met2 ( 8050 187340 ) M2M3_PR_M
NEW li1 ( 9430 189210 ) L1M1_PR_MR
NEW met1 ( 8050 189210 ) M1M2_PR
NEW met1 ( 8050 187170 ) RECT ( -355 -70 0 70 ) ;
- dout0[23] ( PIN dout0[23] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 197540 ) ( * 200090 )
NEW met3 ( 3220 197540 0 ) ( 7130 * )
NEW met1 ( 7130 200090 ) ( 10350 * )
NEW li1 ( 7130 200090 ) L1M1_PR_MR
NEW met1 ( 7130 200090 ) M1M2_PR
NEW met2 ( 7130 197540 ) M2M3_PR_M
NEW li1 ( 10350 200090 ) L1M1_PR_MR
NEW met1 ( 7130 200090 ) RECT ( -355 -70 0 70 ) ;
- dout0[24] ( PIN dout0[24] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 216580 ) ( * 216750 )
NEW met3 ( 3220 216580 0 ) ( 7130 * )
NEW met2 ( 7130 216750 ) ( * 218790 )
NEW li1 ( 7130 216750 ) L1M1_PR_MR
NEW met1 ( 7130 216750 ) M1M2_PR
NEW met2 ( 7130 216580 ) M2M3_PR_M
NEW li1 ( 7130 218790 ) L1M1_PR_MR
NEW met1 ( 7130 218790 ) M1M2_PR
NEW met1 ( 7130 216750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 218790 ) RECT ( -355 -70 0 70 ) ;
- dout0[25] ( PIN dout0[25] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 33830 ) ( 247250 * )
NEW li1 ( 247250 20570 ) ( * 33830 )
NEW met1 ( 240810 46750 ) ( 244950 * )
NEW li1 ( 244950 33830 ) ( * 46750 )
NEW met2 ( 247250 3740 0 ) ( * 20570 )
NEW li1 ( 240810 33830 ) L1M1_PR_MR
NEW li1 ( 247250 33830 ) L1M1_PR_MR
NEW li1 ( 247250 20570 ) L1M1_PR_MR
NEW met1 ( 247250 20570 ) M1M2_PR
NEW li1 ( 240810 46750 ) L1M1_PR_MR
NEW li1 ( 244950 46750 ) L1M1_PR_MR
NEW li1 ( 244950 33830 ) L1M1_PR_MR
NEW met1 ( 247250 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 244950 33830 ) RECT ( -595 -70 0 70 ) ;
- dout0[26] ( PIN dout0[26] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met2 ( 8050 225250 ) ( * 226100 )
NEW met3 ( 3220 226100 0 ) ( 8050 * )
NEW met1 ( 8050 227290 ) ( 9430 * )
NEW met2 ( 8050 226100 ) ( * 227290 )
NEW li1 ( 8050 225250 ) L1M1_PR_MR
NEW met1 ( 8050 225250 ) M1M2_PR
NEW met2 ( 8050 226100 ) M2M3_PR_M
NEW li1 ( 9430 227290 ) L1M1_PR_MR
NEW met1 ( 8050 227290 ) M1M2_PR
NEW met1 ( 8050 225250 ) RECT ( -355 -70 0 70 ) ;
- dout0[27] ( PIN dout0[27] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 205020 ) ( * 205530 )
NEW met3 ( 240810 205020 ) ( 246100 * 0 )
NEW met2 ( 240810 203490 ) ( * 205020 )
NEW li1 ( 240810 205530 ) L1M1_PR_MR
NEW met1 ( 240810 205530 ) M1M2_PR
NEW met2 ( 240810 205020 ) M2M3_PR_M
NEW li1 ( 240810 203490 ) L1M1_PR_MR
NEW met1 ( 240810 203490 ) M1M2_PR
NEW met1 ( 240810 205530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240810 203490 ) RECT ( -355 -70 0 70 ) ;
- dout0[28] ( PIN dout0[28] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met1 ( 240350 235110 ) ( 244950 * )
NEW met2 ( 244950 235110 ) ( * 246500 0 )
NEW met1 ( 213210 235790 ) ( 240350 * )
NEW met1 ( 240350 235110 ) ( * 235790 )
NEW li1 ( 240350 235110 ) L1M1_PR_MR
NEW met1 ( 244950 235110 ) M1M2_PR
NEW li1 ( 213210 235790 ) L1M1_PR_MR ;
- dout0[29] ( PIN dout0[29] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met1 ( 228390 12070 ) ( * 12750 )
NEW met1 ( 228390 12750 ) ( 248170 * )
NEW li1 ( 248170 8330 ) ( * 12750 )
NEW met2 ( 248170 3740 0 ) ( * 8330 )
NEW met1 ( 209990 11390 ) ( 213210 * )
NEW met2 ( 213210 11390 ) ( * 12750 )
NEW met1 ( 213210 12750 ) ( 228390 * )
NEW met1 ( 209070 27710 ) ( 209990 * )
NEW met2 ( 209990 11390 ) ( * 27710 )
NEW li1 ( 228390 12070 ) L1M1_PR_MR
NEW li1 ( 248170 12750 ) L1M1_PR_MR
NEW li1 ( 248170 8330 ) L1M1_PR_MR
NEW met1 ( 248170 8330 ) M1M2_PR
NEW met1 ( 209990 11390 ) M1M2_PR
NEW met1 ( 213210 11390 ) M1M2_PR
NEW met1 ( 213210 12750 ) M1M2_PR
NEW met1 ( 209990 27710 ) M1M2_PR
NEW li1 ( 209070 27710 ) L1M1_PR_MR
NEW met1 ( 248170 8330 ) RECT ( -355 -70 0 70 ) ;
- dout0[2] ( PIN dout0[2] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met1 ( 235750 28390 ) ( 238510 * )
NEW met2 ( 235750 28390 ) ( * 44030 )
NEW met1 ( 234370 44030 ) ( 235750 * )
NEW met2 ( 238050 3740 0 ) ( * 28390 )
NEW li1 ( 238510 28390 ) L1M1_PR_MR
NEW met1 ( 235750 28390 ) M1M2_PR
NEW met1 ( 235750 44030 ) M1M2_PR
NEW li1 ( 234370 44030 ) L1M1_PR_MR
NEW met1 ( 238050 28390 ) M1M2_PR
NEW met1 ( 238050 28390 ) RECT ( -595 -70 0 70 ) ;
- dout0[30] ( PIN dout0[30] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 232730 ) ( * 237660 )
NEW met3 ( 240810 237660 ) ( 246100 * 0 )
NEW met2 ( 228850 222530 ) ( * 232730 )
NEW met1 ( 228850 232730 ) ( 240810 * )
NEW li1 ( 240810 232730 ) L1M1_PR_MR
NEW met1 ( 240810 232730 ) M1M2_PR
NEW met2 ( 240810 237660 ) M2M3_PR_M
NEW li1 ( 228850 222530 ) L1M1_PR_MR
NEW met1 ( 228850 222530 ) M1M2_PR
NEW met1 ( 228850 232730 ) M1M2_PR
NEW met1 ( 240810 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 228850 222530 ) RECT ( -355 -70 0 70 ) ;
- dout0[31] ( PIN dout0[31] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met1 ( 234830 229670 ) ( * 230010 )
NEW met1 ( 234830 230010 ) ( 236210 * )
NEW met1 ( 236210 230010 ) ( * 230690 )
NEW met1 ( 236210 230690 ) ( 248630 * )
NEW met2 ( 248630 230690 ) ( * 246500 0 )
NEW met1 ( 219190 226270 ) ( 227470 * )
NEW met2 ( 227470 226270 ) ( * 227290 )
NEW met1 ( 227470 227290 ) ( 233910 * )
NEW met2 ( 233910 227290 ) ( * 229670 )
NEW met1 ( 233910 229670 ) ( 234830 * )
NEW li1 ( 234830 229670 ) L1M1_PR_MR
NEW met1 ( 248630 230690 ) M1M2_PR
NEW li1 ( 219190 226270 ) L1M1_PR_MR
NEW met1 ( 227470 226270 ) M1M2_PR
NEW met1 ( 227470 227290 ) M1M2_PR
NEW met1 ( 233910 227290 ) M1M2_PR
NEW met1 ( 233910 229670 ) M1M2_PR ;
- dout0[3] ( PIN dout0[3] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 52020 ) ( * 52190 )
NEW met3 ( 240810 52020 ) ( 246100 * 0 )
NEW met2 ( 240810 50490 ) ( * 52020 )
NEW li1 ( 240810 52190 ) L1M1_PR_MR
NEW met1 ( 240810 52190 ) M1M2_PR
NEW met2 ( 240810 52020 ) M2M3_PR_M
NEW li1 ( 240810 50490 ) L1M1_PR_MR
NEW met1 ( 240810 50490 ) M1M2_PR
NEW met1 ( 240810 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240810 50490 ) RECT ( -355 -70 0 70 ) ;
- dout0[4] ( PIN dout0[4] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met1 ( 220570 233070 ) ( 221950 * )
NEW met2 ( 220570 233070 ) ( * 246500 0 )
NEW met1 ( 216430 227630 ) ( 220570 * )
NEW met2 ( 220570 227630 ) ( * 233070 )
NEW li1 ( 221950 233070 ) L1M1_PR_MR
NEW met1 ( 220570 233070 ) M1M2_PR
NEW li1 ( 216430 227630 ) L1M1_PR_MR
NEW met1 ( 220570 227630 ) M1M2_PR ;
- dout0[5] ( PIN dout0[5] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 227930 15130 ) ( * 19890 )
NEW met1 ( 217810 19890 ) ( 227930 * )
NEW met2 ( 217810 18190 ) ( * 19890 )
NEW met1 ( 211830 18190 ) ( 217810 * )
NEW met2 ( 211830 18190 ) ( * 25330 )
NEW met3 ( 227930 14620 ) ( 238510 * )
NEW met2 ( 227930 14620 ) ( * 15130 )
NEW met1 ( 204010 25330 ) ( 211830 * )
NEW met2 ( 238510 3740 0 ) ( * 14620 )
NEW li1 ( 204010 25330 ) L1M1_PR_MR
NEW li1 ( 227930 15130 ) L1M1_PR_MR
NEW met1 ( 227930 15130 ) M1M2_PR
NEW met1 ( 227930 19890 ) M1M2_PR
NEW met1 ( 217810 19890 ) M1M2_PR
NEW met1 ( 217810 18190 ) M1M2_PR
NEW met1 ( 211830 18190 ) M1M2_PR
NEW met1 ( 211830 25330 ) M1M2_PR
NEW met2 ( 238510 14620 ) M2M3_PR_M
NEW met2 ( 227930 14620 ) M2M3_PR_M
NEW met1 ( 227930 15130 ) RECT ( -355 -70 0 70 ) ;
- dout0[6] ( PIN dout0[6] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 222410 235110 ) ( 227470 * )
NEW met1 ( 222410 235110 ) ( * 235450 )
NEW met2 ( 222410 235450 ) ( * 246500 0 )
NEW met1 ( 225170 222530 ) ( 225630 * )
NEW met2 ( 225170 222530 ) ( * 235110 )
NEW li1 ( 227470 235110 ) L1M1_PR_MR
NEW met1 ( 222410 235450 ) M1M2_PR
NEW li1 ( 225630 222530 ) L1M1_PR_MR
NEW met1 ( 225170 222530 ) M1M2_PR
NEW met1 ( 225170 235110 ) M1M2_PR
NEW met1 ( 225170 235110 ) RECT ( -595 -70 0 70 ) ;
- dout0[7] ( PIN dout0[7] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 83810 ) ( * 83980 )
NEW met3 ( 240810 83980 ) ( 246100 * 0 )
NEW met1 ( 240350 85850 ) ( 240810 * )
NEW met2 ( 240810 83980 ) ( * 85850 )
NEW li1 ( 240810 83810 ) L1M1_PR_MR
NEW met1 ( 240810 83810 ) M1M2_PR
NEW met2 ( 240810 83980 ) M2M3_PR_M
NEW li1 ( 240350 85850 ) L1M1_PR_MR
NEW met1 ( 240810 85850 ) M1M2_PR
NEW met1 ( 240810 83810 ) RECT ( -355 -70 0 70 ) ;
- dout0[8] ( PIN dout0[8] ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 224250 229670 ) ( 225170 * )
NEW met2 ( 224250 229670 ) ( * 246500 0 )
NEW met1 ( 211370 230010 ) ( 224250 * )
NEW met1 ( 224250 229670 ) ( * 230010 )
NEW li1 ( 225170 229670 ) L1M1_PR_MR
NEW met1 ( 224250 229670 ) M1M2_PR
NEW li1 ( 211370 230010 ) L1M1_PR_MR ;
- dout0[9] ( PIN dout0[9] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 92140 ) ( * 93670 )
NEW met3 ( 240810 92140 ) ( 246100 * 0 )
NEW met1 ( 237130 93670 ) ( 240810 * )
NEW li1 ( 240810 93670 ) L1M1_PR_MR
NEW met1 ( 240810 93670 ) M1M2_PR
NEW met2 ( 240810 92140 ) M2M3_PR_M
NEW li1 ( 237130 93670 ) L1M1_PR_MR
NEW met1 ( 240810 93670 ) RECT ( -355 -70 0 70 ) ;
- dout1[0] ( PIN dout1[0] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 52700 ) ( * 54910 )
NEW met3 ( 3220 52700 0 ) ( 7130 * )
NEW met1 ( 7130 53550 ) ( 9430 * )
NEW li1 ( 7130 54910 ) L1M1_PR_MR
NEW met1 ( 7130 54910 ) M1M2_PR
NEW met2 ( 7130 52700 ) M2M3_PR_M
NEW li1 ( 9430 53550 ) L1M1_PR_MR
NEW met1 ( 7130 53550 ) M1M2_PR
NEW met1 ( 7130 54910 ) RECT ( -355 -70 0 70 )
NEW met2 ( 7130 53550 ) RECT ( -70 -485 70 0 ) ;
- dout1[10] ( PIN dout1[10] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met2 ( 8050 100130 ) ( * 100980 )
NEW met3 ( 3220 100980 0 ) ( 8050 * )
NEW met1 ( 8050 102170 ) ( 9430 * )
NEW met2 ( 8050 100980 ) ( * 102170 )
NEW li1 ( 8050 100130 ) L1M1_PR_MR
NEW met1 ( 8050 100130 ) M1M2_PR
NEW met2 ( 8050 100980 ) M2M3_PR_M
NEW li1 ( 9430 102170 ) L1M1_PR_MR
NEW met1 ( 8050 102170 ) M1M2_PR
NEW met1 ( 8050 100130 ) RECT ( -355 -70 0 70 ) ;
- dout1[11] ( PIN dout1[11] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met1 ( 226090 233070 ) ( 227010 * )
NEW met2 ( 226090 233070 ) ( * 246500 0 )
NEW met2 ( 225630 219810 ) ( * 232220 )
NEW met2 ( 225630 232220 ) ( 226090 * )
NEW met2 ( 226090 232220 ) ( * 233070 )
NEW li1 ( 227010 233070 ) L1M1_PR_MR
NEW met1 ( 226090 233070 ) M1M2_PR
NEW li1 ( 225630 219810 ) L1M1_PR_MR
NEW met1 ( 225630 219810 ) M1M2_PR
NEW met1 ( 225630 219810 ) RECT ( -355 -70 0 70 ) ;
- dout1[12] ( PIN dout1[12] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 130220 0 ) ( 8050 * )
NEW met2 ( 8050 130050 ) ( * 130220 )
NEW met1 ( 8050 131410 ) ( 9430 * )
NEW met2 ( 8050 130220 ) ( * 131410 )
NEW met2 ( 8050 130220 ) M2M3_PR_M
NEW li1 ( 8050 130050 ) L1M1_PR_MR
NEW met1 ( 8050 130050 ) M1M2_PR
NEW met1 ( 8050 131410 ) M1M2_PR
NEW li1 ( 9430 131410 ) L1M1_PR_MR
NEW met1 ( 8050 130050 ) RECT ( -355 -70 0 70 )
NEW met2 ( 8050 130050 ) RECT ( -70 -315 70 0 ) ;
- dout1[13] ( PIN dout1[13] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 235290 20570 ) ( 236670 * )
NEW met1 ( 236670 20570 ) ( * 20910 )
NEW met2 ( 236670 20910 ) ( * 44370 )
NEW met1 ( 231610 44370 ) ( 236670 * )
NEW met1 ( 235750 14790 ) ( 241270 * )
NEW met2 ( 235750 14790 ) ( * 20570 )
NEW met2 ( 241270 3740 0 ) ( * 14790 )
NEW li1 ( 235290 20570 ) L1M1_PR_MR
NEW met1 ( 236670 20910 ) M1M2_PR
NEW met1 ( 236670 44370 ) M1M2_PR
NEW li1 ( 231610 44370 ) L1M1_PR_MR
NEW met1 ( 241270 14790 ) M1M2_PR
NEW met1 ( 235750 14790 ) M1M2_PR
NEW met1 ( 235750 20570 ) M1M2_PR
NEW met1 ( 235750 20570 ) RECT ( -595 -70 0 70 ) ;
- dout1[14] ( PIN dout1[14] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met2 ( 241730 3740 0 ) ( * 12410 )
NEW met1 ( 240350 12410 ) ( 241730 * )
NEW met1 ( 234830 26350 ) ( 235290 * )
NEW met2 ( 235290 26350 ) ( * 29410 )
NEW met1 ( 218730 29410 ) ( 235290 * )
NEW met2 ( 218730 29410 ) ( * 30430 )
NEW met1 ( 209530 30430 ) ( 218730 * )
NEW met1 ( 235290 26350 ) ( 240350 * )
NEW met2 ( 240350 12410 ) ( * 26350 )
NEW met1 ( 241730 12410 ) M1M2_PR
NEW met1 ( 240350 12410 ) M1M2_PR
NEW li1 ( 234830 26350 ) L1M1_PR_MR
NEW met1 ( 235290 26350 ) M1M2_PR
NEW met1 ( 235290 29410 ) M1M2_PR
NEW met1 ( 218730 29410 ) M1M2_PR
NEW met1 ( 218730 30430 ) M1M2_PR
NEW li1 ( 209530 30430 ) L1M1_PR_MR
NEW met1 ( 240350 26350 ) M1M2_PR ;
- dout1[15] ( PIN dout1[15] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met1 ( 233910 233070 ) ( 234830 * )
NEW met2 ( 233910 233070 ) ( * 246500 0 )
NEW met2 ( 234830 217090 ) ( * 233070 )
NEW li1 ( 234830 233070 ) L1M1_PR_MR
NEW met1 ( 233910 233070 ) M1M2_PR
NEW li1 ( 234830 217090 ) L1M1_PR_MR
NEW met1 ( 234830 217090 ) M1M2_PR
NEW met1 ( 234830 233070 ) M1M2_PR
NEW met1 ( 234830 217090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 234830 233070 ) RECT ( -595 -70 0 70 ) ;
- dout1[16] ( PIN dout1[16] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met1 ( 231150 17510 ) ( 231610 * )
NEW met2 ( 231150 17510 ) ( * 21250 )
NEW met1 ( 222410 21250 ) ( 231150 * )
NEW met2 ( 222410 21250 ) ( * 23290 )
NEW met1 ( 212290 23290 ) ( 222410 * )
NEW met2 ( 212290 23290 ) ( * 28050 )
NEW met1 ( 211370 28050 ) ( 212290 * )
NEW met1 ( 211370 27710 ) ( * 28050 )
NEW met1 ( 210450 27710 ) ( 211370 * )
NEW met1 ( 210450 27710 ) ( * 28050 )
NEW met1 ( 208610 28050 ) ( 210450 * )
NEW met1 ( 208610 27710 ) ( * 28050 )
NEW met1 ( 239430 18190 ) ( 242190 * )
NEW met2 ( 239430 18190 ) ( * 19550 )
NEW met1 ( 231150 19550 ) ( 239430 * )
NEW met1 ( 206310 27710 ) ( 208610 * )
NEW met2 ( 242190 3740 0 ) ( * 18190 )
NEW li1 ( 206310 27710 ) L1M1_PR_MR
NEW li1 ( 231610 17510 ) L1M1_PR_MR
NEW met1 ( 231150 17510 ) M1M2_PR
NEW met1 ( 231150 21250 ) M1M2_PR
NEW met1 ( 222410 21250 ) M1M2_PR
NEW met1 ( 222410 23290 ) M1M2_PR
NEW met1 ( 212290 23290 ) M1M2_PR
NEW met1 ( 212290 28050 ) M1M2_PR
NEW met1 ( 242190 18190 ) M1M2_PR
NEW met1 ( 239430 18190 ) M1M2_PR
NEW met1 ( 239430 19550 ) M1M2_PR
NEW met1 ( 231150 19550 ) M1M2_PR
NEW met2 ( 231150 19550 ) RECT ( -70 -485 70 0 ) ;
- dout1[17] ( PIN dout1[17] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 156740 ) ( * 158950 )
NEW met3 ( 240810 156740 ) ( 246100 * 0 )
NEW met1 ( 237130 158950 ) ( 240810 * )
NEW li1 ( 240810 158950 ) L1M1_PR_MR
NEW met1 ( 240810 158950 ) M1M2_PR
NEW met2 ( 240810 156740 ) M2M3_PR_M
NEW li1 ( 237130 158950 ) L1M1_PR_MR
NEW met1 ( 240810 158950 ) RECT ( -355 -70 0 70 ) ;
- dout1[18] ( PIN dout1[18] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 243110 3740 0 ) ( * 15810 )
NEW met2 ( 235290 15130 ) ( * 15300 )
NEW met3 ( 200790 15300 ) ( 235290 * )
NEW met2 ( 200790 15300 ) ( * 24990 )
NEW met1 ( 238970 15130 ) ( * 15810 )
NEW met1 ( 235290 15130 ) ( 238970 * )
NEW met1 ( 238970 15810 ) ( 243110 * )
NEW met1 ( 243110 15810 ) M1M2_PR
NEW li1 ( 235290 15130 ) L1M1_PR_MR
NEW met1 ( 235290 15130 ) M1M2_PR
NEW met2 ( 235290 15300 ) M2M3_PR_M
NEW met2 ( 200790 15300 ) M2M3_PR_M
NEW li1 ( 200790 24990 ) L1M1_PR_MR
NEW met1 ( 200790 24990 ) M1M2_PR
NEW met1 ( 235290 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 200790 24990 ) RECT ( -355 -70 0 70 ) ;
- dout1[19] ( PIN dout1[19] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met2 ( 244030 3740 0 ) ( * 4590 )
NEW met1 ( 244030 4590 ) ( * 5270 )
NEW met1 ( 244030 5270 ) ( 244490 * )
NEW met2 ( 244490 5270 ) ( * 7820 )
NEW met2 ( 244490 7820 ) ( 245410 * )
NEW met1 ( 230690 22950 ) ( 231150 * )
NEW met2 ( 231150 22950 ) ( * 46750 )
NEW met1 ( 231150 22610 ) ( 245410 * )
NEW met1 ( 231150 22610 ) ( * 22950 )
NEW met2 ( 245410 7820 ) ( * 22610 )
NEW met1 ( 244030 4590 ) M1M2_PR
NEW met1 ( 244490 5270 ) M1M2_PR
NEW li1 ( 230690 22950 ) L1M1_PR_MR
NEW met1 ( 231150 22950 ) M1M2_PR
NEW li1 ( 231150 46750 ) L1M1_PR_MR
NEW met1 ( 231150 46750 ) M1M2_PR
NEW met1 ( 245410 22610 ) M1M2_PR
NEW met1 ( 231150 46750 ) RECT ( -355 -70 0 70 ) ;
- dout1[1] ( PIN dout1[1] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met1 ( 235290 31450 ) ( 240810 * )
NEW met2 ( 235290 31450 ) ( * 46750 )
NEW met3 ( 240810 27540 ) ( 246100 * 0 )
NEW met2 ( 240810 27540 ) ( * 31450 )
NEW li1 ( 240810 31450 ) L1M1_PR_MR
NEW met1 ( 235290 31450 ) M1M2_PR
NEW li1 ( 235290 46750 ) L1M1_PR_MR
NEW met1 ( 235290 46750 ) M1M2_PR
NEW met2 ( 240810 27540 ) M2M3_PR_M
NEW met1 ( 240810 31450 ) M1M2_PR
NEW met1 ( 235290 46750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240810 31450 ) RECT ( -595 -70 0 70 ) ;
- dout1[20] ( PIN dout1[20] ) ( ANTENNA_input45_A DIODE ) ( input45 A ) + USE SIGNAL
+ ROUTED met2 ( 244950 3740 0 ) ( * 7310 )
NEW met1 ( 244950 7310 ) ( * 8330 )
NEW met2 ( 244950 8330 ) ( * 14110 )
NEW met1 ( 221950 12070 ) ( 226550 * )
NEW met2 ( 226550 12070 ) ( * 42330 )
NEW met1 ( 223330 42330 ) ( 226550 * )
NEW met2 ( 226090 14110 ) ( 226550 * )
NEW met1 ( 226090 14110 ) ( 244950 * )
NEW met1 ( 244950 7310 ) M1M2_PR
NEW met1 ( 244950 8330 ) M1M2_PR
NEW met1 ( 244950 14110 ) M1M2_PR
NEW li1 ( 221950 12070 ) L1M1_PR_MR
NEW met1 ( 226550 12070 ) M1M2_PR
NEW met1 ( 226550 42330 ) M1M2_PR
NEW li1 ( 223330 42330 ) L1M1_PR_MR
NEW met1 ( 226090 14110 ) M1M2_PR ;
- dout1[21] ( PIN dout1[21] ) ( ANTENNA_input46_A DIODE ) ( input46 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 177820 ) ( * 178330 )
NEW met3 ( 3220 177820 0 ) ( 7130 * )
NEW met1 ( 7130 178330 ) ( 10350 * )
NEW li1 ( 7130 178330 ) L1M1_PR_MR
NEW met1 ( 7130 178330 ) M1M2_PR
NEW met2 ( 7130 177820 ) M2M3_PR_M
NEW li1 ( 10350 178330 ) L1M1_PR_MR
NEW met1 ( 7130 178330 ) RECT ( -355 -70 0 70 ) ;
- dout1[22] ( PIN dout1[22] ) ( ANTENNA_input47_A DIODE ) ( input47 A ) + USE SIGNAL
+ ROUTED met1 ( 225630 20570 ) ( 228850 * )
NEW met1 ( 228850 20570 ) ( * 20910 )
NEW met1 ( 228850 20910 ) ( 234370 * )
NEW met2 ( 234370 20910 ) ( * 22950 )
NEW met1 ( 234370 22950 ) ( 245870 * )
NEW met1 ( 219650 38590 ) ( 221030 * )
NEW met2 ( 221030 28220 ) ( * 38590 )
NEW met3 ( 221030 28220 ) ( 223100 * )
NEW met3 ( 223100 27540 ) ( * 28220 )
NEW met3 ( 223100 27540 ) ( 225170 * )
NEW met2 ( 225170 20570 ) ( * 27540 )
NEW met1 ( 225170 20570 ) ( 225630 * )
NEW met2 ( 245870 3740 0 ) ( * 22950 )
NEW li1 ( 225630 20570 ) L1M1_PR_MR
NEW met1 ( 234370 20910 ) M1M2_PR
NEW met1 ( 234370 22950 ) M1M2_PR
NEW met1 ( 245870 22950 ) M1M2_PR
NEW li1 ( 219650 38590 ) L1M1_PR_MR
NEW met1 ( 221030 38590 ) M1M2_PR
NEW met2 ( 221030 28220 ) M2M3_PR_M
NEW met2 ( 225170 27540 ) M2M3_PR_M
NEW met1 ( 225170 20570 ) M1M2_PR ;
- dout1[23] ( PIN dout1[23] ) ( ANTENNA_input48_A DIODE ) ( input48 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 207060 ) ( * 207910 )
NEW met3 ( 3220 207060 0 ) ( 7130 * )
NEW met1 ( 7130 207910 ) ( 10350 * )
NEW li1 ( 7130 207910 ) L1M1_PR_MR
NEW met1 ( 7130 207910 ) M1M2_PR
NEW met2 ( 7130 207060 ) M2M3_PR_M
NEW li1 ( 10350 207910 ) L1M1_PR_MR
NEW met1 ( 7130 207910 ) RECT ( -355 -70 0 70 ) ;
- dout1[24] ( PIN dout1[24] ) ( ANTENNA_input49_A DIODE ) ( input49 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 26010 ) ( * 26690 )
NEW met1 ( 230230 26690 ) ( 240810 * )
NEW met2 ( 230230 26690 ) ( * 26860 )
NEW met3 ( 208610 26860 ) ( 230230 * )
NEW met2 ( 208610 26860 ) ( * 30430 )
NEW met1 ( 240810 26010 ) ( 246790 * )
NEW met1 ( 206770 30430 ) ( 208610 * )
NEW met2 ( 246790 3740 0 ) ( * 26010 )
NEW li1 ( 206770 30430 ) L1M1_PR_MR
NEW li1 ( 240810 26010 ) L1M1_PR_MR
NEW met1 ( 230230 26690 ) M1M2_PR
NEW met2 ( 230230 26860 ) M2M3_PR_M
NEW met2 ( 208610 26860 ) M2M3_PR_M
NEW met1 ( 208610 30430 ) M1M2_PR
NEW met1 ( 246790 26010 ) M1M2_PR ;
- dout1[25] ( PIN dout1[25] ) ( ANTENNA_input50_A DIODE ) ( input50 A ) + USE SIGNAL
+ ROUTED met1 ( 240810 39270 ) ( 248170 * )
NEW li1 ( 248170 20570 ) ( * 39270 )
NEW met1 ( 247710 20570 ) ( 248170 * )
NEW met2 ( 234370 39270 ) ( * 49470 )
NEW met1 ( 234370 39270 ) ( 240810 * )
NEW met2 ( 247710 3740 0 ) ( * 20570 )
NEW li1 ( 240810 39270 ) L1M1_PR_MR
NEW li1 ( 248170 39270 ) L1M1_PR_MR
NEW li1 ( 248170 20570 ) L1M1_PR_MR
NEW met1 ( 247710 20570 ) M1M2_PR
NEW li1 ( 234370 49470 ) L1M1_PR_MR
NEW met1 ( 234370 49470 ) M1M2_PR
NEW met1 ( 234370 39270 ) M1M2_PR
NEW met1 ( 234370 49470 ) RECT ( -355 -70 0 70 ) ;
- dout1[26] ( PIN dout1[26] ) ( ANTENNA_input51_A DIODE ) ( input51 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 235110 ) ( * 235620 )
NEW met3 ( 3220 235620 0 ) ( 9430 * )
NEW met1 ( 9430 234770 ) ( * 235110 )
NEW met2 ( 14490 230690 ) ( * 234770 )
NEW met1 ( 9430 234770 ) ( 14490 * )
NEW li1 ( 9430 235110 ) L1M1_PR_MR
NEW met1 ( 9430 235110 ) M1M2_PR
NEW met2 ( 9430 235620 ) M2M3_PR_M
NEW met1 ( 14490 234770 ) M1M2_PR
NEW li1 ( 14490 230690 ) L1M1_PR_MR
NEW met1 ( 14490 230690 ) M1M2_PR
NEW met1 ( 9430 235110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14490 230690 ) RECT ( -355 -70 0 70 ) ;
- dout1[27] ( PIN dout1[27] ) ( ANTENNA_input52_A DIODE ) ( input52 A ) + USE SIGNAL
+ ROUTED met2 ( 240350 213010 ) ( * 213180 )
NEW met3 ( 240350 213180 ) ( 246100 * 0 )
NEW met2 ( 240350 211650 ) ( * 213010 )
NEW li1 ( 240350 213010 ) L1M1_PR_MR
NEW met1 ( 240350 213010 ) M1M2_PR
NEW met2 ( 240350 213180 ) M2M3_PR_M
NEW li1 ( 240350 211650 ) L1M1_PR_MR
NEW met1 ( 240350 211650 ) M1M2_PR
NEW met1 ( 240350 213010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 240350 211650 ) RECT ( -355 -70 0 70 ) ;
- dout1[28] ( PIN dout1[28] ) ( ANTENNA_input53_A DIODE ) ( input53 A ) + USE SIGNAL
+ ROUTED met2 ( 15410 233070 ) ( * 245140 )
NEW met2 ( 15410 225250 ) ( * 233070 )
NEW met1 ( 11270 225250 ) ( 15410 * )
NEW met1 ( 9430 233070 ) ( 15410 * )
NEW met3 ( 3220 245140 0 ) ( 15410 * )
NEW li1 ( 11270 225250 ) L1M1_PR_MR
NEW li1 ( 9430 233070 ) L1M1_PR_MR
NEW met1 ( 15410 233070 ) M1M2_PR
NEW met2 ( 15410 245140 ) M2M3_PR_M
NEW met1 ( 15410 225250 ) M1M2_PR ;
- dout1[29] ( PIN dout1[29] ) ( ANTENNA_input54_A DIODE ) ( input54 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 227290 ) ( * 229500 )
NEW met3 ( 240810 229500 ) ( 246100 * 0 )
NEW met1 ( 232530 216750 ) ( 240810 * )
NEW met2 ( 240810 216750 ) ( * 227290 )
NEW li1 ( 240810 227290 ) L1M1_PR_MR
NEW met1 ( 240810 227290 ) M1M2_PR
NEW met2 ( 240810 229500 ) M2M3_PR_M
NEW li1 ( 232530 216750 ) L1M1_PR_MR
NEW met1 ( 240810 216750 ) M1M2_PR
NEW met1 ( 240810 227290 ) RECT ( -355 -70 0 70 ) ;
- dout1[2] ( PIN dout1[2] ) ( ANTENNA_input55_A DIODE ) ( input55 A ) + USE SIGNAL
+ ROUTED met1 ( 215050 235110 ) ( 215510 * )
NEW met2 ( 215050 235110 ) ( * 246500 0 )
NEW met1 ( 213670 227630 ) ( 215050 * )
NEW met2 ( 215050 227630 ) ( * 235110 )
NEW li1 ( 215510 235110 ) L1M1_PR_MR
NEW met1 ( 215050 235110 ) M1M2_PR
NEW li1 ( 213670 227630 ) L1M1_PR_MR
NEW met1 ( 215050 227630 ) M1M2_PR ;
- dout1[30] ( PIN dout1[30] ) ( ANTENNA_input56_A DIODE ) ( input56 A ) + USE SIGNAL
+ ROUTED met2 ( 230230 20570 ) ( * 22610 )
NEW met1 ( 227470 22610 ) ( 230230 * )
NEW met1 ( 227470 22270 ) ( * 22610 )
NEW met1 ( 220570 22270 ) ( 227470 * )
NEW met2 ( 220570 22270 ) ( * 28390 )
NEW met1 ( 214130 28390 ) ( 220570 * )
NEW met2 ( 214130 28390 ) ( * 28900 )
NEW met3 ( 207230 28900 ) ( 214130 * )
NEW met2 ( 207230 28050 ) ( * 28900 )
NEW li1 ( 249090 20570 ) ( * 25330 )
NEW met1 ( 230230 25330 ) ( 249090 * )
NEW met2 ( 230230 22610 ) ( * 25330 )
NEW met1 ( 203550 28050 ) ( 207230 * )
NEW met2 ( 249090 3740 0 ) ( * 20570 )
NEW li1 ( 203550 28050 ) L1M1_PR_MR
NEW li1 ( 230230 20570 ) L1M1_PR_MR
NEW met1 ( 230230 20570 ) M1M2_PR
NEW met1 ( 230230 22610 ) M1M2_PR
NEW met1 ( 220570 22270 ) M1M2_PR
NEW met1 ( 220570 28390 ) M1M2_PR
NEW met1 ( 214130 28390 ) M1M2_PR
NEW met2 ( 214130 28900 ) M2M3_PR_M
NEW met2 ( 207230 28900 ) M2M3_PR_M
NEW met1 ( 207230 28050 ) M1M2_PR
NEW li1 ( 249090 20570 ) L1M1_PR_MR
NEW met1 ( 249090 20570 ) M1M2_PR
NEW li1 ( 249090 25330 ) L1M1_PR_MR
NEW met1 ( 230230 25330 ) M1M2_PR
NEW met1 ( 230230 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 249090 20570 ) RECT ( -355 -70 0 70 ) ;
- dout1[31] ( PIN dout1[31] ) ( ANTENNA_input57_A DIODE ) ( input57 A ) + USE SIGNAL
+ ROUTED met1 ( 234370 224230 ) ( 240810 * )
NEW met2 ( 234370 224230 ) ( * 245820 )
NEW met3 ( 234370 245820 ) ( 246100 * 0 )
NEW met1 ( 223330 222190 ) ( 234370 * )
NEW met2 ( 234370 222190 ) ( * 224230 )
NEW li1 ( 240810 224230 ) L1M1_PR_MR
NEW met1 ( 234370 224230 ) M1M2_PR
NEW met2 ( 234370 245820 ) M2M3_PR_M
NEW li1 ( 223330 222190 ) L1M1_PR_MR
NEW met1 ( 234370 222190 ) M1M2_PR ;
- dout1[3] ( PIN dout1[3] ) ( ANTENNA_input58_A DIODE ) ( input58 A ) + USE SIGNAL
+ ROUTED met1 ( 216890 235110 ) ( 220570 * )
NEW met1 ( 216890 235110 ) ( * 235450 )
NEW met2 ( 216890 235450 ) ( * 246500 0 )
NEW met2 ( 219190 225250 ) ( * 235110 )
NEW li1 ( 220570 235110 ) L1M1_PR_MR
NEW met1 ( 216890 235450 ) M1M2_PR
NEW li1 ( 219190 225250 ) L1M1_PR_MR
NEW met1 ( 219190 225250 ) M1M2_PR
NEW met1 ( 219190 235110 ) M1M2_PR
NEW met1 ( 219190 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 219190 235110 ) RECT ( -595 -70 0 70 ) ;
- dout1[4] ( PIN dout1[4] ) ( ANTENNA_input59_A DIODE ) ( input59 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 59330 ) ( * 60180 )
NEW met3 ( 240810 60180 ) ( 246100 * 0 )
NEW met1 ( 240350 60690 ) ( 240810 * )
NEW met2 ( 240810 60180 ) ( * 60690 )
NEW li1 ( 240810 59330 ) L1M1_PR_MR
NEW met1 ( 240810 59330 ) M1M2_PR
NEW met2 ( 240810 60180 ) M2M3_PR_M
NEW li1 ( 240350 60690 ) L1M1_PR_MR
NEW met1 ( 240810 60690 ) M1M2_PR
NEW met1 ( 240810 59330 ) RECT ( -355 -70 0 70 ) ;
- dout1[5] ( PIN dout1[5] ) ( ANTENNA_input60_A DIODE ) ( input60 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 75820 ) ( * 77350 )
NEW met3 ( 240810 75820 ) ( 246100 * 0 )
NEW met1 ( 237130 77350 ) ( 240810 * )
NEW li1 ( 240810 77350 ) L1M1_PR_MR
NEW met1 ( 240810 77350 ) M1M2_PR
NEW met2 ( 240810 75820 ) M2M3_PR_M
NEW li1 ( 237130 77350 ) L1M1_PR_MR
NEW met1 ( 240810 77350 ) RECT ( -355 -70 0 70 ) ;
- dout1[6] ( PIN dout1[6] ) ( ANTENNA_input61_A DIODE ) ( input61 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 72420 ) ( * 72590 )
NEW met3 ( 3220 72420 0 ) ( 7130 * )
NEW met2 ( 7130 72590 ) ( * 74630 )
NEW li1 ( 7130 72590 ) L1M1_PR_MR
NEW met1 ( 7130 72590 ) M1M2_PR
NEW met2 ( 7130 72420 ) M2M3_PR_M
NEW li1 ( 7130 74630 ) L1M1_PR_MR
NEW met1 ( 7130 74630 ) M1M2_PR
NEW met1 ( 7130 72590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 74630 ) RECT ( -355 -70 0 70 ) ;
- dout1[7] ( PIN dout1[7] ) ( ANTENNA_input62_A DIODE ) ( input62 A ) + USE SIGNAL
+ ROUTED met1 ( 219190 15130 ) ( 220110 * )
NEW met2 ( 219190 10370 ) ( * 15130 )
NEW met1 ( 219190 10370 ) ( 239430 * )
NEW met2 ( 239430 3740 0 ) ( * 10370 )
NEW met2 ( 198490 19380 ) ( * 24990 )
NEW met3 ( 198490 19380 ) ( 219190 * )
NEW met2 ( 219190 15130 ) ( * 19380 )
NEW li1 ( 220110 15130 ) L1M1_PR_MR
NEW met1 ( 219190 15130 ) M1M2_PR
NEW met1 ( 219190 10370 ) M1M2_PR
NEW met1 ( 239430 10370 ) M1M2_PR
NEW li1 ( 198490 24990 ) L1M1_PR_MR
NEW met1 ( 198490 24990 ) M1M2_PR
NEW met2 ( 198490 19380 ) M2M3_PR_M
NEW met2 ( 219190 19380 ) M2M3_PR_M
NEW met1 ( 198490 24990 ) RECT ( -355 -70 0 70 ) ;
- dout1[8] ( PIN dout1[8] ) ( ANTENNA_input63_A DIODE ) ( input63 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 91460 ) ( * 93330 )
NEW met3 ( 3220 91460 0 ) ( 9430 * )
NEW met1 ( 9430 93330 ) ( 13570 * )
NEW li1 ( 9430 93330 ) L1M1_PR_MR
NEW met1 ( 9430 93330 ) M1M2_PR
NEW met2 ( 9430 91460 ) M2M3_PR_M
NEW li1 ( 13570 93330 ) L1M1_PR_MR
NEW met1 ( 9430 93330 ) RECT ( -355 -70 0 70 ) ;
- dout1[9] ( PIN dout1[9] ) ( ANTENNA_input64_A DIODE ) ( input64 A ) + USE SIGNAL
+ ROUTED met2 ( 240810 100130 ) ( * 100300 )
NEW met3 ( 240810 100300 ) ( 246100 * 0 )
NEW met1 ( 240350 102170 ) ( 240810 * )
NEW met2 ( 240810 100300 ) ( * 102170 )
NEW li1 ( 240810 100130 ) L1M1_PR_MR
NEW met1 ( 240810 100130 ) M1M2_PR
NEW met2 ( 240810 100300 ) M2M3_PR_M
NEW li1 ( 240350 102170 ) L1M1_PR_MR
NEW met1 ( 240810 102170 ) M1M2_PR
NEW met1 ( 240810 100130 ) RECT ( -355 -70 0 70 ) ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_index ( ANTENNA__104__A DIODE ) ( ANTENNA__105__A1 DIODE ) ( ANTENNA__132__A1 DIODE ) ( _476_ Q ) ( _132_ A1 ) ( _105_ A1 ) ( _104_ A ) + USE SIGNAL
+ ROUTED met2 ( 234830 181730 ) ( * 183770 )
NEW met1 ( 234830 183770 ) ( 238050 * )
NEW met1 ( 234830 181730 ) ( 235750 * )
NEW met1 ( 227700 161330 ) ( * 161670 )
NEW met1 ( 227700 161670 ) ( 235750 * )
NEW met2 ( 235750 161670 ) ( * 181730 )
NEW met1 ( 204010 71230 ) ( * 71910 )
NEW met1 ( 196190 71230 ) ( 204010 * )
NEW met2 ( 196190 56100 ) ( * 71230 )
NEW met2 ( 54050 55420 ) ( * 55590 )
NEW met1 ( 52670 58650 ) ( 54050 * )
NEW met2 ( 54050 55590 ) ( * 58650 )
NEW met3 ( 158700 56100 ) ( 196190 * )
NEW met3 ( 158700 55420 ) ( * 56100 )
NEW met3 ( 150420 56100 ) ( 150650 * )
NEW met3 ( 150420 55420 ) ( * 56100 )
NEW met3 ( 54050 55420 ) ( 158700 * )
NEW met2 ( 150650 56100 ) ( * 110400 )
NEW met2 ( 150190 110400 ) ( 150650 * )
NEW met1 ( 152030 159630 ) ( 152490 * )
NEW met2 ( 152490 159630 ) ( * 161330 )
NEW met1 ( 150190 159630 ) ( 152030 * )
NEW met2 ( 150190 110400 ) ( * 159630 )
NEW met1 ( 152490 161330 ) ( 227700 * )
NEW li1 ( 234830 181730 ) L1M1_PR_MR
NEW met1 ( 234830 181730 ) M1M2_PR
NEW met1 ( 234830 183770 ) M1M2_PR
NEW li1 ( 238050 183770 ) L1M1_PR_MR
NEW met1 ( 235750 181730 ) M1M2_PR
NEW met1 ( 235750 161670 ) M1M2_PR
NEW li1 ( 196190 71230 ) L1M1_PR_MR
NEW met1 ( 196190 71230 ) M1M2_PR
NEW li1 ( 204010 71910 ) L1M1_PR_MR
NEW met2 ( 196190 56100 ) M2M3_PR_M
NEW li1 ( 54050 55590 ) L1M1_PR_MR
NEW met1 ( 54050 55590 ) M1M2_PR
NEW met2 ( 54050 55420 ) M2M3_PR_M
NEW li1 ( 52670 58650 ) L1M1_PR_MR
NEW met1 ( 54050 58650 ) M1M2_PR
NEW met2 ( 150650 56100 ) M2M3_PR_M
NEW li1 ( 152030 159630 ) L1M1_PR_MR
NEW met1 ( 152490 159630 ) M1M2_PR
NEW met1 ( 152490 161330 ) M1M2_PR
NEW met1 ( 150190 159630 ) M1M2_PR
NEW met1 ( 234830 181730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 196190 71230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 54050 55590 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[0] ( PIN io_oeb[0] ) ( output172 X ) + USE SIGNAL
+ ROUTED met2 ( 2990 234430 ) ( * 246500 0 )
NEW met1 ( 2990 234430 ) ( 14030 * )
NEW met1 ( 2990 234430 ) M1M2_PR
NEW li1 ( 14030 234430 ) L1M1_PR_MR ;
- io_oeb[10] ( PIN io_oeb[10] ) ( output173 X ) + USE SIGNAL
+ ROUTED met1 ( 59110 236130 ) ( 60490 * )
NEW met2 ( 59110 236130 ) ( * 246500 0 )
NEW li1 ( 60490 236130 ) L1M1_PR_MR
NEW met1 ( 59110 236130 ) M1M2_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( output174 X ) + USE SIGNAL
+ ROUTED met1 ( 64630 233410 ) ( 65550 * )
NEW met2 ( 64630 233410 ) ( * 246500 0 )
NEW li1 ( 65550 233410 ) L1M1_PR_MR
NEW met1 ( 64630 233410 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( output175 X ) + USE SIGNAL
+ ROUTED met1 ( 70610 236130 ) ( 71530 * )
NEW met2 ( 70610 236130 ) ( * 246500 0 )
NEW li1 ( 71530 236130 ) L1M1_PR_MR
NEW met1 ( 70610 236130 ) M1M2_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( output176 X ) + USE SIGNAL
+ ROUTED met1 ( 76130 236130 ) ( 77050 * )
NEW met2 ( 76130 236130 ) ( * 246500 0 )
NEW li1 ( 77050 236130 ) L1M1_PR_MR
NEW met1 ( 76130 236130 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( output177 X ) + USE SIGNAL
+ ROUTED met1 ( 81650 233410 ) ( 83950 * )
NEW met2 ( 81650 233410 ) ( * 246500 0 )
NEW li1 ( 83950 233410 ) L1M1_PR_MR
NEW met1 ( 81650 233410 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( output178 X ) + USE SIGNAL
+ ROUTED met1 ( 87170 234430 ) ( 89930 * )
NEW met2 ( 87170 234430 ) ( * 246500 0 )
NEW li1 ( 89930 234430 ) L1M1_PR_MR
NEW met1 ( 87170 234430 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( output179 X ) + USE SIGNAL
+ ROUTED met1 ( 93150 234430 ) ( 96830 * )
NEW met2 ( 93150 234430 ) ( * 246500 0 )
NEW li1 ( 96830 234430 ) L1M1_PR_MR
NEW met1 ( 93150 234430 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( output180 X ) + USE SIGNAL
+ ROUTED met1 ( 98670 236130 ) ( 100510 * )
NEW met2 ( 98670 236130 ) ( * 246500 0 )
NEW li1 ( 100510 236130 ) L1M1_PR_MR
NEW met1 ( 98670 236130 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( output181 X ) + USE SIGNAL
+ ROUTED met1 ( 104190 236130 ) ( 105570 * )
NEW met2 ( 104190 236130 ) ( * 246500 0 )
NEW li1 ( 105570 236130 ) L1M1_PR_MR
NEW met1 ( 104190 236130 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( output182 X ) + USE SIGNAL
+ ROUTED met2 ( 109710 237150 ) ( * 246500 0 )
NEW met1 ( 110630 236130 ) ( 111090 * )
NEW met2 ( 110630 236130 ) ( * 237150 )
NEW met2 ( 109710 237150 ) ( 110630 * )
NEW li1 ( 111090 236130 ) L1M1_PR_MR
NEW met1 ( 110630 236130 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( output183 X ) + USE SIGNAL
+ ROUTED met2 ( 8510 232050 ) ( * 246500 0 )
NEW met1 ( 8510 232050 ) ( 14030 * )
NEW met1 ( 8510 232050 ) M1M2_PR
NEW li1 ( 14030 232050 ) L1M1_PR_MR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( output184 X ) + USE SIGNAL
+ ROUTED met1 ( 115690 236130 ) ( 116610 * )
NEW met2 ( 115690 236130 ) ( * 246500 0 )
NEW li1 ( 116610 236130 ) L1M1_PR_MR
NEW met1 ( 115690 236130 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( output185 X ) + USE SIGNAL
+ ROUTED met1 ( 121210 236130 ) ( 122590 * )
NEW met2 ( 121210 236130 ) ( * 246500 0 )
NEW li1 ( 122590 236130 ) L1M1_PR_MR
NEW met1 ( 121210 236130 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( output186 X ) + USE SIGNAL
+ ROUTED met1 ( 126730 236130 ) ( 128110 * )
NEW met2 ( 126730 236130 ) ( * 246500 0 )
NEW li1 ( 128110 236130 ) L1M1_PR_MR
NEW met1 ( 126730 236130 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( output187 X ) + USE SIGNAL
+ ROUTED met1 ( 132250 236130 ) ( 135470 * )
NEW met2 ( 132250 236130 ) ( * 246500 0 )
NEW li1 ( 135470 236130 ) L1M1_PR_MR
NEW met1 ( 132250 236130 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( output188 X ) + USE SIGNAL
+ ROUTED met1 ( 138230 236130 ) ( 139150 * )
NEW met2 ( 138230 236130 ) ( * 246500 0 )
NEW li1 ( 139150 236130 ) L1M1_PR_MR
NEW met1 ( 138230 236130 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( output189 X ) + USE SIGNAL
+ ROUTED met1 ( 143750 236130 ) ( 148810 * )
NEW met2 ( 143750 236130 ) ( * 246500 0 )
NEW li1 ( 148810 236130 ) L1M1_PR_MR
NEW met1 ( 143750 236130 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( output190 X ) + USE SIGNAL
+ ROUTED met1 ( 149270 234430 ) ( 152490 * )
NEW met2 ( 149270 234430 ) ( * 246500 0 )
NEW li1 ( 152490 234430 ) L1M1_PR_MR
NEW met1 ( 149270 234430 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( output191 X ) + USE SIGNAL
+ ROUTED met1 ( 154790 233410 ) ( 156170 * )
NEW met2 ( 154790 233410 ) ( * 246500 0 )
NEW li1 ( 156170 233410 ) L1M1_PR_MR
NEW met1 ( 154790 233410 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( output192 X ) + USE SIGNAL
+ ROUTED met1 ( 160770 236130 ) ( 161690 * )
NEW met2 ( 160770 236130 ) ( * 246500 0 )
NEW li1 ( 161690 236130 ) L1M1_PR_MR
NEW met1 ( 160770 236130 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( output193 X ) + USE SIGNAL
+ ROUTED met1 ( 166290 236130 ) ( 167210 * )
NEW met2 ( 166290 236130 ) ( * 246500 0 )
NEW li1 ( 167210 236130 ) L1M1_PR_MR
NEW met1 ( 166290 236130 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( output194 X ) + USE SIGNAL
+ ROUTED met1 ( 14030 233410 ) ( 17710 * )
NEW met2 ( 14030 233410 ) ( * 246500 0 )
NEW li1 ( 17710 233410 ) L1M1_PR_MR
NEW met1 ( 14030 233410 ) M1M2_PR ;
- io_oeb[30] ( PIN io_oeb[30] ) ( output195 X ) + USE SIGNAL
+ ROUTED met1 ( 172730 236130 ) ( 174110 * )
NEW met2 ( 172730 236130 ) ( * 238340 )
NEW met2 ( 171810 238340 ) ( 172730 * )
NEW met2 ( 171810 238340 ) ( * 246500 0 )
NEW li1 ( 174110 236130 ) L1M1_PR_MR
NEW met1 ( 172730 236130 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( output196 X ) + USE SIGNAL
+ ROUTED met1 ( 177330 236130 ) ( 178250 * )
NEW met2 ( 177330 236130 ) ( * 246500 0 )
NEW li1 ( 178250 236130 ) L1M1_PR_MR
NEW met1 ( 177330 236130 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( output197 X ) + USE SIGNAL
+ ROUTED met1 ( 183310 234430 ) ( 187450 * )
NEW met2 ( 183310 234430 ) ( * 246500 0 )
NEW li1 ( 187450 234430 ) L1M1_PR_MR
NEW met1 ( 183310 234430 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( output198 X ) + USE SIGNAL
+ ROUTED met1 ( 188830 236130 ) ( 191130 * )
NEW met2 ( 188830 236130 ) ( * 246500 0 )
NEW li1 ( 191130 236130 ) L1M1_PR_MR
NEW met1 ( 188830 236130 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( output199 X ) + USE SIGNAL
+ ROUTED met1 ( 194350 236130 ) ( 195270 * )
NEW met2 ( 194350 236130 ) ( * 246500 0 )
NEW li1 ( 195270 236130 ) L1M1_PR_MR
NEW met1 ( 194350 236130 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( output200 X ) + USE SIGNAL
+ ROUTED met1 ( 200330 236130 ) ( 201250 * )
NEW met2 ( 200330 236130 ) ( * 236300 )
NEW met2 ( 199870 236300 ) ( 200330 * )
NEW met2 ( 199870 236300 ) ( * 246500 0 )
NEW li1 ( 201250 236130 ) L1M1_PR_MR
NEW met1 ( 200330 236130 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( output201 X ) + USE SIGNAL
+ ROUTED met1 ( 205850 236130 ) ( 206770 * )
NEW met2 ( 205850 236130 ) ( * 246500 0 )
NEW li1 ( 206770 236130 ) L1M1_PR_MR
NEW met1 ( 205850 236130 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( _235_ LO ) + USE SIGNAL
+ ROUTED met2 ( 87170 143650 ) ( * 144670 )
NEW met1 ( 52670 143650 ) ( 87170 * )
NEW met1 ( 142830 144670 ) ( * 145010 )
NEW met1 ( 142830 145010 ) ( 143750 * )
NEW met1 ( 143750 144670 ) ( * 145010 )
NEW met1 ( 143750 144670 ) ( 153870 * )
NEW met1 ( 153870 144670 ) ( * 145010 )
NEW met1 ( 153870 145010 ) ( 157550 * )
NEW met1 ( 157550 144670 ) ( * 145010 )
NEW met1 ( 87170 144670 ) ( 142830 * )
NEW met1 ( 157550 144670 ) ( 207690 * )
NEW met2 ( 207690 144670 ) ( * 207000 )
NEW met2 ( 207690 207000 ) ( 208610 * )
NEW met2 ( 208610 207000 ) ( * 233580 )
NEW met2 ( 208610 233580 ) ( 211370 * )
NEW met2 ( 211370 233580 ) ( * 246500 0 )
NEW met1 ( 207690 144670 ) M1M2_PR
NEW met1 ( 87170 143650 ) M1M2_PR
NEW met1 ( 87170 144670 ) M1M2_PR
NEW li1 ( 52670 143650 ) L1M1_PR_MR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( output202 X ) + USE SIGNAL
+ ROUTED met1 ( 19550 236130 ) ( 20470 * )
NEW met2 ( 19550 236130 ) ( * 246500 0 )
NEW li1 ( 20470 236130 ) L1M1_PR_MR
NEW met1 ( 19550 236130 ) M1M2_PR ;
- io_oeb[4] ( PIN io_oeb[4] ) ( output203 X ) + USE SIGNAL
+ ROUTED met1 ( 25530 236130 ) ( 26450 * )
NEW met2 ( 25530 236130 ) ( * 246500 0 )
NEW li1 ( 26450 236130 ) L1M1_PR_MR
NEW met1 ( 25530 236130 ) M1M2_PR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( output204 X ) + USE SIGNAL
+ ROUTED met1 ( 31050 236130 ) ( 32430 * )
NEW met2 ( 31050 236130 ) ( * 246500 0 )
NEW li1 ( 32430 236130 ) L1M1_PR_MR
NEW met1 ( 31050 236130 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( output205 X ) + USE SIGNAL
+ ROUTED met1 ( 36570 236130 ) ( 37490 * )
NEW met2 ( 36570 236130 ) ( * 246500 0 )
NEW li1 ( 37490 236130 ) L1M1_PR_MR
NEW met1 ( 36570 236130 ) M1M2_PR ;
- io_oeb[7] ( PIN io_oeb[7] ) ( output206 X ) + USE SIGNAL
+ ROUTED met1 ( 42090 236130 ) ( 45310 * )
NEW met2 ( 42090 236130 ) ( * 246500 0 )
NEW li1 ( 45310 236130 ) L1M1_PR_MR
NEW met1 ( 42090 236130 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( output207 X ) + USE SIGNAL
+ ROUTED met1 ( 48530 236130 ) ( 48990 * )
NEW met2 ( 48530 236130 ) ( * 236300 )
NEW met2 ( 48070 236300 ) ( 48530 * )
NEW met2 ( 48070 236300 ) ( * 246500 0 )
NEW li1 ( 48990 236130 ) L1M1_PR_MR
NEW met1 ( 48530 236130 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( output208 X ) + USE SIGNAL
+ ROUTED met1 ( 53590 236130 ) ( 54050 * )
NEW met2 ( 53590 236130 ) ( * 246500 0 )
NEW li1 ( 54050 236130 ) L1M1_PR_MR
NEW met1 ( 53590 236130 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( output209 X ) + USE SIGNAL
+ ROUTED met1 ( 4830 230690 ) ( 7590 * )
NEW met2 ( 4830 230690 ) ( * 246500 0 )
NEW li1 ( 7590 230690 ) L1M1_PR_MR
NEW met1 ( 4830 230690 ) M1M2_PR ;
- io_out[10] ( PIN io_out[10] ) ( output210 X ) + USE SIGNAL
+ ROUTED met1 ( 60950 233410 ) ( 61870 * )
NEW met2 ( 60950 233410 ) ( * 246500 0 )
NEW li1 ( 61870 233410 ) L1M1_PR_MR
NEW met1 ( 60950 233410 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( output211 X ) + USE SIGNAL
+ ROUTED met1 ( 66470 233410 ) ( 69230 * )
NEW met2 ( 66470 233410 ) ( * 246500 0 )
NEW li1 ( 69230 233410 ) L1M1_PR_MR
NEW met1 ( 66470 233410 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( output212 X ) + USE SIGNAL
+ ROUTED met1 ( 72450 233410 ) ( 73370 * )
NEW met2 ( 72450 233410 ) ( * 246500 0 )
NEW li1 ( 73370 233410 ) L1M1_PR_MR
NEW met1 ( 72450 233410 ) M1M2_PR ;
- io_out[13] ( PIN io_out[13] ) ( output213 X ) + USE SIGNAL
+ ROUTED met1 ( 77970 233410 ) ( 78890 * )
NEW met2 ( 77970 233410 ) ( * 246500 0 )
NEW li1 ( 78890 233410 ) L1M1_PR_MR
NEW met1 ( 77970 233410 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( output214 X ) + USE SIGNAL
+ ROUTED met1 ( 83490 232050 ) ( 87630 * )
NEW met2 ( 83490 232050 ) ( * 246500 0 )
NEW li1 ( 87630 232050 ) L1M1_PR_MR
NEW met1 ( 83490 232050 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( output215 X ) + USE SIGNAL
+ ROUTED met1 ( 89010 233410 ) ( 91770 * )
NEW met2 ( 89010 233410 ) ( * 246500 0 )
NEW li1 ( 91770 233410 ) L1M1_PR_MR
NEW met1 ( 89010 233410 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( output216 X ) + USE SIGNAL
+ ROUTED met1 ( 94990 233410 ) ( 95910 * )
NEW met2 ( 94990 233410 ) ( * 246500 0 )
NEW li1 ( 95910 233410 ) L1M1_PR_MR
NEW met1 ( 94990 233410 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( output217 X ) + USE SIGNAL
+ ROUTED met1 ( 100510 233410 ) ( 101430 * )
NEW met2 ( 100510 233410 ) ( * 246500 0 )
NEW li1 ( 101430 233410 ) L1M1_PR_MR
NEW met1 ( 100510 233410 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( output218 X ) + USE SIGNAL
+ ROUTED met1 ( 106030 233410 ) ( 109710 * )
NEW met2 ( 106030 233410 ) ( * 246500 0 )
NEW li1 ( 109710 233410 ) L1M1_PR_MR
NEW met1 ( 106030 233410 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( output219 X ) + USE SIGNAL
+ ROUTED met1 ( 111550 233410 ) ( 113390 * )
NEW met2 ( 111550 233410 ) ( * 246500 0 )
NEW li1 ( 113390 233410 ) L1M1_PR_MR
NEW met1 ( 111550 233410 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( output220 X ) + USE SIGNAL
+ ROUTED met1 ( 10350 230690 ) ( 11270 * )
NEW met2 ( 10350 230690 ) ( * 246500 0 )
NEW li1 ( 11270 230690 ) L1M1_PR_MR
NEW met1 ( 10350 230690 ) M1M2_PR ;
- io_out[20] ( PIN io_out[20] ) ( output221 X ) + USE SIGNAL
+ ROUTED met1 ( 117530 233410 ) ( 118450 * )
NEW met2 ( 117530 233410 ) ( * 246500 0 )
NEW li1 ( 118450 233410 ) L1M1_PR_MR
NEW met1 ( 117530 233410 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( output222 X ) + USE SIGNAL
+ ROUTED met1 ( 123050 233410 ) ( 123970 * )
NEW met2 ( 123050 233410 ) ( * 246500 0 )
NEW li1 ( 123970 233410 ) L1M1_PR_MR
NEW met1 ( 123050 233410 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( output223 X ) + USE SIGNAL
+ ROUTED met1 ( 128570 234430 ) ( 131330 * )
NEW met2 ( 128570 234430 ) ( * 246500 0 )
NEW li1 ( 131330 234430 ) L1M1_PR_MR
NEW met1 ( 128570 234430 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( output224 X ) + USE SIGNAL
+ ROUTED met1 ( 134090 235790 ) ( 142830 * )
NEW met2 ( 134090 235790 ) ( * 246500 0 )
NEW li1 ( 142830 235790 ) L1M1_PR_MR
NEW met1 ( 134090 235790 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( output225 X ) + USE SIGNAL
+ ROUTED met1 ( 140070 233410 ) ( 141910 * )
NEW met2 ( 140070 233410 ) ( * 246500 0 )
NEW li1 ( 141910 233410 ) L1M1_PR_MR
NEW met1 ( 140070 233410 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( output226 X ) + USE SIGNAL
+ ROUTED met1 ( 145590 233410 ) ( 146510 * )
NEW met2 ( 145590 233410 ) ( * 246500 0 )
NEW li1 ( 146510 233410 ) L1M1_PR_MR
NEW met1 ( 145590 233410 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( output227 X ) + USE SIGNAL
+ ROUTED met1 ( 151110 233410 ) ( 152030 * )
NEW met2 ( 151110 233410 ) ( * 246500 0 )
NEW li1 ( 152030 233410 ) L1M1_PR_MR
NEW met1 ( 151110 233410 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( output228 X ) + USE SIGNAL
+ ROUTED met2 ( 156630 233410 ) ( * 246500 0 )
NEW met1 ( 156630 233410 ) ( 161230 * )
NEW li1 ( 161230 233410 ) L1M1_PR_MR
NEW met1 ( 156630 233410 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( output229 X ) + USE SIGNAL
+ ROUTED met1 ( 162610 233410 ) ( 164910 * )
NEW met2 ( 162610 233410 ) ( * 246500 0 )
NEW li1 ( 164910 233410 ) L1M1_PR_MR
NEW met1 ( 162610 233410 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( output230 X ) + USE SIGNAL
+ ROUTED met1 ( 168130 233410 ) ( 169510 * )
NEW met2 ( 168130 233410 ) ( * 246500 0 )
NEW li1 ( 169510 233410 ) L1M1_PR_MR
NEW met1 ( 168130 233410 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( output231 X ) + USE SIGNAL
+ ROUTED met1 ( 15870 232050 ) ( 21390 * )
NEW met2 ( 15870 232050 ) ( * 246500 0 )
NEW li1 ( 21390 232050 ) L1M1_PR_MR
NEW met1 ( 15870 232050 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( output232 X ) + USE SIGNAL
+ ROUTED met1 ( 173650 233410 ) ( 174570 * )
NEW met2 ( 173650 233410 ) ( * 246500 0 )
NEW li1 ( 174570 233410 ) L1M1_PR_MR
NEW met1 ( 173650 233410 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( output233 X ) + USE SIGNAL
+ ROUTED met1 ( 179630 236130 ) ( 182390 * )
NEW met2 ( 179630 236130 ) ( * 236300 )
NEW met2 ( 179170 236300 ) ( 179630 * )
NEW met2 ( 179170 236300 ) ( * 246500 0 )
NEW li1 ( 182390 236130 ) L1M1_PR_MR
NEW met1 ( 179630 236130 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( output234 X ) + USE SIGNAL
+ ROUTED met1 ( 185150 233410 ) ( 187450 * )
NEW met2 ( 185150 233410 ) ( * 246500 0 )
NEW li1 ( 187450 233410 ) L1M1_PR_MR
NEW met1 ( 185150 233410 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( output235 X ) + USE SIGNAL
+ ROUTED met1 ( 190670 233410 ) ( 191590 * )
NEW met2 ( 190670 233410 ) ( * 246500 0 )
NEW li1 ( 191590 233410 ) L1M1_PR_MR
NEW met1 ( 190670 233410 ) M1M2_PR ;
- io_out[34] ( PIN io_out[34] ) ( output236 X ) + USE SIGNAL
+ ROUTED met1 ( 196190 233410 ) ( 197570 * )
NEW met2 ( 196190 233410 ) ( * 246500 0 )
NEW li1 ( 197570 233410 ) L1M1_PR_MR
NEW met1 ( 196190 233410 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( output237 X ) + USE SIGNAL
+ ROUTED met1 ( 201710 233410 ) ( 202630 * )
NEW met2 ( 201710 233410 ) ( * 246500 0 )
NEW li1 ( 202630 233410 ) L1M1_PR_MR
NEW met1 ( 201710 233410 ) M1M2_PR ;
- io_out[36] ( PIN io_out[36] ) ( output238 X ) + USE SIGNAL
+ ROUTED met1 ( 207690 233410 ) ( 213210 * )
NEW met2 ( 207690 233410 ) ( * 246500 0 )
NEW li1 ( 213210 233410 ) L1M1_PR_MR
NEW met1 ( 207690 233410 ) M1M2_PR ;
- io_out[37] ( PIN io_out[37] ) ( output239 X ) + USE SIGNAL
+ ROUTED met1 ( 213210 230690 ) ( 214130 * )
NEW met2 ( 213210 230690 ) ( * 246500 0 )
NEW li1 ( 214130 230690 ) L1M1_PR_MR
NEW met1 ( 213210 230690 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( output240 X ) + USE SIGNAL
+ ROUTED met1 ( 23230 233410 ) ( 25070 * )
NEW met2 ( 23230 233410 ) ( * 237660 )
NEW met2 ( 21390 237660 ) ( 23230 * )
NEW met2 ( 21390 237660 ) ( * 246500 0 )
NEW li1 ( 25070 233410 ) L1M1_PR_MR
NEW met1 ( 23230 233410 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( output241 X ) + USE SIGNAL
+ ROUTED met1 ( 27370 233410 ) ( 32430 * )
NEW met2 ( 27370 233410 ) ( * 246500 0 )
NEW li1 ( 32430 233410 ) L1M1_PR_MR
NEW met1 ( 27370 233410 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( output242 X ) + USE SIGNAL
+ ROUTED met1 ( 32890 233410 ) ( 36110 * )
NEW met2 ( 32890 233410 ) ( * 246500 0 )
NEW li1 ( 36110 233410 ) L1M1_PR_MR
NEW met1 ( 32890 233410 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( output243 X ) + USE SIGNAL
+ ROUTED met1 ( 38410 236130 ) ( 41170 * )
NEW met2 ( 38410 236130 ) ( * 246500 0 )
NEW li1 ( 41170 236130 ) L1M1_PR_MR
NEW met1 ( 38410 236130 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( output244 X ) + USE SIGNAL
+ ROUTED met1 ( 43930 233410 ) ( 44850 * )
NEW met2 ( 43930 233410 ) ( * 246500 0 )
NEW li1 ( 44850 233410 ) L1M1_PR_MR
NEW met1 ( 43930 233410 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( output245 X ) + USE SIGNAL
+ ROUTED met1 ( 49910 230690 ) ( 50830 * )
NEW met2 ( 49910 230690 ) ( * 246500 0 )
NEW li1 ( 50830 230690 ) L1M1_PR_MR
NEW met1 ( 49910 230690 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( output246 X ) + USE SIGNAL
+ ROUTED met1 ( 55430 233410 ) ( 58190 * )
NEW met2 ( 55430 233410 ) ( * 246500 0 )
NEW li1 ( 58190 233410 ) L1M1_PR_MR
NEW met1 ( 55430 233410 ) M1M2_PR ;
- irq[0] ( PIN irq[0] ) ( _236_ LO ) + USE SIGNAL
+ ROUTED met1 ( 236670 20230 ) ( 242190 * )
NEW met2 ( 236670 3740 0 ) ( * 20230 )
NEW met1 ( 135470 207910 ) ( 242190 * )
NEW met2 ( 242190 20230 ) ( * 207910 )
NEW li1 ( 135470 207910 ) L1M1_PR_MR
NEW met1 ( 236670 20230 ) M1M2_PR
NEW met1 ( 242190 20230 ) M1M2_PR
NEW met1 ( 242190 207910 ) M1M2_PR ;
- irq[1] ( PIN irq[1] ) ( _237_ LO ) + USE SIGNAL
+ ROUTED met1 ( 235290 199070 ) ( * 199410 )
NEW met1 ( 235290 199070 ) ( 242650 * )
NEW met2 ( 57270 198050 ) ( * 199410 )
NEW met1 ( 57270 199410 ) ( 235290 * )
NEW met1 ( 237130 20570 ) ( 242650 * )
NEW met2 ( 237130 3740 0 ) ( * 20570 )
NEW met2 ( 242650 20570 ) ( * 199070 )
NEW met1 ( 242650 199070 ) M1M2_PR
NEW li1 ( 57270 198050 ) L1M1_PR_MR
NEW met1 ( 57270 198050 ) M1M2_PR
NEW met1 ( 57270 199410 ) M1M2_PR
NEW met1 ( 237130 20570 ) M1M2_PR
NEW met1 ( 242650 20570 ) M1M2_PR
NEW met1 ( 57270 198050 ) RECT ( -355 -70 0 70 ) ;
- irq[2] ( PIN irq[2] ) ( _238_ LO ) + USE SIGNAL
+ ROUTED met2 ( 55430 146370 ) ( * 148070 )
NEW met1 ( 55430 146370 ) ( 244490 * )
NEW met1 ( 237590 19890 ) ( 244490 * )
NEW met2 ( 237590 3740 0 ) ( * 19890 )
NEW met2 ( 244490 19890 ) ( * 146370 )
NEW met1 ( 244490 146370 ) M1M2_PR
NEW met1 ( 55430 146370 ) M1M2_PR
NEW li1 ( 55430 148070 ) L1M1_PR_MR
NEW met1 ( 55430 148070 ) M1M2_PR
NEW met1 ( 237590 19890 ) M1M2_PR
NEW met1 ( 244490 19890 ) M1M2_PR
NEW met1 ( 55430 148070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( ANTENNA_input65_A DIODE ) ( input65 A ) + USE SIGNAL
+ ROUTED met1 ( 143750 11730 ) ( 144670 * )
NEW met2 ( 143750 3740 0 ) ( * 11730 )
NEW met1 ( 143750 16830 ) ( 144670 * )
NEW met2 ( 143750 11730 ) ( * 16830 )
NEW li1 ( 144670 11730 ) L1M1_PR_MR
NEW met1 ( 143750 11730 ) M1M2_PR
NEW met1 ( 143750 16830 ) M1M2_PR
NEW li1 ( 144670 16830 ) L1M1_PR_MR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( ANTENNA_input66_A DIODE ) ( input66 A ) + USE SIGNAL
+ ROUTED met1 ( 145590 11730 ) ( 150190 * )
NEW met2 ( 145590 3740 0 ) ( * 11730 )
NEW met2 ( 149270 11730 ) ( * 22270 )
NEW li1 ( 150190 11730 ) L1M1_PR_MR
NEW met1 ( 145590 11730 ) M1M2_PR
NEW met1 ( 149270 11730 ) M1M2_PR
NEW li1 ( 149270 22270 ) L1M1_PR_MR
NEW met1 ( 149270 22270 ) M1M2_PR
NEW met1 ( 149270 11730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 149270 22270 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _239_ LO ) + USE SIGNAL
+ ROUTED met3 ( 86020 22100 ) ( * 22780 )
NEW met3 ( 86020 22780 ) ( 104650 * )
NEW met2 ( 104650 22780 ) ( * 33830 )
NEW met2 ( 51750 3740 0 ) ( * 22100 )
NEW met3 ( 51750 22100 ) ( 86020 * )
NEW met1 ( 104650 33830 ) ( 110630 * )
NEW met2 ( 104650 22780 ) M2M3_PR_M
NEW met1 ( 104650 33830 ) M1M2_PR
NEW met2 ( 51750 22100 ) M2M3_PR_M
NEW li1 ( 110630 33830 ) L1M1_PR_MR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( output247 X ) + USE SIGNAL
+ ROUTED met2 ( 196650 3740 0 ) ( * 11390 )
NEW met1 ( 196650 11390 ) ( 199870 * )
NEW met1 ( 196650 11390 ) M1M2_PR
NEW li1 ( 199870 11390 ) L1M1_PR_MR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( output248 X ) + USE SIGNAL
+ ROUTED met1 ( 198030 14110 ) ( 199870 * )
NEW met2 ( 198030 3740 0 ) ( * 14110 )
NEW met1 ( 198030 14110 ) M1M2_PR
NEW li1 ( 199870 14110 ) L1M1_PR_MR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( output249 X ) + USE SIGNAL
+ ROUTED met2 ( 199410 3740 0 ) ( * 7140 )
NEW met2 ( 199410 7140 ) ( 200330 * )
NEW met2 ( 200330 7140 ) ( * 11390 )
NEW met1 ( 200330 11390 ) ( 204010 * )
NEW met1 ( 200330 11390 ) M1M2_PR
NEW li1 ( 204010 11390 ) L1M1_PR_MR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( output250 X ) + USE SIGNAL
+ ROUTED met1 ( 200790 14110 ) ( 203550 * )
NEW met2 ( 200790 3740 0 ) ( * 14110 )
NEW met1 ( 200790 14110 ) M1M2_PR
NEW li1 ( 203550 14110 ) L1M1_PR_MR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( output251 X ) + USE SIGNAL
+ ROUTED met2 ( 202630 3740 0 ) ( * 11730 )
NEW met1 ( 202630 11730 ) ( 207000 * )
NEW met1 ( 207000 11390 ) ( * 11730 )
NEW met1 ( 207000 11390 ) ( 207690 * )
NEW met1 ( 202630 11730 ) M1M2_PR
NEW li1 ( 207690 11390 ) L1M1_PR_MR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( output252 X ) + USE SIGNAL
+ ROUTED met2 ( 204010 3740 0 ) ( * 14110 )
NEW met1 ( 204010 14110 ) ( 207230 * )
NEW met1 ( 204010 14110 ) M1M2_PR
NEW li1 ( 207230 14110 ) L1M1_PR_MR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( output253 X ) + USE SIGNAL
+ ROUTED met1 ( 205390 16830 ) ( 206770 * )
NEW met2 ( 205390 3740 0 ) ( * 16830 )
NEW met1 ( 205390 16830 ) M1M2_PR
NEW li1 ( 206770 16830 ) L1M1_PR_MR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( output254 X ) + USE SIGNAL
+ ROUTED met2 ( 206770 3740 0 ) ( * 8500 )
NEW met2 ( 206310 8500 ) ( 206770 * )
NEW met2 ( 206310 8500 ) ( * 18190 )
NEW met1 ( 206310 18190 ) ( 210450 * )
NEW met1 ( 206310 18190 ) M1M2_PR
NEW li1 ( 210450 18190 ) L1M1_PR_MR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( output255 X ) + USE SIGNAL
+ ROUTED met1 ( 208150 16830 ) ( 214130 * )
NEW met2 ( 208150 3740 0 ) ( * 16830 )
NEW met1 ( 208150 16830 ) M1M2_PR
NEW li1 ( 214130 16830 ) L1M1_PR_MR ;
- la_data_out[109] ( PIN la_data_out[109] ) ( output256 X ) + USE SIGNAL
+ ROUTED met1 ( 209530 19550 ) ( 213210 * )
NEW met2 ( 209530 3740 0 ) ( * 19550 )
NEW met1 ( 209530 19550 ) M1M2_PR
NEW li1 ( 213210 19550 ) L1M1_PR_MR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( _249_ LO ) + USE SIGNAL
+ ROUTED met1 ( 79810 202470 ) ( 103730 * )
NEW met1 ( 66010 37570 ) ( 79810 * )
NEW met2 ( 66010 3740 0 ) ( * 37570 )
NEW met2 ( 79810 37570 ) ( * 202470 )
NEW met1 ( 79810 202470 ) M1M2_PR
NEW li1 ( 103730 202470 ) L1M1_PR_MR
NEW met1 ( 66010 37570 ) M1M2_PR
NEW met1 ( 79810 37570 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( output257 X ) + USE SIGNAL
+ ROUTED met1 ( 210910 22270 ) ( 212290 * )
NEW met2 ( 210910 3740 0 ) ( * 22270 )
NEW met1 ( 210910 22270 ) M1M2_PR
NEW li1 ( 212290 22270 ) L1M1_PR_MR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( output258 X ) + USE SIGNAL
+ ROUTED met1 ( 212750 19890 ) ( 216890 * )
NEW met2 ( 212750 3740 0 ) ( * 19890 )
NEW met1 ( 212750 19890 ) M1M2_PR
NEW li1 ( 216890 19890 ) L1M1_PR_MR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( output259 X ) + USE SIGNAL
+ ROUTED met1 ( 214130 22270 ) ( 215970 * )
NEW met2 ( 214130 3740 0 ) ( * 22270 )
NEW met1 ( 214130 22270 ) M1M2_PR
NEW li1 ( 215970 22270 ) L1M1_PR_MR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( output260 X ) + USE SIGNAL
+ ROUTED met1 ( 215510 19550 ) ( 220110 * )
NEW met2 ( 215510 3740 0 ) ( * 19550 )
NEW met1 ( 215510 19550 ) M1M2_PR
NEW li1 ( 220110 19550 ) L1M1_PR_MR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( output261 X ) + USE SIGNAL
+ ROUTED met1 ( 216890 22270 ) ( 219650 * )
NEW met2 ( 216890 3740 0 ) ( * 22270 )
NEW met1 ( 216890 22270 ) M1M2_PR
NEW li1 ( 219650 22270 ) L1M1_PR_MR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( output262 X ) + USE SIGNAL
+ ROUTED met1 ( 218270 24990 ) ( 219650 * )
NEW met2 ( 218270 3740 0 ) ( * 24990 )
NEW met1 ( 218270 24990 ) M1M2_PR
NEW li1 ( 219650 24990 ) L1M1_PR_MR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( output263 X ) + USE SIGNAL
+ ROUTED met2 ( 219650 3740 0 ) ( * 13800 )
NEW met2 ( 219650 13800 ) ( 221030 * )
NEW met2 ( 221030 13800 ) ( * 24990 )
NEW met1 ( 221030 24990 ) ( 223330 * )
NEW met1 ( 221030 24990 ) M1M2_PR
NEW li1 ( 223330 24990 ) L1M1_PR_MR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( output264 X ) + USE SIGNAL
+ ROUTED met2 ( 221490 3740 0 ) ( * 7140 )
NEW met2 ( 221490 7140 ) ( 221950 * )
NEW met1 ( 221950 25330 ) ( 227010 * )
NEW met2 ( 221950 7140 ) ( * 25330 )
NEW met1 ( 221950 25330 ) M1M2_PR
NEW li1 ( 227010 25330 ) L1M1_PR_MR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( output265 X ) + USE SIGNAL
+ ROUTED met1 ( 222870 27710 ) ( 226090 * )
NEW met2 ( 222870 3740 0 ) ( * 27710 )
NEW met1 ( 222870 27710 ) M1M2_PR
NEW li1 ( 226090 27710 ) L1M1_PR_MR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( output266 X ) + USE SIGNAL
+ ROUTED met1 ( 224250 28050 ) ( 229770 * )
NEW met1 ( 229770 27710 ) ( * 28050 )
NEW met2 ( 224250 3740 0 ) ( * 28050 )
NEW met1 ( 224250 28050 ) M1M2_PR
NEW li1 ( 229770 27710 ) L1M1_PR_MR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _250_ LO ) + USE SIGNAL
+ ROUTED met1 ( 67850 14110 ) ( 70150 * )
NEW met2 ( 70150 14110 ) ( * 22950 )
NEW met1 ( 68310 22950 ) ( 70150 * )
NEW met2 ( 68310 22950 ) ( * 37230 )
NEW met1 ( 68310 37230 ) ( 93610 * )
NEW met2 ( 93610 37230 ) ( * 42330 )
NEW met2 ( 93610 42330 ) ( 94070 * )
NEW met2 ( 67850 3740 0 ) ( * 14110 )
NEW met2 ( 160770 214370 ) ( * 215390 )
NEW met1 ( 96370 214370 ) ( 160770 * )
NEW met3 ( 94070 60860 ) ( 96140 * )
NEW met4 ( 96140 60860 ) ( * 62220 )
NEW met3 ( 96140 62220 ) ( 96370 * )
NEW met2 ( 94070 42330 ) ( * 60860 )
NEW met2 ( 96370 62220 ) ( * 214370 )
NEW met1 ( 67850 14110 ) M1M2_PR
NEW met1 ( 70150 14110 ) M1M2_PR
NEW met1 ( 70150 22950 ) M1M2_PR
NEW met1 ( 68310 22950 ) M1M2_PR
NEW met1 ( 68310 37230 ) M1M2_PR
NEW met1 ( 93610 37230 ) M1M2_PR
NEW met1 ( 96370 214370 ) M1M2_PR
NEW met1 ( 160770 214370 ) M1M2_PR
NEW li1 ( 160770 215390 ) L1M1_PR_MR
NEW met1 ( 160770 215390 ) M1M2_PR
NEW met2 ( 94070 60860 ) M2M3_PR_M
NEW met3 ( 96140 60860 ) M3M4_PR_M
NEW met3 ( 96140 62220 ) M3M4_PR_M
NEW met2 ( 96370 62220 ) M2M3_PR_M
NEW met1 ( 160770 215390 ) RECT ( -355 -70 0 70 )
NEW met3 ( 96140 62220 ) RECT ( -390 -150 0 150 ) ;
- la_data_out[120] ( PIN la_data_out[120] ) ( output267 X ) + USE SIGNAL
+ ROUTED met1 ( 225630 30430 ) ( 226550 * )
NEW met2 ( 225630 3740 0 ) ( * 30430 )
NEW met1 ( 225630 30430 ) M1M2_PR
NEW li1 ( 226550 30430 ) L1M1_PR_MR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( output268 X ) + USE SIGNAL
+ ROUTED met1 ( 227010 30430 ) ( 230690 * )
NEW met2 ( 227010 3740 0 ) ( * 30430 )
NEW met1 ( 227010 30430 ) M1M2_PR
NEW li1 ( 230690 30430 ) L1M1_PR_MR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( output269 X ) + USE SIGNAL
+ ROUTED met1 ( 228390 33150 ) ( 229770 * )
NEW met2 ( 228390 3740 0 ) ( * 33150 )
NEW met1 ( 228390 33150 ) M1M2_PR
NEW li1 ( 229770 33150 ) L1M1_PR_MR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( output270 X ) + USE SIGNAL
+ ROUTED met1 ( 229770 30770 ) ( 234370 * )
NEW met2 ( 229770 3740 0 ) ( * 30770 )
NEW met1 ( 229770 30770 ) M1M2_PR
NEW li1 ( 234370 30770 ) L1M1_PR_MR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( output271 X ) + USE SIGNAL
+ ROUTED met1 ( 231610 33150 ) ( 233450 * )
NEW met2 ( 231610 3740 0 ) ( * 33150 )
NEW met1 ( 231610 33150 ) M1M2_PR
NEW li1 ( 233450 33150 ) L1M1_PR_MR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( output272 X ) + USE SIGNAL
+ ROUTED met2 ( 232990 3740 0 ) ( * 13800 )
NEW met2 ( 232990 13800 ) ( 233910 * )
NEW met2 ( 233910 13800 ) ( * 33150 )
NEW met1 ( 233910 33150 ) ( 237130 * )
NEW met1 ( 233910 33150 ) M1M2_PR
NEW li1 ( 237130 33150 ) L1M1_PR_MR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( output273 X ) + USE SIGNAL
+ ROUTED met1 ( 209070 20230 ) ( 234370 * )
NEW met1 ( 209070 19890 ) ( * 20230 )
NEW met2 ( 234370 3740 0 ) ( * 20230 )
NEW met1 ( 234370 20230 ) M1M2_PR
NEW li1 ( 209070 19890 ) L1M1_PR_MR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( output274 X ) + USE SIGNAL
+ ROUTED met2 ( 235750 3740 0 ) ( * 10030 )
NEW met1 ( 210450 10030 ) ( 235750 * )
NEW met2 ( 210450 10030 ) ( * 13090 )
NEW met1 ( 196190 13090 ) ( 210450 * )
NEW li1 ( 196190 13090 ) L1M1_PR_MR
NEW met1 ( 235750 10030 ) M1M2_PR
NEW met1 ( 210450 10030 ) M1M2_PR
NEW met1 ( 210450 13090 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _251_ LO ) + USE SIGNAL
+ ROUTED met1 ( 69230 16830 ) ( 71990 * )
NEW met2 ( 71990 16830 ) ( * 19550 )
NEW met1 ( 71990 19550 ) ( 73370 * )
NEW met2 ( 73370 19550 ) ( * 22780 )
NEW met2 ( 73370 22780 ) ( 73830 * )
NEW met2 ( 73830 22780 ) ( * 56610 )
NEW met2 ( 69230 3740 0 ) ( * 16830 )
NEW met2 ( 117070 56610 ) ( * 58310 )
NEW met1 ( 117070 58310 ) ( 121670 * )
NEW met1 ( 73830 56610 ) ( 117070 * )
NEW met1 ( 69230 16830 ) M1M2_PR
NEW met1 ( 71990 16830 ) M1M2_PR
NEW met1 ( 71990 19550 ) M1M2_PR
NEW met1 ( 73370 19550 ) M1M2_PR
NEW met1 ( 73830 56610 ) M1M2_PR
NEW met1 ( 117070 56610 ) M1M2_PR
NEW met1 ( 117070 58310 ) M1M2_PR
NEW li1 ( 121670 58310 ) L1M1_PR_MR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _252_ LO ) + USE SIGNAL
+ ROUTED met1 ( 74290 68850 ) ( 86710 * )
NEW met1 ( 70610 20570 ) ( 74290 * )
NEW met2 ( 70610 3740 0 ) ( * 20570 )
NEW met2 ( 74290 20570 ) ( * 68850 )
NEW met1 ( 86710 170850 ) ( 118450 * )
NEW met2 ( 86710 68850 ) ( * 170850 )
NEW met1 ( 74290 68850 ) M1M2_PR
NEW met1 ( 86710 68850 ) M1M2_PR
NEW met1 ( 86710 170850 ) M1M2_PR
NEW met1 ( 70610 20570 ) M1M2_PR
NEW met1 ( 74290 20570 ) M1M2_PR
NEW li1 ( 118450 170850 ) L1M1_PR_MR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _253_ LO ) + USE SIGNAL
+ ROUTED met2 ( 71990 3740 0 ) ( * 13800 )
NEW met2 ( 71990 13800 ) ( 72910 * )
NEW met2 ( 72910 13800 ) ( * 39780 )
NEW met2 ( 160770 39780 ) ( * 160990 )
NEW met3 ( 72910 39780 ) ( 160770 * )
NEW li1 ( 160770 160990 ) L1M1_PR_MR
NEW met1 ( 160770 160990 ) M1M2_PR
NEW met2 ( 72910 39780 ) M2M3_PR_M
NEW met2 ( 160770 39780 ) M2M3_PR_M
NEW met1 ( 160770 160990 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[15] ( PIN la_data_out[15] ) ( _254_ LO ) + USE SIGNAL
+ ROUTED met1 ( 73370 86530 ) ( 75670 * )
NEW met1 ( 73370 18190 ) ( 75670 * )
NEW met2 ( 73370 3740 0 ) ( * 18190 )
NEW met2 ( 75670 18190 ) ( * 86530 )
NEW met2 ( 73370 86530 ) ( * 135150 )
NEW met2 ( 124430 135150 ) ( * 137190 )
NEW met1 ( 124430 137190 ) ( 147890 * )
NEW met1 ( 73370 135150 ) ( 124430 * )
NEW met1 ( 73370 86530 ) M1M2_PR
NEW met1 ( 75670 86530 ) M1M2_PR
NEW met1 ( 73370 18190 ) M1M2_PR
NEW met1 ( 75670 18190 ) M1M2_PR
NEW met1 ( 73370 135150 ) M1M2_PR
NEW met1 ( 124430 135150 ) M1M2_PR
NEW met1 ( 124430 137190 ) M1M2_PR
NEW li1 ( 147890 137190 ) L1M1_PR_MR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _255_ LO ) + USE SIGNAL
+ ROUTED met2 ( 74750 37740 ) ( 75210 * )
NEW met2 ( 74750 3740 0 ) ( * 37740 )
NEW met2 ( 75210 37740 ) ( * 131410 )
NEW met1 ( 75210 131410 ) ( 110400 * )
NEW met1 ( 110400 131410 ) ( * 131750 )
NEW met1 ( 169050 131070 ) ( * 131750 )
NEW met1 ( 169050 131070 ) ( 171810 * )
NEW met1 ( 171810 131070 ) ( * 132090 )
NEW met1 ( 110400 131750 ) ( 169050 * )
NEW met1 ( 171810 132090 ) ( 205390 * )
NEW met1 ( 75210 131410 ) M1M2_PR
NEW li1 ( 205390 132090 ) L1M1_PR_MR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _256_ LO ) + USE SIGNAL
+ ROUTED met2 ( 182390 66980 ) ( * 77350 )
NEW met1 ( 76130 20230 ) ( 81650 * )
NEW met2 ( 76130 3740 0 ) ( * 20230 )
NEW met2 ( 81650 20230 ) ( * 66980 )
NEW met3 ( 81650 66980 ) ( 182390 * )
NEW met2 ( 81650 66980 ) M2M3_PR_M
NEW met2 ( 182390 66980 ) M2M3_PR_M
NEW li1 ( 182390 77350 ) L1M1_PR_MR
NEW met1 ( 182390 77350 ) M1M2_PR
NEW met1 ( 76130 20230 ) M1M2_PR
NEW met1 ( 81650 20230 ) M1M2_PR
NEW met1 ( 182390 77350 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[18] ( PIN la_data_out[18] ) ( _257_ LO ) + USE SIGNAL
+ ROUTED met1 ( 113390 17510 ) ( * 18190 )
NEW met1 ( 113390 17510 ) ( 120290 * )
NEW met2 ( 120290 17510 ) ( * 20570 )
NEW met1 ( 120290 20570 ) ( 127650 * )
NEW met1 ( 127650 20570 ) ( * 20910 )
NEW met1 ( 127650 20910 ) ( 132710 * )
NEW met1 ( 132710 20570 ) ( * 20910 )
NEW met1 ( 132710 20570 ) ( 133630 * )
NEW met2 ( 133630 20570 ) ( * 61030 )
NEW met1 ( 133630 61030 ) ( 137310 * )
NEW met2 ( 77970 3740 0 ) ( * 7310 )
NEW met1 ( 77970 7310 ) ( 88550 * )
NEW met2 ( 88550 7310 ) ( * 18190 )
NEW met1 ( 88550 18190 ) ( 113390 * )
NEW met1 ( 120290 17510 ) M1M2_PR
NEW met1 ( 120290 20570 ) M1M2_PR
NEW met1 ( 133630 20570 ) M1M2_PR
NEW met1 ( 133630 61030 ) M1M2_PR
NEW li1 ( 137310 61030 ) L1M1_PR_MR
NEW met1 ( 77970 7310 ) M1M2_PR
NEW met1 ( 88550 7310 ) M1M2_PR
NEW met1 ( 88550 18190 ) M1M2_PR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _258_ LO ) + USE SIGNAL
+ ROUTED met1 ( 79350 20570 ) ( 82570 * )
NEW met2 ( 79350 3740 0 ) ( * 20570 )
NEW met1 ( 158700 129030 ) ( 192050 * )
NEW met1 ( 158700 129030 ) ( * 129370 )
NEW met2 ( 135470 129370 ) ( * 130050 )
NEW met1 ( 82570 130050 ) ( 135470 * )
NEW met1 ( 135470 129370 ) ( 158700 * )
NEW met2 ( 82570 20570 ) ( * 130050 )
NEW met1 ( 79350 20570 ) M1M2_PR
NEW met1 ( 82570 20570 ) M1M2_PR
NEW met1 ( 82570 130050 ) M1M2_PR
NEW li1 ( 192050 129030 ) L1M1_PR_MR
NEW met1 ( 135470 130050 ) M1M2_PR
NEW met1 ( 135470 129370 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _240_ LO ) + USE SIGNAL
+ ROUTED met1 ( 41170 171870 ) ( 45770 * )
NEW met1 ( 41170 41310 ) ( 52670 * )
NEW met2 ( 52670 14620 ) ( * 41310 )
NEW met2 ( 52670 14620 ) ( 53130 * )
NEW met2 ( 53130 3740 0 ) ( * 14620 )
NEW met2 ( 41170 41310 ) ( * 171870 )
NEW met1 ( 41170 171870 ) M1M2_PR
NEW li1 ( 45770 171870 ) L1M1_PR_MR
NEW met1 ( 41170 41310 ) M1M2_PR
NEW met1 ( 52670 41310 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _259_ LO ) + USE SIGNAL
+ ROUTED met1 ( 39790 86190 ) ( 40710 * )
NEW met2 ( 40710 47430 ) ( * 86190 )
NEW met1 ( 19090 128350 ) ( 39790 * )
NEW met2 ( 39790 86190 ) ( * 128350 )
NEW met2 ( 80730 3740 0 ) ( * 22950 )
NEW met2 ( 79350 22950 ) ( 80730 * )
NEW met2 ( 79350 22950 ) ( * 38590 )
NEW met1 ( 62330 38590 ) ( 79350 * )
NEW met2 ( 62330 38590 ) ( * 47430 )
NEW met1 ( 40710 47430 ) ( 62330 * )
NEW met1 ( 39790 86190 ) M1M2_PR
NEW met1 ( 40710 86190 ) M1M2_PR
NEW met1 ( 40710 47430 ) M1M2_PR
NEW met1 ( 39790 128350 ) M1M2_PR
NEW li1 ( 19090 128350 ) L1M1_PR_MR
NEW met1 ( 79350 38590 ) M1M2_PR
NEW met1 ( 62330 38590 ) M1M2_PR
NEW met1 ( 62330 47430 ) M1M2_PR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( _260_ LO ) + USE SIGNAL
+ ROUTED met2 ( 171350 79220 ) ( * 217090 )
NEW met2 ( 213670 217090 ) ( * 218790 )
NEW met1 ( 213670 218790 ) ( 229770 * )
NEW met1 ( 171350 217090 ) ( 213670 * )
NEW met2 ( 82110 3740 0 ) ( * 79220 )
NEW met3 ( 82110 79220 ) ( 171350 * )
NEW met2 ( 171350 79220 ) M2M3_PR_M
NEW met1 ( 171350 217090 ) M1M2_PR
NEW met1 ( 213670 217090 ) M1M2_PR
NEW met1 ( 213670 218790 ) M1M2_PR
NEW li1 ( 229770 218790 ) L1M1_PR_MR
NEW met2 ( 82110 79220 ) M2M3_PR_M ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _261_ LO ) + USE SIGNAL
+ ROUTED met2 ( 83490 3740 0 ) ( * 9180 )
NEW met2 ( 83490 9180 ) ( 83950 * )
NEW met2 ( 83950 9180 ) ( * 13800 )
NEW met2 ( 83950 13800 ) ( 84410 * )
NEW met2 ( 84410 13800 ) ( * 38930 )
NEW met1 ( 84410 38930 ) ( 85790 * )
NEW met1 ( 85790 38590 ) ( * 38930 )
NEW met2 ( 111090 38590 ) ( * 40290 )
NEW met1 ( 111090 40290 ) ( 137770 * )
NEW met1 ( 85790 38590 ) ( 111090 * )
NEW met2 ( 137770 40290 ) ( * 72250 )
NEW met2 ( 154330 71060 ) ( * 72250 )
NEW met3 ( 154330 71060 ) ( 173650 * )
NEW met2 ( 173650 71060 ) ( * 71910 )
NEW met1 ( 137770 72250 ) ( 154330 * )
NEW met1 ( 84410 38930 ) M1M2_PR
NEW met1 ( 137770 72250 ) M1M2_PR
NEW met1 ( 111090 38590 ) M1M2_PR
NEW met1 ( 111090 40290 ) M1M2_PR
NEW met1 ( 137770 40290 ) M1M2_PR
NEW met1 ( 154330 72250 ) M1M2_PR
NEW met2 ( 154330 71060 ) M2M3_PR_M
NEW met2 ( 173650 71060 ) M2M3_PR_M
NEW li1 ( 173650 71910 ) L1M1_PR_MR
NEW met1 ( 173650 71910 ) M1M2_PR
NEW met1 ( 173650 71910 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _262_ LO ) + USE SIGNAL
+ ROUTED met2 ( 84870 3740 0 ) ( * 10370 )
NEW met1 ( 84870 10370 ) ( 216430 * )
NEW met1 ( 215510 24990 ) ( 216430 * )
NEW met2 ( 216430 10370 ) ( * 24990 )
NEW met1 ( 84870 10370 ) M1M2_PR
NEW met1 ( 216430 10370 ) M1M2_PR
NEW met1 ( 216430 24990 ) M1M2_PR
NEW li1 ( 215510 24990 ) L1M1_PR_MR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( _263_ LO ) + USE SIGNAL
+ ROUTED met1 ( 86710 20570 ) ( 89010 * )
NEW met2 ( 89010 20570 ) ( * 62100 )
NEW met2 ( 89010 62100 ) ( 89470 * )
NEW met2 ( 86710 3740 0 ) ( * 20570 )
NEW met2 ( 89470 62100 ) ( * 83130 )
NEW met1 ( 89470 83130 ) ( 130410 * )
NEW met1 ( 86710 20570 ) M1M2_PR
NEW met1 ( 89010 20570 ) M1M2_PR
NEW li1 ( 130410 83130 ) L1M1_PR_MR
NEW met1 ( 89470 83130 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _264_ LO ) + USE SIGNAL
+ ROUTED met1 ( 86710 50150 ) ( 88090 * )
NEW met2 ( 88090 3740 0 ) ( * 50150 )
NEW met1 ( 88090 50150 ) M1M2_PR
NEW li1 ( 86710 50150 ) L1M1_PR_MR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( output275 X ) + USE SIGNAL
+ ROUTED met2 ( 89470 3740 0 ) ( * 11390 )
NEW met1 ( 89470 11390 ) ( 90390 * )
NEW met1 ( 89470 11390 ) M1M2_PR
NEW li1 ( 90390 11390 ) L1M1_PR_MR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( output276 X ) + USE SIGNAL
+ ROUTED met1 ( 90850 14110 ) ( 91770 * )
NEW met2 ( 90850 3740 0 ) ( * 14110 )
NEW met1 ( 90850 14110 ) M1M2_PR
NEW li1 ( 91770 14110 ) L1M1_PR_MR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( output277 X ) + USE SIGNAL
+ ROUTED met2 ( 92230 3740 0 ) ( * 11390 )
NEW met1 ( 92230 11390 ) ( 96830 * )
NEW met1 ( 92230 11390 ) M1M2_PR
NEW li1 ( 96830 11390 ) L1M1_PR_MR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( output278 X ) + USE SIGNAL
+ ROUTED met1 ( 93610 14110 ) ( 95910 * )
NEW met2 ( 93610 3740 0 ) ( * 14110 )
NEW met1 ( 93610 14110 ) M1M2_PR
NEW li1 ( 95910 14110 ) L1M1_PR_MR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _241_ LO ) + USE SIGNAL
+ ROUTED met2 ( 230690 118490 ) ( * 123590 )
NEW met2 ( 54510 3740 0 ) ( * 7820 )
NEW met3 ( 54510 7820 ) ( 55660 * )
NEW met4 ( 55660 7820 ) ( * 28900 )
NEW met3 ( 55660 28900 ) ( 61870 * )
NEW met2 ( 61870 28900 ) ( * 97410 )
NEW met2 ( 103730 97410 ) ( * 123590 )
NEW met1 ( 61870 97410 ) ( 103730 * )
NEW met1 ( 103730 123590 ) ( 230690 * )
NEW li1 ( 230690 118490 ) L1M1_PR_MR
NEW met1 ( 230690 118490 ) M1M2_PR
NEW met1 ( 230690 123590 ) M1M2_PR
NEW met2 ( 54510 7820 ) M2M3_PR_M
NEW met3 ( 55660 7820 ) M3M4_PR_M
NEW met3 ( 55660 28900 ) M3M4_PR_M
NEW met2 ( 61870 28900 ) M2M3_PR_M
NEW met1 ( 61870 97410 ) M1M2_PR
NEW met1 ( 103730 97410 ) M1M2_PR
NEW met1 ( 103730 123590 ) M1M2_PR
NEW met1 ( 230690 118490 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[30] ( PIN la_data_out[30] ) ( output279 X ) + USE SIGNAL
+ ROUTED met2 ( 94990 3740 0 ) ( * 11730 )
NEW met1 ( 94990 11730 ) ( 100510 * )
NEW met1 ( 100510 11390 ) ( * 11730 )
NEW met1 ( 94990 11730 ) M1M2_PR
NEW li1 ( 100510 11390 ) L1M1_PR_MR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( output280 X ) + USE SIGNAL
+ ROUTED met1 ( 96830 14110 ) ( 99590 * )
NEW met2 ( 96830 3740 0 ) ( * 14110 )
NEW met1 ( 96830 14110 ) M1M2_PR
NEW li1 ( 99590 14110 ) L1M1_PR_MR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( output281 X ) + USE SIGNAL
+ ROUTED met2 ( 98210 3740 0 ) ( * 6970 )
NEW met1 ( 98210 6970 ) ( 104650 * )
NEW met2 ( 104650 6970 ) ( * 11390 )
NEW met1 ( 98210 6970 ) M1M2_PR
NEW met1 ( 104650 6970 ) M1M2_PR
NEW li1 ( 104650 11390 ) L1M1_PR_MR
NEW met1 ( 104650 11390 ) M1M2_PR
NEW met1 ( 104650 11390 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[33] ( PIN la_data_out[33] ) ( output282 X ) + USE SIGNAL
+ ROUTED met2 ( 99590 3740 0 ) ( * 7140 )
NEW met2 ( 99590 7140 ) ( 100510 * )
NEW met1 ( 100510 14110 ) ( 102810 * )
NEW met2 ( 100510 7140 ) ( * 14110 )
NEW met1 ( 100510 14110 ) M1M2_PR
NEW li1 ( 102810 14110 ) L1M1_PR_MR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( output283 X ) + USE SIGNAL
+ ROUTED met2 ( 100970 3740 0 ) ( * 11730 )
NEW met1 ( 100970 11730 ) ( 109710 * )
NEW met1 ( 109710 11390 ) ( * 11730 )
NEW met1 ( 100970 11730 ) M1M2_PR
NEW li1 ( 109710 11390 ) L1M1_PR_MR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( output284 X ) + USE SIGNAL
+ ROUTED met1 ( 102350 16830 ) ( 103270 * )
NEW met2 ( 102350 3740 0 ) ( * 16830 )
NEW met1 ( 102350 16830 ) M1M2_PR
NEW li1 ( 103270 16830 ) L1M1_PR_MR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( output285 X ) + USE SIGNAL
+ ROUTED met2 ( 103730 3740 0 ) ( * 5780 )
NEW met2 ( 103730 5780 ) ( 104190 * )
NEW met2 ( 104190 5780 ) ( * 12070 )
NEW met1 ( 104190 12070 ) ( 110170 * )
NEW met1 ( 110170 11730 ) ( * 12070 )
NEW met1 ( 110170 11730 ) ( 113390 * )
NEW met1 ( 113390 11390 ) ( * 11730 )
NEW met1 ( 104190 12070 ) M1M2_PR
NEW li1 ( 113390 11390 ) L1M1_PR_MR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( output286 X ) + USE SIGNAL
+ ROUTED met1 ( 105110 14110 ) ( 109710 * )
NEW met2 ( 105110 3740 0 ) ( * 14110 )
NEW met1 ( 105110 14110 ) M1M2_PR
NEW li1 ( 109710 14110 ) L1M1_PR_MR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( output287 X ) + USE SIGNAL
+ ROUTED met1 ( 106950 16830 ) ( 108330 * )
NEW met2 ( 106950 3740 0 ) ( * 16830 )
NEW met1 ( 106950 16830 ) M1M2_PR
NEW li1 ( 108330 16830 ) L1M1_PR_MR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( output288 X ) + USE SIGNAL
+ ROUTED met2 ( 108330 3740 0 ) ( * 14450 )
NEW met1 ( 108330 14450 ) ( 113390 * )
NEW met1 ( 108330 14450 ) M1M2_PR
NEW li1 ( 113390 14450 ) L1M1_PR_MR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( _242_ LO ) + USE SIGNAL
+ ROUTED met1 ( 55890 14790 ) ( 58190 * )
NEW met2 ( 58190 14790 ) ( 58650 * )
NEW met2 ( 58650 14790 ) ( * 52870 )
NEW met1 ( 39790 52870 ) ( 58650 * )
NEW met2 ( 55890 3740 0 ) ( * 14790 )
NEW met1 ( 55890 14790 ) M1M2_PR
NEW met1 ( 58190 14790 ) M1M2_PR
NEW met1 ( 58650 52870 ) M1M2_PR
NEW li1 ( 39790 52870 ) L1M1_PR_MR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( output289 X ) + USE SIGNAL
+ ROUTED met2 ( 109710 3740 0 ) ( * 13090 )
NEW met1 ( 109710 13090 ) ( 117070 * )
NEW met1 ( 109710 13090 ) M1M2_PR
NEW li1 ( 117070 13090 ) L1M1_PR_MR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( output290 X ) + USE SIGNAL
+ ROUTED met1 ( 111090 16830 ) ( 112010 * )
NEW met2 ( 111090 3740 0 ) ( * 16830 )
NEW met1 ( 111090 16830 ) M1M2_PR
NEW li1 ( 112010 16830 ) L1M1_PR_MR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( output291 X ) + USE SIGNAL
+ ROUTED met1 ( 112470 14110 ) ( 117070 * )
NEW met2 ( 112470 3740 0 ) ( * 14110 )
NEW met1 ( 112470 14110 ) M1M2_PR
NEW li1 ( 117070 14110 ) L1M1_PR_MR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( output292 X ) + USE SIGNAL
+ ROUTED met2 ( 113850 3740 0 ) ( * 11390 )
NEW met1 ( 113850 11390 ) ( 122590 * )
NEW met1 ( 113850 11390 ) M1M2_PR
NEW li1 ( 122590 11390 ) L1M1_PR_MR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( output293 X ) + USE SIGNAL
+ ROUTED met1 ( 115690 14450 ) ( 120750 * )
NEW met2 ( 115690 3740 0 ) ( * 14450 )
NEW met1 ( 115690 14450 ) M1M2_PR
NEW li1 ( 120750 14450 ) L1M1_PR_MR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( output294 X ) + USE SIGNAL
+ ROUTED met2 ( 117070 3740 0 ) ( * 7140 )
NEW met2 ( 117070 7140 ) ( 117990 * )
NEW met2 ( 117990 7140 ) ( * 13090 )
NEW met1 ( 117990 13090 ) ( 126270 * )
NEW met1 ( 117990 13090 ) M1M2_PR
NEW li1 ( 126270 13090 ) L1M1_PR_MR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( output295 X ) + USE SIGNAL
+ ROUTED met1 ( 118450 14110 ) ( 124430 * )
NEW met2 ( 118450 3740 0 ) ( * 14110 )
NEW met1 ( 118450 14110 ) M1M2_PR
NEW li1 ( 124430 14110 ) L1M1_PR_MR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( output296 X ) + USE SIGNAL
+ ROUTED met1 ( 119830 16830 ) ( 123050 * )
NEW met2 ( 119830 3740 0 ) ( * 16830 )
NEW met1 ( 119830 16830 ) M1M2_PR
NEW li1 ( 123050 16830 ) L1M1_PR_MR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( output297 X ) + USE SIGNAL
+ ROUTED met2 ( 121210 3740 0 ) ( * 11730 )
NEW met1 ( 121210 11730 ) ( 126730 * )
NEW met1 ( 126730 11390 ) ( * 11730 )
NEW met1 ( 126730 11390 ) ( 129950 * )
NEW met1 ( 121210 11730 ) M1M2_PR
NEW li1 ( 129950 11390 ) L1M1_PR_MR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( output298 X ) + USE SIGNAL
+ ROUTED met1 ( 122590 14450 ) ( 124890 * )
NEW met1 ( 124890 14110 ) ( * 14450 )
NEW met1 ( 124890 14110 ) ( 128110 * )
NEW met2 ( 122590 3740 0 ) ( * 14450 )
NEW met1 ( 122590 14450 ) M1M2_PR
NEW li1 ( 128110 14110 ) L1M1_PR_MR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _243_ LO ) + USE SIGNAL
+ ROUTED met2 ( 62330 33660 ) ( * 36210 )
NEW met2 ( 57270 3740 0 ) ( * 33660 )
NEW met3 ( 57270 33660 ) ( 62330 * )
NEW met2 ( 131330 36210 ) ( * 57630 )
NEW met1 ( 131330 57630 ) ( 135010 * )
NEW met1 ( 62330 36210 ) ( 131330 * )
NEW met2 ( 62330 33660 ) M2M3_PR_M
NEW met1 ( 62330 36210 ) M1M2_PR
NEW met2 ( 57270 33660 ) M2M3_PR_M
NEW met1 ( 131330 36210 ) M1M2_PR
NEW met1 ( 131330 57630 ) M1M2_PR
NEW li1 ( 135010 57630 ) L1M1_PR_MR
NEW met3 ( 62330 33660 ) RECT ( 0 -150 340 150 ) ;
- la_data_out[50] ( PIN la_data_out[50] ) ( output299 X ) + USE SIGNAL
+ ROUTED met1 ( 123970 16830 ) ( 126270 * )
NEW met2 ( 123970 3740 0 ) ( * 16830 )
NEW met1 ( 123970 16830 ) M1M2_PR
NEW li1 ( 126270 16830 ) L1M1_PR_MR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( output300 X ) + USE SIGNAL
+ ROUTED met1 ( 130870 13090 ) ( 135470 * )
NEW met1 ( 125810 14450 ) ( 130870 * )
NEW met2 ( 125810 3740 0 ) ( * 14450 )
NEW met2 ( 130870 13090 ) ( * 14450 )
NEW met1 ( 130870 13090 ) M1M2_PR
NEW li1 ( 135470 13090 ) L1M1_PR_MR
NEW met1 ( 125810 14450 ) M1M2_PR
NEW met1 ( 130870 14450 ) M1M2_PR ;
- la_data_out[52] ( PIN la_data_out[52] ) ( output301 X ) + USE SIGNAL
+ ROUTED met1 ( 127190 16830 ) ( 129950 * )
NEW met2 ( 127190 3740 0 ) ( * 16830 )
NEW met1 ( 127190 16830 ) M1M2_PR
NEW li1 ( 129950 16830 ) L1M1_PR_MR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( output302 X ) + USE SIGNAL
+ ROUTED met1 ( 128570 15810 ) ( 135930 * )
NEW met2 ( 128570 3740 0 ) ( * 15810 )
NEW met1 ( 128570 15810 ) M1M2_PR
NEW li1 ( 135930 15810 ) L1M1_PR_MR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( output303 X ) + USE SIGNAL
+ ROUTED met2 ( 129950 3740 0 ) ( * 5780 )
NEW met2 ( 129950 5780 ) ( 130410 * )
NEW met2 ( 130410 5780 ) ( * 11390 )
NEW met1 ( 135010 11390 ) ( * 11730 )
NEW met1 ( 135010 11730 ) ( 139610 * )
NEW met1 ( 139610 11390 ) ( * 11730 )
NEW met1 ( 130410 11390 ) ( 135010 * )
NEW met1 ( 130410 11390 ) M1M2_PR
NEW li1 ( 139610 11390 ) L1M1_PR_MR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( output304 X ) + USE SIGNAL
+ ROUTED met1 ( 131330 16830 ) ( 133630 * )
NEW met2 ( 131330 3740 0 ) ( * 16830 )
NEW met1 ( 131330 16830 ) M1M2_PR
NEW li1 ( 133630 16830 ) L1M1_PR_MR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( output305 X ) + USE SIGNAL
+ ROUTED met1 ( 132710 14450 ) ( 139150 * )
NEW met2 ( 132710 3740 0 ) ( * 14450 )
NEW met1 ( 132710 14450 ) M1M2_PR
NEW li1 ( 139150 14450 ) L1M1_PR_MR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( output306 X ) + USE SIGNAL
+ ROUTED met1 ( 134090 16830 ) ( 137770 * )
NEW met2 ( 134090 3740 0 ) ( * 16830 )
NEW met1 ( 134090 16830 ) M1M2_PR
NEW li1 ( 137770 16830 ) L1M1_PR_MR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( output307 X ) + USE SIGNAL
+ ROUTED met1 ( 135930 14110 ) ( 142830 * )
NEW met2 ( 135930 3740 0 ) ( * 14110 )
NEW met1 ( 135930 14110 ) M1M2_PR
NEW li1 ( 142830 14110 ) L1M1_PR_MR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( output308 X ) + USE SIGNAL
+ ROUTED met1 ( 137310 18190 ) ( 140990 * )
NEW met2 ( 137310 3740 0 ) ( * 18190 )
NEW met1 ( 137310 18190 ) M1M2_PR
NEW li1 ( 140990 18190 ) L1M1_PR_MR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _244_ LO ) + USE SIGNAL
+ ROUTED met2 ( 59110 3740 0 ) ( * 13800 )
NEW met1 ( 40250 45050 ) ( 57270 * )
NEW met2 ( 57270 34340 ) ( * 45050 )
NEW met3 ( 57270 34340 ) ( 58420 * )
NEW met4 ( 58420 23460 ) ( * 34340 )
NEW met3 ( 58420 23460 ) ( 59110 * )
NEW met2 ( 59110 20740 ) ( * 23460 )
NEW met2 ( 59110 20740 ) ( 59570 * )
NEW met2 ( 59570 13800 ) ( * 20740 )
NEW met2 ( 59110 13800 ) ( 59570 * )
NEW met1 ( 20010 131750 ) ( 40250 * )
NEW met2 ( 40250 45050 ) ( * 131750 )
NEW met1 ( 40250 45050 ) M1M2_PR
NEW met1 ( 57270 45050 ) M1M2_PR
NEW met2 ( 57270 34340 ) M2M3_PR_M
NEW met3 ( 58420 34340 ) M3M4_PR_M
NEW met3 ( 58420 23460 ) M3M4_PR_M
NEW met2 ( 59110 23460 ) M2M3_PR_M
NEW met1 ( 40250 131750 ) M1M2_PR
NEW li1 ( 20010 131750 ) L1M1_PR_MR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( output309 X ) + USE SIGNAL
+ ROUTED met1 ( 138690 19550 ) ( 139610 * )
NEW met2 ( 138690 3740 0 ) ( * 19550 )
NEW met1 ( 138690 19550 ) M1M2_PR
NEW li1 ( 139610 19550 ) L1M1_PR_MR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( output310 X ) + USE SIGNAL
+ ROUTED met1 ( 140070 19550 ) ( 143290 * )
NEW met2 ( 140070 3740 0 ) ( * 19550 )
NEW met1 ( 140070 19550 ) M1M2_PR
NEW li1 ( 143290 19550 ) L1M1_PR_MR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( output311 X ) + USE SIGNAL
+ ROUTED met1 ( 141450 15130 ) ( 142830 * )
NEW met2 ( 142830 15130 ) ( * 17170 )
NEW met1 ( 142830 17170 ) ( 148350 * )
NEW met1 ( 148350 16830 ) ( * 17170 )
NEW met2 ( 141450 3740 0 ) ( * 15130 )
NEW met1 ( 141450 15130 ) M1M2_PR
NEW met1 ( 142830 15130 ) M1M2_PR
NEW met1 ( 142830 17170 ) M1M2_PR
NEW li1 ( 148350 16830 ) L1M1_PR_MR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( output312 X ) + USE SIGNAL
+ ROUTED met2 ( 142830 3740 0 ) ( * 7140 )
NEW met2 ( 142830 7140 ) ( 143290 * )
NEW met1 ( 143290 14450 ) ( 152490 * )
NEW met2 ( 143290 7140 ) ( * 14450 )
NEW met1 ( 143290 14450 ) M1M2_PR
NEW li1 ( 152490 14450 ) L1M1_PR_MR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( output313 X ) + USE SIGNAL
+ ROUTED met2 ( 144670 3740 0 ) ( * 12070 )
NEW met2 ( 144670 12070 ) ( 145130 * )
NEW met1 ( 145130 12070 ) ( 161230 * )
NEW met1 ( 161230 11390 ) ( * 12070 )
NEW met1 ( 145130 12070 ) M1M2_PR
NEW li1 ( 161230 11390 ) L1M1_PR_MR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( output314 X ) + USE SIGNAL
+ ROUTED met1 ( 146050 19550 ) ( 146970 * )
NEW met2 ( 146050 3740 0 ) ( * 19550 )
NEW met1 ( 146050 19550 ) M1M2_PR
NEW li1 ( 146970 19550 ) L1M1_PR_MR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( output315 X ) + USE SIGNAL
+ ROUTED met1 ( 147430 18190 ) ( 152030 * )
NEW met2 ( 147430 3740 0 ) ( * 18190 )
NEW met1 ( 147430 18190 ) M1M2_PR
NEW li1 ( 152030 18190 ) L1M1_PR_MR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( output316 X ) + USE SIGNAL
+ ROUTED met2 ( 148810 3740 0 ) ( * 13090 )
NEW met1 ( 148810 13090 ) ( 164910 * )
NEW met1 ( 148810 13090 ) M1M2_PR
NEW li1 ( 164910 13090 ) L1M1_PR_MR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( output317 X ) + USE SIGNAL
+ ROUTED met1 ( 150190 19550 ) ( 151570 * )
NEW met2 ( 150190 3740 0 ) ( * 19550 )
NEW met1 ( 150190 19550 ) M1M2_PR
NEW li1 ( 151570 19550 ) L1M1_PR_MR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( output318 X ) + USE SIGNAL
+ ROUTED met2 ( 151570 3740 0 ) ( * 8500 )
NEW met2 ( 151110 8500 ) ( 151570 * )
NEW met1 ( 151110 16830 ) ( 155710 * )
NEW met2 ( 151110 8500 ) ( * 16830 )
NEW met1 ( 151110 16830 ) M1M2_PR
NEW li1 ( 155710 16830 ) L1M1_PR_MR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( _245_ LO ) + USE SIGNAL
+ ROUTED met1 ( 59110 84830 ) ( 61410 * )
NEW met2 ( 59110 84830 ) ( * 107950 )
NEW met2 ( 61410 62100 ) ( * 84830 )
NEW met2 ( 60490 3740 0 ) ( * 13800 )
NEW met2 ( 60490 13800 ) ( 60950 * )
NEW met2 ( 60950 13800 ) ( * 62100 )
NEW met2 ( 60950 62100 ) ( 61410 * )
NEW met2 ( 78890 107950 ) ( * 122910 )
NEW met1 ( 78890 122910 ) ( 83490 * )
NEW met1 ( 59110 107950 ) ( 78890 * )
NEW met1 ( 61410 84830 ) M1M2_PR
NEW met1 ( 59110 84830 ) M1M2_PR
NEW met1 ( 59110 107950 ) M1M2_PR
NEW met1 ( 78890 107950 ) M1M2_PR
NEW met1 ( 78890 122910 ) M1M2_PR
NEW li1 ( 83490 122910 ) L1M1_PR_MR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( output319 X ) + USE SIGNAL
+ ROUTED met2 ( 152950 3740 0 ) ( * 14110 )
NEW met1 ( 152950 14110 ) ( 161690 * )
NEW li1 ( 161690 14110 ) L1M1_PR_MR
NEW met1 ( 152950 14110 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( output320 X ) + USE SIGNAL
+ ROUTED met1 ( 154790 17170 ) ( 156170 * )
NEW met1 ( 156170 16830 ) ( * 17170 )
NEW met2 ( 154790 3740 0 ) ( * 17170 )
NEW met1 ( 156170 16830 ) ( 159390 * )
NEW li1 ( 159390 16830 ) L1M1_PR_MR
NEW met1 ( 154790 17170 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( output321 X ) + USE SIGNAL
+ ROUTED met2 ( 156170 3740 0 ) ( * 7650 )
NEW met1 ( 156170 7650 ) ( 164910 * )
NEW met2 ( 164910 7650 ) ( * 14450 )
NEW met1 ( 156170 7650 ) M1M2_PR
NEW met1 ( 164910 7650 ) M1M2_PR
NEW li1 ( 164910 14450 ) L1M1_PR_MR
NEW met1 ( 164910 14450 ) M1M2_PR
NEW met1 ( 164910 14450 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[73] ( PIN la_data_out[73] ) ( output322 X ) + USE SIGNAL
+ ROUTED met2 ( 157550 3740 0 ) ( * 15810 )
NEW met1 ( 157550 15810 ) ( 168590 * )
NEW li1 ( 168590 15810 ) L1M1_PR_MR
NEW met1 ( 157550 15810 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( output323 X ) + USE SIGNAL
+ ROUTED met1 ( 158930 18190 ) ( 163530 * )
NEW met2 ( 158930 3740 0 ) ( * 18190 )
NEW met1 ( 158930 18190 ) M1M2_PR
NEW li1 ( 163530 18190 ) L1M1_PR_MR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( output324 X ) + USE SIGNAL
+ ROUTED met1 ( 160310 15470 ) ( 172270 * )
NEW met1 ( 172270 15470 ) ( * 15810 )
NEW met2 ( 160310 3740 0 ) ( * 15470 )
NEW met1 ( 160310 15470 ) M1M2_PR
NEW li1 ( 172270 15810 ) L1M1_PR_MR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( output325 X ) + USE SIGNAL
+ ROUTED met1 ( 161690 16830 ) ( 167210 * )
NEW met2 ( 161690 3740 0 ) ( * 16830 )
NEW met1 ( 161690 16830 ) M1M2_PR
NEW li1 ( 167210 16830 ) L1M1_PR_MR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( output326 X ) + USE SIGNAL
+ ROUTED met2 ( 163070 3740 0 ) ( * 11730 )
NEW met1 ( 163070 11730 ) ( 174110 * )
NEW met1 ( 174110 11390 ) ( * 11730 )
NEW met1 ( 163070 11730 ) M1M2_PR
NEW li1 ( 174110 11390 ) L1M1_PR_MR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( output327 X ) + USE SIGNAL
+ ROUTED met2 ( 164910 3740 0 ) ( * 7140 )
NEW met2 ( 164910 7140 ) ( 165370 * )
NEW met1 ( 165370 14450 ) ( 175950 * )
NEW met2 ( 165370 7140 ) ( * 14450 )
NEW met1 ( 165370 14450 ) M1M2_PR
NEW li1 ( 175950 14450 ) L1M1_PR_MR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( output328 X ) + USE SIGNAL
+ ROUTED met2 ( 166290 3740 0 ) ( * 13090 )
NEW met1 ( 166290 13090 ) ( 177790 * )
NEW met1 ( 166290 13090 ) M1M2_PR
NEW li1 ( 177790 13090 ) L1M1_PR_MR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _246_ LO ) + USE SIGNAL
+ ROUTED met1 ( 32890 202470 ) ( 36570 * )
NEW met3 ( 37030 39780 ) ( 56580 * )
NEW met4 ( 56580 28220 ) ( * 39780 )
NEW met3 ( 56580 28220 ) ( 56810 * )
NEW met2 ( 56810 13940 ) ( * 28220 )
NEW met3 ( 56810 13940 ) ( 61870 * )
NEW met2 ( 61870 3740 0 ) ( * 13940 )
NEW met2 ( 36570 158700 ) ( * 202470 )
NEW met2 ( 36570 158700 ) ( 37030 * )
NEW met2 ( 37030 39780 ) ( * 158700 )
NEW met1 ( 36570 202470 ) M1M2_PR
NEW li1 ( 32890 202470 ) L1M1_PR_MR
NEW met2 ( 37030 39780 ) M2M3_PR_M
NEW met3 ( 56580 39780 ) M3M4_PR_M
NEW met3 ( 56580 28220 ) M3M4_PR_M
NEW met2 ( 56810 28220 ) M2M3_PR_M
NEW met2 ( 56810 13940 ) M2M3_PR_M
NEW met2 ( 61870 13940 ) M2M3_PR_M
NEW met3 ( 56580 28220 ) RECT ( -390 -150 0 150 ) ;
- la_data_out[80] ( PIN la_data_out[80] ) ( output329 X ) + USE SIGNAL
+ ROUTED met1 ( 167670 14790 ) ( 179630 * )
NEW met1 ( 179630 14450 ) ( * 14790 )
NEW met2 ( 167670 3740 0 ) ( * 14790 )
NEW met1 ( 167670 14790 ) M1M2_PR
NEW li1 ( 179630 14450 ) L1M1_PR_MR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( output330 X ) + USE SIGNAL
+ ROUTED met1 ( 169050 18190 ) ( 174570 * )
NEW met2 ( 169050 3740 0 ) ( * 18190 )
NEW met1 ( 169050 18190 ) M1M2_PR
NEW li1 ( 174570 18190 ) L1M1_PR_MR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( output331 X ) + USE SIGNAL
+ ROUTED met2 ( 170430 3740 0 ) ( * 6970 )
NEW met1 ( 170430 6970 ) ( 174570 * )
NEW met2 ( 174570 6970 ) ( * 11390 )
NEW met1 ( 174570 11390 ) ( 181930 * )
NEW li1 ( 181930 11390 ) L1M1_PR_MR
NEW met1 ( 170430 6970 ) M1M2_PR
NEW met1 ( 174570 6970 ) M1M2_PR
NEW met1 ( 174570 11390 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( output332 X ) + USE SIGNAL
+ ROUTED met1 ( 171810 16830 ) ( 179630 * )
NEW met2 ( 171810 3740 0 ) ( * 16830 )
NEW met1 ( 171810 16830 ) M1M2_PR
NEW li1 ( 179630 16830 ) L1M1_PR_MR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( output333 X ) + USE SIGNAL
+ ROUTED met2 ( 173650 3740 0 ) ( * 9860 )
NEW met2 ( 173650 9860 ) ( 174110 * )
NEW met1 ( 174110 19550 ) ( 178250 * )
NEW met2 ( 174110 9860 ) ( * 19550 )
NEW met1 ( 174110 19550 ) M1M2_PR
NEW li1 ( 178250 19550 ) L1M1_PR_MR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( output334 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 6970 ) ( * 11390 )
NEW met1 ( 183310 11390 ) ( 187450 * )
NEW met2 ( 175030 3740 0 ) ( * 6970 )
NEW met1 ( 175030 6970 ) ( 183310 * )
NEW met1 ( 183310 6970 ) M1M2_PR
NEW met1 ( 183310 11390 ) M1M2_PR
NEW li1 ( 187450 11390 ) L1M1_PR_MR
NEW met1 ( 175030 6970 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( output335 X ) + USE SIGNAL
+ ROUTED met2 ( 176410 3740 0 ) ( * 5100 )
NEW met2 ( 176410 5100 ) ( 176870 * )
NEW met1 ( 176870 18190 ) ( 183770 * )
NEW met2 ( 176870 5100 ) ( * 18190 )
NEW met1 ( 176870 18190 ) M1M2_PR
NEW li1 ( 183770 18190 ) L1M1_PR_MR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( output336 X ) + USE SIGNAL
+ ROUTED met1 ( 177790 15810 ) ( 187450 * )
NEW met2 ( 177790 3740 0 ) ( * 15810 )
NEW met1 ( 177790 15810 ) M1M2_PR
NEW li1 ( 187450 15810 ) L1M1_PR_MR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( output337 X ) + USE SIGNAL
+ ROUTED met1 ( 191130 11390 ) ( * 11730 )
NEW met2 ( 179170 3740 0 ) ( * 11730 )
NEW met1 ( 179170 11730 ) ( 191130 * )
NEW li1 ( 191130 11390 ) L1M1_PR_MR
NEW met1 ( 179170 11730 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( output338 X ) + USE SIGNAL
+ ROUTED met1 ( 180550 16830 ) ( 187450 * )
NEW met2 ( 180550 3740 0 ) ( * 16830 )
NEW met1 ( 180550 16830 ) M1M2_PR
NEW li1 ( 187450 16830 ) L1M1_PR_MR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _247_ LO ) + USE SIGNAL
+ ROUTED met3 ( 63250 13940 ) ( 65550 * )
NEW met2 ( 65550 13940 ) ( * 43180 )
NEW met3 ( 65550 43180 ) ( 87170 * )
NEW met2 ( 87170 43180 ) ( * 54740 )
NEW met2 ( 87170 54740 ) ( 88090 * )
NEW met2 ( 63250 3740 0 ) ( * 13940 )
NEW met1 ( 170430 220830 ) ( * 221170 )
NEW met1 ( 170430 220830 ) ( 175030 * )
NEW met1 ( 175030 220830 ) ( * 221170 )
NEW met1 ( 175030 221170 ) ( 186530 * )
NEW met1 ( 158700 221170 ) ( 170430 * )
NEW met1 ( 158700 220830 ) ( * 221170 )
NEW met1 ( 89010 220830 ) ( 158700 * )
NEW met2 ( 88090 54740 ) ( * 75900 )
NEW met2 ( 88090 75900 ) ( 89010 * )
NEW met2 ( 89010 75900 ) ( * 220830 )
NEW met2 ( 63250 13940 ) M2M3_PR_M
NEW met2 ( 65550 13940 ) M2M3_PR_M
NEW met2 ( 65550 43180 ) M2M3_PR_M
NEW met2 ( 87170 43180 ) M2M3_PR_M
NEW met1 ( 89010 220830 ) M1M2_PR
NEW li1 ( 186530 221170 ) L1M1_PR_MR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( output339 X ) + USE SIGNAL
+ ROUTED met1 ( 181930 14450 ) ( 191130 * )
NEW met2 ( 181930 3740 0 ) ( * 14450 )
NEW met1 ( 181930 14450 ) M1M2_PR
NEW li1 ( 191130 14450 ) L1M1_PR_MR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( output340 X ) + USE SIGNAL
+ ROUTED met2 ( 183770 3740 0 ) ( * 8500 )
NEW met2 ( 183770 8500 ) ( 184230 * )
NEW met1 ( 184230 18530 ) ( 191130 * )
NEW met2 ( 184230 8500 ) ( * 18530 )
NEW met1 ( 184230 18530 ) M1M2_PR
NEW li1 ( 191130 18530 ) L1M1_PR_MR ;
- la_data_out[92] ( PIN la_data_out[92] ) ( output341 X ) + USE SIGNAL
+ ROUTED met1 ( 185150 19550 ) ( 187450 * )
NEW met2 ( 185150 3740 0 ) ( * 19550 )
NEW met1 ( 185150 19550 ) M1M2_PR
NEW li1 ( 187450 19550 ) L1M1_PR_MR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( output342 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 3740 0 ) ( * 8500 )
NEW met2 ( 186530 8500 ) ( 186990 * )
NEW met2 ( 186990 8500 ) ( * 13800 )
NEW met2 ( 186990 13800 ) ( 187450 * )
NEW met2 ( 187450 13800 ) ( * 18190 )
NEW met1 ( 187450 18190 ) ( 194810 * )
NEW met1 ( 187450 18190 ) M1M2_PR
NEW li1 ( 194810 18190 ) L1M1_PR_MR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( output343 X ) + USE SIGNAL
+ ROUTED met1 ( 187910 22270 ) ( 189290 * )
NEW met2 ( 187910 3740 0 ) ( * 22270 )
NEW met1 ( 187910 22270 ) M1M2_PR
NEW li1 ( 189290 22270 ) L1M1_PR_MR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( output344 X ) + USE SIGNAL
+ ROUTED met2 ( 189290 3740 0 ) ( * 11220 )
NEW met2 ( 189290 11220 ) ( 189750 * )
NEW met1 ( 189750 22270 ) ( 192510 * )
NEW met2 ( 189750 11220 ) ( * 22270 )
NEW met1 ( 189750 22270 ) M1M2_PR
NEW li1 ( 192510 22270 ) L1M1_PR_MR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( output345 X ) + USE SIGNAL
+ ROUTED met1 ( 190670 16830 ) ( 200330 * )
NEW met2 ( 190670 3740 0 ) ( * 16830 )
NEW met1 ( 190670 16830 ) M1M2_PR
NEW li1 ( 200330 16830 ) L1M1_PR_MR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( output346 X ) + USE SIGNAL
+ ROUTED met1 ( 192510 19550 ) ( 199410 * )
NEW met2 ( 192510 3740 0 ) ( * 19550 )
NEW met1 ( 192510 19550 ) M1M2_PR
NEW li1 ( 199410 19550 ) L1M1_PR_MR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( output347 X ) + USE SIGNAL
+ ROUTED met2 ( 193890 3740 0 ) ( * 9860 )
NEW met2 ( 193890 9860 ) ( 194350 * )
NEW met2 ( 194350 9860 ) ( * 13800 )
NEW met2 ( 193430 13800 ) ( 194350 * )
NEW met2 ( 193430 13800 ) ( * 18530 )
NEW met1 ( 193430 18530 ) ( 198030 * )
NEW met2 ( 198030 18530 ) ( * 19890 )
NEW met1 ( 198030 19890 ) ( 203090 * )
NEW met1 ( 193430 18530 ) M1M2_PR
NEW met1 ( 198030 18530 ) M1M2_PR
NEW met1 ( 198030 19890 ) M1M2_PR
NEW li1 ( 203090 19890 ) L1M1_PR_MR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( output348 X ) + USE SIGNAL
+ ROUTED met1 ( 195270 23630 ) ( 199870 * )
NEW met2 ( 195270 3740 0 ) ( * 23630 )
NEW met1 ( 195270 23630 ) M1M2_PR
NEW li1 ( 199870 23630 ) L1M1_PR_MR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _248_ LO ) + USE SIGNAL
+ ROUTED met2 ( 62790 158700 ) ( * 166770 )
NEW met2 ( 62790 110400 ) ( 63250 * )
NEW met2 ( 63250 110400 ) ( * 158700 )
NEW met2 ( 62790 158700 ) ( 63250 * )
NEW met2 ( 64630 3740 0 ) ( * 16830 )
NEW met2 ( 63710 16830 ) ( 64630 * )
NEW met2 ( 63710 16830 ) ( * 37740 )
NEW met2 ( 62790 37740 ) ( 63710 * )
NEW met2 ( 62790 37740 ) ( * 110400 )
NEW met1 ( 152950 166430 ) ( * 166770 )
NEW met1 ( 152950 166430 ) ( 153870 * )
NEW met1 ( 153870 166430 ) ( * 166770 )
NEW met1 ( 62790 166770 ) ( 152950 * )
NEW met1 ( 153870 166770 ) ( 228390 * )
NEW li1 ( 228390 166770 ) L1M1_PR_MR
NEW met1 ( 62790 166770 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) ( ANTENNA_input67_A DIODE ) ( input67 A ) + USE SIGNAL
+ ROUTED met2 ( 155250 8670 ) ( * 11730 )
NEW met1 ( 145130 8670 ) ( 155250 * )
NEW met2 ( 145130 3740 0 ) ( * 8670 )
NEW met1 ( 155250 19550 ) ( 157090 * )
NEW met2 ( 155250 11730 ) ( * 19550 )
NEW li1 ( 155250 11730 ) L1M1_PR_MR
NEW met1 ( 155250 11730 ) M1M2_PR
NEW met1 ( 155250 8670 ) M1M2_PR
NEW met1 ( 145130 8670 ) M1M2_PR
NEW met1 ( 155250 19550 ) M1M2_PR
NEW li1 ( 157090 19550 ) L1M1_PR_MR
NEW met1 ( 155250 11730 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[65] ( PIN la_oenb[65] ) ( ANTENNA_input68_A DIODE ) ( input68 A ) + USE SIGNAL
+ ROUTED met1 ( 146510 15470 ) ( 147430 * )
NEW met2 ( 146510 15470 ) ( * 24990 )
NEW met2 ( 146510 3740 0 ) ( * 15470 )
NEW li1 ( 147430 15470 ) L1M1_PR_MR
NEW met1 ( 146510 15470 ) M1M2_PR
NEW li1 ( 146510 24990 ) L1M1_PR_MR
NEW met1 ( 146510 24990 ) M1M2_PR
NEW met1 ( 146510 24990 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( input1 X ) ( _193_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 216430 44710 ) ( 216890 * )
NEW met2 ( 216890 23970 ) ( * 44710 )
NEW met1 ( 216890 23970 ) ( 226090 * )
NEW met1 ( 226090 23630 ) ( * 23970 )
NEW met1 ( 226090 23630 ) ( 238050 * )
NEW met1 ( 238050 23290 ) ( * 23630 )
NEW li1 ( 216430 44710 ) L1M1_PR_MR
NEW met1 ( 216890 44710 ) M1M2_PR
NEW met1 ( 216890 23970 ) M1M2_PR
NEW li1 ( 238050 23290 ) L1M1_PR_MR ;
- net10 ( ANTENNA__217__B1 DIODE ) ( input10 X ) ( _217_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 231610 12070 ) ( 249550 * )
NEW met2 ( 248630 110400 ) ( 249550 * )
NEW met2 ( 57730 227630 ) ( * 232390 )
NEW met1 ( 51290 232390 ) ( 57730 * )
NEW met1 ( 51290 232390 ) ( * 232730 )
NEW met2 ( 57730 222530 ) ( * 227630 )
NEW met2 ( 249550 12070 ) ( * 110400 )
NEW met1 ( 219650 221510 ) ( * 222530 )
NEW met1 ( 219650 221510 ) ( 248630 * )
NEW met1 ( 57730 222530 ) ( 219650 * )
NEW met2 ( 248630 110400 ) ( * 221510 )
NEW li1 ( 231610 12070 ) L1M1_PR_MR
NEW met1 ( 249550 12070 ) M1M2_PR
NEW li1 ( 57730 227630 ) L1M1_PR_MR
NEW met1 ( 57730 227630 ) M1M2_PR
NEW met1 ( 57730 232390 ) M1M2_PR
NEW li1 ( 51290 232730 ) L1M1_PR_MR
NEW met1 ( 57730 222530 ) M1M2_PR
NEW met1 ( 248630 221510 ) M1M2_PR
NEW met1 ( 57730 227630 ) RECT ( -355 -70 0 70 ) ;
- net100 ( ANTENNA__416__A DIODE ) ( ANTENNA__113__D DIODE ) ( input100 X ) ( _113_ D ) ( _416_ A ) + USE SIGNAL
+ ROUTED met2 ( 5750 26690 ) ( * 200430 )
NEW met1 ( 65550 201790 ) ( * 202470 )
NEW met2 ( 65090 202470 ) ( * 204510 )
NEW met1 ( 65090 202470 ) ( 65550 * )
NEW met2 ( 65090 200430 ) ( * 202470 )
NEW met1 ( 5750 200430 ) ( 65090 * )
NEW met1 ( 65550 201790 ) ( 134550 * )
NEW met1 ( 5750 26690 ) ( 13800 * )
NEW met1 ( 13800 26350 ) ( * 26690 )
NEW met1 ( 13800 26350 ) ( 20010 * )
NEW met1 ( 134550 226270 ) ( 135010 * )
NEW met1 ( 133170 229330 ) ( 134550 * )
NEW met2 ( 134550 226270 ) ( * 229330 )
NEW met2 ( 134550 201790 ) ( * 226270 )
NEW met1 ( 5750 26690 ) M1M2_PR
NEW met1 ( 5750 200430 ) M1M2_PR
NEW li1 ( 65550 202470 ) L1M1_PR_MR
NEW li1 ( 65090 204510 ) L1M1_PR_MR
NEW met1 ( 65090 204510 ) M1M2_PR
NEW met1 ( 65090 202470 ) M1M2_PR
NEW met1 ( 65090 200430 ) M1M2_PR
NEW met1 ( 134550 201790 ) M1M2_PR
NEW li1 ( 20010 26350 ) L1M1_PR_MR
NEW li1 ( 135010 226270 ) L1M1_PR_MR
NEW met1 ( 134550 226270 ) M1M2_PR
NEW li1 ( 133170 229330 ) L1M1_PR_MR
NEW met1 ( 134550 229330 ) M1M2_PR
NEW met1 ( 65090 204510 ) RECT ( -355 -70 0 70 ) ;
- net101 ( ANTENNA__417__A DIODE ) ( ANTENNA__113__C DIODE ) ( input101 X ) ( _113_ C ) ( _417_ A ) + USE SIGNAL
+ ROUTED li1 ( 130410 6630 ) ( * 8670 )
NEW met1 ( 132710 7310 ) ( 140530 * )
NEW li1 ( 132710 6630 ) ( * 7310 )
NEW li1 ( 131790 6630 ) ( 132710 * )
NEW met1 ( 130410 6630 ) ( 131790 * )
NEW met1 ( 62100 8670 ) ( 130410 * )
NEW met1 ( 19090 9010 ) ( 62100 * )
NEW met1 ( 62100 8670 ) ( * 9010 )
NEW met1 ( 19090 27710 ) ( 20470 * )
NEW met1 ( 20470 27710 ) ( * 28050 )
NEW met2 ( 19090 9010 ) ( * 27710 )
NEW met1 ( 134090 34850 ) ( 140990 * )
NEW met2 ( 144210 33830 ) ( * 34850 )
NEW met1 ( 140990 34850 ) ( 144210 * )
NEW met2 ( 140530 7310 ) ( * 34850 )
NEW met1 ( 133170 230350 ) ( 133860 * )
NEW met2 ( 133170 219980 ) ( * 230350 )
NEW met2 ( 133170 219980 ) ( 134090 * )
NEW met1 ( 127190 230350 ) ( 133170 * )
NEW met2 ( 134090 34850 ) ( * 219980 )
NEW li1 ( 130410 8670 ) L1M1_PR_MR
NEW li1 ( 130410 6630 ) L1M1_PR_MR
NEW met1 ( 140530 7310 ) M1M2_PR
NEW li1 ( 132710 7310 ) L1M1_PR_MR
NEW li1 ( 131790 6630 ) L1M1_PR_MR
NEW met1 ( 19090 9010 ) M1M2_PR
NEW met1 ( 19090 27710 ) M1M2_PR
NEW li1 ( 20470 28050 ) L1M1_PR_MR
NEW li1 ( 140990 34850 ) L1M1_PR_MR
NEW met1 ( 134090 34850 ) M1M2_PR
NEW li1 ( 144210 33830 ) L1M1_PR_MR
NEW met1 ( 144210 33830 ) M1M2_PR
NEW met1 ( 144210 34850 ) M1M2_PR
NEW met1 ( 140530 34850 ) M1M2_PR
NEW li1 ( 133860 230350 ) L1M1_PR_MR
NEW met1 ( 133170 230350 ) M1M2_PR
NEW li1 ( 127190 230350 ) L1M1_PR_MR
NEW met1 ( 144210 33830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140530 34850 ) RECT ( -595 -70 0 70 ) ;
- net102 ( ANTENNA__120__A DIODE ) ( input102 X ) ( _120_ A ) + USE SIGNAL
+ ROUTED met1 ( 4830 29410 ) ( 9890 * )
NEW met2 ( 4830 29410 ) ( * 164050 )
NEW met1 ( 31510 164050 ) ( * 164390 )
NEW met1 ( 28750 164050 ) ( 31510 * )
NEW met1 ( 4830 164050 ) ( 28750 * )
NEW met1 ( 4830 29410 ) M1M2_PR
NEW li1 ( 9890 29410 ) L1M1_PR_MR
NEW met1 ( 4830 164050 ) M1M2_PR
NEW li1 ( 28750 164050 ) L1M1_PR_MR
NEW li1 ( 31510 164390 ) L1M1_PR_MR ;
- net103 ( ANTENNA__376__A DIODE ) ( ANTENNA__265__A DIODE ) ( ANTENNA__182__B1 DIODE ) ( input103 X ) ( _182_ B1 ) ( _265_ A ) ( _376_ A ) + USE SIGNAL
+ ROUTED met1 ( 6210 23290 ) ( 8970 * )
NEW met2 ( 6210 23290 ) ( * 94690 )
NEW met2 ( 229770 186490 ) ( * 188870 )
NEW met1 ( 222410 186490 ) ( 229770 * )
NEW met1 ( 227010 188870 ) ( 229770 * )
NEW met1 ( 229770 162350 ) ( 230690 * )
NEW met1 ( 227930 162690 ) ( 229770 * )
NEW met1 ( 229770 162350 ) ( * 162690 )
NEW met2 ( 229770 160990 ) ( * 162350 )
NEW met1 ( 218730 160990 ) ( 229770 * )
NEW met2 ( 229770 162350 ) ( * 186490 )
NEW met1 ( 217350 94690 ) ( 218730 * )
NEW met2 ( 218730 94690 ) ( * 96730 )
NEW met2 ( 218730 96730 ) ( * 160990 )
NEW met1 ( 6210 94690 ) ( 217350 * )
NEW li1 ( 8970 23290 ) L1M1_PR_MR
NEW met1 ( 6210 23290 ) M1M2_PR
NEW met1 ( 6210 94690 ) M1M2_PR
NEW li1 ( 222410 186490 ) L1M1_PR_MR
NEW li1 ( 227010 188870 ) L1M1_PR_MR
NEW met1 ( 218730 160990 ) M1M2_PR
NEW met1 ( 229770 186490 ) M1M2_PR
NEW met1 ( 229770 188870 ) M1M2_PR
NEW li1 ( 230690 162350 ) L1M1_PR_MR
NEW met1 ( 229770 162350 ) M1M2_PR
NEW li1 ( 227930 162690 ) L1M1_PR_MR
NEW met1 ( 229770 160990 ) M1M2_PR
NEW li1 ( 218730 96730 ) L1M1_PR_MR
NEW met1 ( 218730 96730 ) M1M2_PR
NEW li1 ( 217350 94690 ) L1M1_PR_MR
NEW met1 ( 218730 94690 ) M1M2_PR
NEW met1 ( 218730 96730 ) RECT ( 0 -70 355 70 ) ;
- net104 ( ANTENNA__386__A DIODE ) ( ANTENNA__275__A DIODE ) ( ANTENNA__169__B1 DIODE ) ( input104 X ) ( _169_ B1 ) ( _275_ A ) ( _386_ A ) + USE SIGNAL
+ ROUTED met2 ( 176870 34510 ) ( * 35870 )
NEW met1 ( 176870 36890 ) ( 180090 * )
NEW met2 ( 176870 35870 ) ( * 36890 )
NEW met1 ( 30130 55590 ) ( 36570 * )
NEW met2 ( 20010 55590 ) ( * 57630 )
NEW met1 ( 20010 57630 ) ( 21390 * )
NEW met1 ( 21390 57630 ) ( * 57970 )
NEW met1 ( 21390 57970 ) ( 28750 * )
NEW met2 ( 28750 55590 ) ( * 57970 )
NEW met1 ( 28750 55590 ) ( 30130 * )
NEW met2 ( 14030 52700 ) ( 14950 * )
NEW met2 ( 14950 52700 ) ( * 57970 )
NEW met1 ( 14950 57970 ) ( 20010 * )
NEW met1 ( 20010 57630 ) ( * 57970 )
NEW met1 ( 110400 34510 ) ( * 34850 )
NEW met1 ( 110400 34510 ) ( 176870 * )
NEW met1 ( 12650 47770 ) ( 14950 * )
NEW met2 ( 38870 35700 ) ( * 36210 )
NEW met3 ( 33580 35700 ) ( 38870 * )
NEW met4 ( 33580 26860 ) ( * 35700 )
NEW met3 ( 30130 26860 ) ( 33580 * )
NEW met2 ( 30130 24990 ) ( * 26860 )
NEW met1 ( 24610 24990 ) ( 30130 * )
NEW met1 ( 36570 39610 ) ( 38870 * )
NEW met2 ( 38870 36210 ) ( * 39610 )
NEW met2 ( 14030 47770 ) ( * 52700 )
NEW met2 ( 36570 39610 ) ( * 55590 )
NEW met1 ( 61870 35870 ) ( * 36210 )
NEW met1 ( 61870 35870 ) ( 64630 * )
NEW met2 ( 64630 34850 ) ( * 35870 )
NEW met1 ( 38870 36210 ) ( 61870 * )
NEW met1 ( 64630 34850 ) ( 110400 * )
NEW li1 ( 176870 35870 ) L1M1_PR_MR
NEW met1 ( 176870 35870 ) M1M2_PR
NEW met1 ( 176870 34510 ) M1M2_PR
NEW li1 ( 180090 36890 ) L1M1_PR_MR
NEW met1 ( 176870 36890 ) M1M2_PR
NEW li1 ( 30130 55590 ) L1M1_PR_MR
NEW met1 ( 36570 55590 ) M1M2_PR
NEW li1 ( 20010 55590 ) L1M1_PR_MR
NEW met1 ( 20010 55590 ) M1M2_PR
NEW met1 ( 20010 57630 ) M1M2_PR
NEW met1 ( 28750 57970 ) M1M2_PR
NEW met1 ( 28750 55590 ) M1M2_PR
NEW met1 ( 14950 57970 ) M1M2_PR
NEW li1 ( 12650 47770 ) L1M1_PR_MR
NEW li1 ( 14950 47770 ) L1M1_PR_MR
NEW met1 ( 14030 47770 ) M1M2_PR
NEW met1 ( 38870 36210 ) M1M2_PR
NEW met2 ( 38870 35700 ) M2M3_PR_M
NEW met3 ( 33580 35700 ) M3M4_PR_M
NEW met3 ( 33580 26860 ) M3M4_PR_M
NEW met2 ( 30130 26860 ) M2M3_PR_M
NEW met1 ( 30130 24990 ) M1M2_PR
NEW li1 ( 24610 24990 ) L1M1_PR_MR
NEW met1 ( 36570 39610 ) M1M2_PR
NEW met1 ( 38870 39610 ) M1M2_PR
NEW met1 ( 64630 35870 ) M1M2_PR
NEW met1 ( 64630 34850 ) M1M2_PR
NEW met1 ( 176870 35870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20010 55590 ) RECT ( 0 -70 355 70 )
NEW met1 ( 14030 47770 ) RECT ( -595 -70 0 70 ) ;
- net105 ( ANTENNA__387__A DIODE ) ( ANTENNA__276__A DIODE ) ( ANTENNA__168__B1 DIODE ) ( input105 X ) ( _168_ B1 ) ( _276_ A ) ( _387_ A ) + USE SIGNAL
+ ROUTED met1 ( 10810 77350 ) ( 13570 * )
NEW met1 ( 13570 76670 ) ( * 77350 )
NEW met2 ( 24150 76670 ) ( * 86020 )
NEW met2 ( 23690 86020 ) ( 24150 * )
NEW met1 ( 24150 76670 ) ( 27370 * )
NEW met1 ( 13570 76670 ) ( 24150 * )
NEW met1 ( 25070 28730 ) ( 27370 * )
NEW met2 ( 27370 28730 ) ( * 76670 )
NEW met2 ( 20930 151810 ) ( * 153510 )
NEW met1 ( 20930 151810 ) ( 23690 * )
NEW met2 ( 23690 146540 ) ( * 151810 )
NEW met2 ( 23230 146540 ) ( 23690 * )
NEW met2 ( 23230 135660 ) ( * 146540 )
NEW met2 ( 23230 135660 ) ( 23690 * )
NEW met1 ( 27830 152830 ) ( 30590 * )
NEW met2 ( 27830 151810 ) ( * 152830 )
NEW met1 ( 23690 151810 ) ( 27830 * )
NEW met1 ( 62100 153850 ) ( * 154190 )
NEW met1 ( 46690 154190 ) ( 62100 * )
NEW li1 ( 46690 152830 ) ( * 154190 )
NEW met1 ( 30590 152830 ) ( 46690 * )
NEW met2 ( 23690 86020 ) ( * 135660 )
NEW met1 ( 148810 153850 ) ( * 154190 )
NEW met1 ( 148810 154190 ) ( 154330 * )
NEW met1 ( 62100 153850 ) ( 148810 * )
NEW met1 ( 154330 228990 ) ( 154790 * )
NEW met1 ( 154330 234770 ) ( 156170 * )
NEW met2 ( 154330 228990 ) ( * 234770 )
NEW met2 ( 154330 154190 ) ( * 228990 )
NEW li1 ( 13570 76670 ) L1M1_PR_MR
NEW li1 ( 10810 77350 ) L1M1_PR_MR
NEW met1 ( 24150 76670 ) M1M2_PR
NEW met1 ( 27370 76670 ) M1M2_PR
NEW li1 ( 25070 28730 ) L1M1_PR_MR
NEW met1 ( 27370 28730 ) M1M2_PR
NEW li1 ( 20930 153510 ) L1M1_PR_MR
NEW met1 ( 20930 153510 ) M1M2_PR
NEW met1 ( 20930 151810 ) M1M2_PR
NEW met1 ( 23690 151810 ) M1M2_PR
NEW li1 ( 30590 152830 ) L1M1_PR_MR
NEW met1 ( 27830 152830 ) M1M2_PR
NEW met1 ( 27830 151810 ) M1M2_PR
NEW li1 ( 46690 154190 ) L1M1_PR_MR
NEW li1 ( 46690 152830 ) L1M1_PR_MR
NEW met1 ( 154330 154190 ) M1M2_PR
NEW li1 ( 154790 228990 ) L1M1_PR_MR
NEW met1 ( 154330 228990 ) M1M2_PR
NEW li1 ( 156170 234770 ) L1M1_PR_MR
NEW met1 ( 154330 234770 ) M1M2_PR
NEW met1 ( 20930 153510 ) RECT ( -355 -70 0 70 ) ;
- net106 ( ANTENNA__388__A DIODE ) ( ANTENNA__277__A DIODE ) ( ANTENNA__166__B1 DIODE ) ( input106 X ) ( _166_ B1 ) ( _277_ A ) ( _388_ A ) + USE SIGNAL
+ ROUTED met1 ( 62100 178330 ) ( 64170 * )
NEW met1 ( 28290 177310 ) ( 61410 * )
NEW met1 ( 62100 177310 ) ( * 178330 )
NEW met1 ( 61410 177310 ) ( 62100 * )
NEW met1 ( 28290 58650 ) ( 34270 * )
NEW met2 ( 28290 158700 ) ( * 177310 )
NEW met1 ( 28290 136510 ) ( 29670 * )
NEW met1 ( 23685 140250 ) ( 23690 * )
NEW met2 ( 23690 136510 ) ( * 140250 )
NEW met1 ( 23690 136510 ) ( 28290 * )
NEW met2 ( 27830 158700 ) ( 28290 * )
NEW met2 ( 27830 153340 ) ( * 158700 )
NEW met2 ( 27830 153340 ) ( 28290 * )
NEW met2 ( 28290 144670 ) ( * 153340 )
NEW met1 ( 28290 144670 ) ( 29670 * )
NEW met2 ( 29670 136510 ) ( * 144670 )
NEW met2 ( 28290 58650 ) ( * 136510 )
NEW met1 ( 138230 22270 ) ( 139610 * )
NEW met1 ( 139610 22950 ) ( 142370 * )
NEW met1 ( 139610 22270 ) ( * 22950 )
NEW met2 ( 138230 5610 ) ( * 22270 )
NEW met1 ( 32430 25670 ) ( 33350 * )
NEW met1 ( 32430 25330 ) ( * 25670 )
NEW met2 ( 32430 24820 ) ( * 25330 )
NEW met3 ( 32430 24820 ) ( 32660 * )
NEW met4 ( 32660 5780 ) ( * 24820 )
NEW met3 ( 32660 5780 ) ( 38410 * )
NEW met2 ( 38410 5610 ) ( * 5780 )
NEW met2 ( 33810 32980 ) ( 34270 * )
NEW met2 ( 33810 26350 ) ( * 32980 )
NEW met1 ( 33350 26350 ) ( 33810 * )
NEW met1 ( 33350 25670 ) ( * 26350 )
NEW met2 ( 34270 32980 ) ( * 58650 )
NEW met1 ( 38410 5610 ) ( 138230 * )
NEW met1 ( 138230 5610 ) M1M2_PR
NEW li1 ( 64170 178330 ) L1M1_PR_MR
NEW li1 ( 61410 177310 ) L1M1_PR_MR
NEW met1 ( 28290 177310 ) M1M2_PR
NEW met1 ( 34270 58650 ) M1M2_PR
NEW met1 ( 28290 58650 ) M1M2_PR
NEW li1 ( 29670 136510 ) L1M1_PR_MR
NEW met1 ( 28290 136510 ) M1M2_PR
NEW li1 ( 23685 140250 ) L1M1_PR_MR
NEW met1 ( 23690 140250 ) M1M2_PR
NEW met1 ( 23690 136510 ) M1M2_PR
NEW met1 ( 28290 144670 ) M1M2_PR
NEW met1 ( 29670 144670 ) M1M2_PR
NEW met1 ( 29670 136510 ) M1M2_PR
NEW li1 ( 139610 22270 ) L1M1_PR_MR
NEW met1 ( 138230 22270 ) M1M2_PR
NEW li1 ( 142370 22950 ) L1M1_PR_MR
NEW li1 ( 33350 25670 ) L1M1_PR_MR
NEW met1 ( 32430 25330 ) M1M2_PR
NEW met2 ( 32430 24820 ) M2M3_PR_M
NEW met3 ( 32660 24820 ) M3M4_PR_M
NEW met3 ( 32660 5780 ) M3M4_PR_M
NEW met2 ( 38410 5780 ) M2M3_PR_M
NEW met1 ( 38410 5610 ) M1M2_PR
NEW met1 ( 33810 26350 ) M1M2_PR
NEW met1 ( 23685 140250 ) RECT ( -350 -70 0 70 )
NEW met1 ( 29670 136510 ) RECT ( -595 -70 0 70 )
NEW met3 ( 32660 24820 ) RECT ( 0 -150 390 150 ) ;
- net107 ( ANTENNA__389__A DIODE ) ( ANTENNA__278__A DIODE ) ( ANTENNA__163__B1 DIODE ) ( input107 X ) ( _163_ B1 ) ( _278_ A ) ( _389_ A ) + USE SIGNAL
+ ROUTED met3 ( 12420 15980 ) ( * 16660 )
NEW met3 ( 1610 16660 ) ( 12420 * )
NEW met1 ( 213670 172550 ) ( 214590 * )
NEW met1 ( 217350 172550 ) ( * 172890 )
NEW met1 ( 214590 172550 ) ( 217350 * )
NEW met3 ( 25300 6460 ) ( 28750 * )
NEW met2 ( 28750 4250 ) ( * 6460 )
NEW met1 ( 28750 4250 ) ( 62100 * )
NEW met1 ( 62100 4250 ) ( * 4590 )
NEW met3 ( 25300 13940 ) ( 26450 * )
NEW met2 ( 26450 13940 ) ( * 14790 )
NEW met2 ( 26450 14790 ) ( * 15980 )
NEW met3 ( 12420 15980 ) ( 26450 * )
NEW met4 ( 25300 6460 ) ( * 13940 )
NEW met2 ( 214590 54060 ) ( 215050 * )
NEW met2 ( 214590 54060 ) ( * 172550 )
NEW met2 ( 215050 48300 ) ( * 54060 )
NEW met2 ( 214590 4590 ) ( * 27710 )
NEW met2 ( 232990 22780 ) ( * 22950 )
NEW met3 ( 214590 22780 ) ( 232990 * )
NEW met2 ( 215050 48300 ) ( 215510 * )
NEW met2 ( 215510 27710 ) ( * 48300 )
NEW met1 ( 214590 27710 ) ( 215510 * )
NEW met1 ( 62100 4590 ) ( 214590 * )
NEW met1 ( 26915 145690 ) ( * 145720 )
NEW met1 ( 25530 145690 ) ( 26915 * )
NEW met1 ( 25530 145350 ) ( * 145690 )
NEW met1 ( 1610 145350 ) ( 25530 * )
NEW met1 ( 34270 145350 ) ( 34730 * )
NEW met2 ( 34270 145180 ) ( * 145350 )
NEW met3 ( 22770 145180 ) ( 34270 * )
NEW met2 ( 22770 145180 ) ( * 145350 )
NEW met2 ( 1610 16660 ) ( * 145350 )
NEW met2 ( 1610 16660 ) M2M3_PR_M
NEW li1 ( 213670 172550 ) L1M1_PR_MR
NEW met1 ( 214590 172550 ) M1M2_PR
NEW li1 ( 217350 172890 ) L1M1_PR_MR
NEW met3 ( 25300 6460 ) M3M4_PR_M
NEW met2 ( 28750 6460 ) M2M3_PR_M
NEW met1 ( 28750 4250 ) M1M2_PR
NEW met3 ( 25300 13940 ) M3M4_PR_M
NEW met2 ( 26450 13940 ) M2M3_PR_M
NEW li1 ( 26450 14790 ) L1M1_PR_MR
NEW met1 ( 26450 14790 ) M1M2_PR
NEW met2 ( 26450 15980 ) M2M3_PR_M
NEW li1 ( 214590 27710 ) L1M1_PR_MR
NEW met1 ( 214590 27710 ) M1M2_PR
NEW met1 ( 214590 4590 ) M1M2_PR
NEW li1 ( 232990 22950 ) L1M1_PR_MR
NEW met1 ( 232990 22950 ) M1M2_PR
NEW met2 ( 232990 22780 ) M2M3_PR_M
NEW met2 ( 214590 22780 ) M2M3_PR_M
NEW met1 ( 215510 27710 ) M1M2_PR
NEW li1 ( 26915 145720 ) L1M1_PR_MR
NEW met1 ( 1610 145350 ) M1M2_PR
NEW li1 ( 34730 145350 ) L1M1_PR_MR
NEW met1 ( 34270 145350 ) M1M2_PR
NEW met2 ( 34270 145180 ) M2M3_PR_M
NEW met2 ( 22770 145180 ) M2M3_PR_M
NEW met1 ( 22770 145350 ) M1M2_PR
NEW met1 ( 26450 14790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 214590 27710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 232990 22950 ) RECT ( -355 -70 0 70 )
NEW met2 ( 214590 22780 ) RECT ( -70 -485 70 0 )
NEW met1 ( 22770 145350 ) RECT ( -595 -70 0 70 ) ;
- net108 ( ANTENNA__390__A DIODE ) ( ANTENNA__279__A DIODE ) ( ANTENNA__162__B1 DIODE ) ( input108 X ) ( _162_ B1 ) ( _279_ A ) ( _390_ A ) + USE SIGNAL
+ ROUTED met2 ( 230690 170170 ) ( * 172550 )
NEW met1 ( 230690 170170 ) ( 234830 * )
NEW met1 ( 227700 172550 ) ( * 173230 )
NEW met1 ( 227700 172550 ) ( 230690 * )
NEW met2 ( 72910 173230 ) ( * 196350 )
NEW met1 ( 72910 197030 ) ( 75670 * )
NEW met1 ( 72910 196350 ) ( * 197030 )
NEW met2 ( 72910 92990 ) ( * 173230 )
NEW met2 ( 32430 91630 ) ( * 92990 )
NEW met1 ( 27370 91290 ) ( 32430 * )
NEW met1 ( 32430 91290 ) ( * 91630 )
NEW met1 ( 32430 92990 ) ( 72910 * )
NEW met1 ( 72910 173230 ) ( 227700 * )
NEW met2 ( 27370 17850 ) ( * 27710 )
NEW met1 ( 27370 27710 ) ( 30130 * )
NEW met2 ( 30130 27710 ) ( * 91290 )
NEW li1 ( 230690 172550 ) L1M1_PR_MR
NEW met1 ( 230690 172550 ) M1M2_PR
NEW met1 ( 230690 170170 ) M1M2_PR
NEW li1 ( 234830 170170 ) L1M1_PR_MR
NEW met1 ( 72910 92990 ) M1M2_PR
NEW met1 ( 72910 173230 ) M1M2_PR
NEW li1 ( 72910 196350 ) L1M1_PR_MR
NEW met1 ( 72910 196350 ) M1M2_PR
NEW li1 ( 75670 197030 ) L1M1_PR_MR
NEW li1 ( 32430 91630 ) L1M1_PR_MR
NEW met1 ( 32430 91630 ) M1M2_PR
NEW met1 ( 32430 92990 ) M1M2_PR
NEW li1 ( 27370 91290 ) L1M1_PR_MR
NEW met1 ( 30130 91290 ) M1M2_PR
NEW li1 ( 27370 17850 ) L1M1_PR_MR
NEW met1 ( 27370 17850 ) M1M2_PR
NEW met1 ( 27370 27710 ) M1M2_PR
NEW met1 ( 30130 27710 ) M1M2_PR
NEW met1 ( 230690 172550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 72910 196350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 32430 91630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 30130 91290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 27370 17850 ) RECT ( -355 -70 0 70 ) ;
- net109 ( ANTENNA__391__A DIODE ) ( ANTENNA__280__A DIODE ) ( ANTENNA__161__B1 DIODE ) ( input109 X ) ( _161_ B1 ) ( _280_ A ) ( _391_ A ) + USE SIGNAL
+ ROUTED met2 ( 6210 6970 ) ( * 13090 )
NEW met1 ( 690 13090 ) ( 6210 * )
NEW met2 ( 94530 6630 ) ( * 12580 )
NEW met2 ( 94530 12580 ) ( 94990 * )
NEW met2 ( 690 13090 ) ( * 34500 )
NEW met2 ( 690 34500 ) ( 1150 * )
NEW met2 ( 1150 34500 ) ( * 224230 )
NEW met1 ( 227930 129370 ) ( 230230 * )
NEW met1 ( 6210 6970 ) ( 13800 * )
NEW met3 ( 34730 11220 ) ( 52670 * )
NEW met2 ( 52670 6970 ) ( * 11220 )
NEW met1 ( 52670 6970 ) ( 54050 * )
NEW met1 ( 54050 6630 ) ( * 6970 )
NEW met1 ( 13800 6970 ) ( * 7990 )
NEW met1 ( 13800 7990 ) ( 31050 * )
NEW met2 ( 31050 7990 ) ( * 10540 )
NEW met3 ( 31050 10540 ) ( 31740 * )
NEW met3 ( 31740 10540 ) ( * 11220 )
NEW met3 ( 31740 11220 ) ( 34730 * )
NEW met1 ( 54050 6630 ) ( 94530 * )
NEW met2 ( 230230 69020 ) ( * 129370 )
NEW met1 ( 34270 14790 ) ( 34730 * )
NEW met2 ( 34730 11220 ) ( * 14790 )
NEW met1 ( 21850 224230 ) ( 24610 * )
NEW met1 ( 1150 224230 ) ( 21850 * )
NEW met3 ( 144900 69020 ) ( 230230 * )
NEW met1 ( 101425 69530 ) ( 101430 * )
NEW met2 ( 101430 69530 ) ( * 69700 )
NEW met3 ( 101430 69700 ) ( 144900 * )
NEW met3 ( 144900 69020 ) ( * 69700 )
NEW met1 ( 98210 69190 ) ( 101425 * )
NEW met1 ( 101425 69190 ) ( * 69530 )
NEW met2 ( 94990 12580 ) ( * 69190 )
NEW met1 ( 94990 69190 ) ( 98210 * )
NEW met1 ( 6210 6970 ) M1M2_PR
NEW met1 ( 6210 13090 ) M1M2_PR
NEW met1 ( 690 13090 ) M1M2_PR
NEW met1 ( 1150 224230 ) M1M2_PR
NEW met1 ( 94530 6630 ) M1M2_PR
NEW li1 ( 230230 129370 ) L1M1_PR_MR
NEW met1 ( 230230 129370 ) M1M2_PR
NEW li1 ( 227930 129370 ) L1M1_PR_MR
NEW met2 ( 34730 11220 ) M2M3_PR_M
NEW met2 ( 52670 11220 ) M2M3_PR_M
NEW met1 ( 52670 6970 ) M1M2_PR
NEW met1 ( 31050 7990 ) M1M2_PR
NEW met2 ( 31050 10540 ) M2M3_PR_M
NEW met2 ( 230230 69020 ) M2M3_PR_M
NEW met1 ( 34730 14790 ) M1M2_PR
NEW li1 ( 34270 14790 ) L1M1_PR_MR
NEW li1 ( 21850 224230 ) L1M1_PR_MR
NEW li1 ( 24610 224230 ) L1M1_PR_MR
NEW li1 ( 101425 69530 ) L1M1_PR_MR
NEW met1 ( 101430 69530 ) M1M2_PR
NEW met2 ( 101430 69700 ) M2M3_PR_M
NEW li1 ( 98210 69190 ) L1M1_PR_MR
NEW met1 ( 94990 69190 ) M1M2_PR
NEW met1 ( 230230 129370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 101425 69530 ) RECT ( -350 -70 0 70 ) ;
- net11 ( ANTENNA__218__B1 DIODE ) ( input11 X ) ( _218_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 153410 7990 ) ( 179400 * )
NEW met1 ( 179400 7990 ) ( * 8330 )
NEW met1 ( 179400 8330 ) ( 224710 * )
NEW met2 ( 153410 7990 ) ( * 60690 )
NEW met1 ( 222870 14110 ) ( 224710 * )
NEW met2 ( 224710 8330 ) ( * 14110 )
NEW met1 ( 128570 63070 ) ( 135930 * )
NEW met2 ( 135930 60690 ) ( * 63070 )
NEW met2 ( 126730 61030 ) ( * 63070 )
NEW met1 ( 126730 63070 ) ( 128570 * )
NEW met1 ( 135930 60690 ) ( 153410 * )
NEW met1 ( 153410 7990 ) M1M2_PR
NEW met1 ( 224710 8330 ) M1M2_PR
NEW met1 ( 153410 60690 ) M1M2_PR
NEW met1 ( 224710 14110 ) M1M2_PR
NEW li1 ( 222870 14110 ) L1M1_PR_MR
NEW li1 ( 128570 63070 ) L1M1_PR_MR
NEW met1 ( 135930 63070 ) M1M2_PR
NEW met1 ( 135930 60690 ) M1M2_PR
NEW li1 ( 126730 61030 ) L1M1_PR_MR
NEW met1 ( 126730 61030 ) M1M2_PR
NEW met1 ( 126730 63070 ) M1M2_PR
NEW met1 ( 126730 61030 ) RECT ( -355 -70 0 70 ) ;
- net110 ( ANTENNA__392__A DIODE ) ( ANTENNA__281__A DIODE ) ( ANTENNA__160__B1 DIODE ) ( input110 X ) ( _160_ B1 ) ( _281_ A ) ( _392_ A ) + USE SIGNAL
+ ROUTED met1 ( 29670 28730 ) ( * 29070 )
NEW met1 ( 5290 29070 ) ( 29670 * )
NEW met2 ( 158470 131410 ) ( * 135150 )
NEW met1 ( 125810 151130 ) ( 126270 * )
NEW met2 ( 126270 148750 ) ( * 151130 )
NEW met1 ( 126270 148750 ) ( 146970 * )
NEW met2 ( 146970 135150 ) ( * 148750 )
NEW met1 ( 146970 135150 ) ( 158470 * )
NEW met1 ( 122590 150790 ) ( 125810 * )
NEW met1 ( 125810 150790 ) ( * 151130 )
NEW met1 ( 158470 131410 ) ( 161690 * )
NEW met1 ( 158470 135150 ) ( 163070 * )
NEW met1 ( 29670 188190 ) ( 31970 * )
NEW met2 ( 29670 150790 ) ( * 188190 )
NEW met1 ( 33810 191250 ) ( * 191600 )
NEW met1 ( 29670 191250 ) ( 33810 * )
NEW met2 ( 29670 188190 ) ( * 191250 )
NEW met2 ( 5290 29070 ) ( * 150790 )
NEW met1 ( 5290 150790 ) ( 122590 * )
NEW met1 ( 5290 29070 ) M1M2_PR
NEW li1 ( 163070 135150 ) L1M1_PR_MR
NEW li1 ( 161690 131410 ) L1M1_PR_MR
NEW li1 ( 29670 28730 ) L1M1_PR_MR
NEW met1 ( 158470 135150 ) M1M2_PR
NEW met1 ( 158470 131410 ) M1M2_PR
NEW li1 ( 125810 151130 ) L1M1_PR_MR
NEW met1 ( 126270 151130 ) M1M2_PR
NEW met1 ( 126270 148750 ) M1M2_PR
NEW met1 ( 146970 148750 ) M1M2_PR
NEW met1 ( 146970 135150 ) M1M2_PR
NEW li1 ( 122590 150790 ) L1M1_PR_MR
NEW met1 ( 5290 150790 ) M1M2_PR
NEW li1 ( 31970 188190 ) L1M1_PR_MR
NEW met1 ( 29670 188190 ) M1M2_PR
NEW met1 ( 29670 150790 ) M1M2_PR
NEW li1 ( 33810 191600 ) L1M1_PR_MR
NEW met1 ( 29670 191250 ) M1M2_PR
NEW met1 ( 29670 150790 ) RECT ( -595 -70 0 70 ) ;
- net111 ( ANTENNA__393__A DIODE ) ( ANTENNA__282__A DIODE ) ( ANTENNA__158__B1 DIODE ) ( input111 X ) ( _158_ B1 ) ( _282_ A ) ( _393_ A ) + USE SIGNAL
+ ROUTED li1 ( 3450 12750 ) ( * 15130 )
NEW met2 ( 3450 15130 ) ( * 218450 )
NEW met2 ( 85790 217090 ) ( * 218450 )
NEW met1 ( 186070 148070 ) ( 189750 * )
NEW met1 ( 189750 148070 ) ( 222870 * )
NEW met1 ( 3450 12750 ) ( 13800 * )
NEW met1 ( 13800 12410 ) ( * 12750 )
NEW met1 ( 13800 12410 ) ( 32890 * )
NEW met1 ( 3450 218450 ) ( 13800 * )
NEW met1 ( 32890 218790 ) ( 38410 * )
NEW met1 ( 38410 218450 ) ( * 218790 )
NEW met1 ( 13800 218450 ) ( * 218790 )
NEW met1 ( 13800 218790 ) ( 32890 * )
NEW met1 ( 38410 218450 ) ( 85790 * )
NEW met2 ( 126730 217090 ) ( * 218450 )
NEW met1 ( 85790 217090 ) ( 126730 * )
NEW met1 ( 224710 227970 ) ( 238050 * )
NEW met2 ( 238050 227970 ) ( * 229670 )
NEW met1 ( 222870 227970 ) ( 224710 * )
NEW met1 ( 126730 218450 ) ( 222870 * )
NEW met2 ( 222870 148070 ) ( * 227970 )
NEW li1 ( 3450 15130 ) L1M1_PR_MR
NEW met1 ( 3450 15130 ) M1M2_PR
NEW li1 ( 3450 12750 ) L1M1_PR_MR
NEW met1 ( 3450 218450 ) M1M2_PR
NEW met1 ( 222870 148070 ) M1M2_PR
NEW met1 ( 85790 218450 ) M1M2_PR
NEW met1 ( 85790 217090 ) M1M2_PR
NEW li1 ( 189750 148070 ) L1M1_PR_MR
NEW li1 ( 186070 148070 ) L1M1_PR_MR
NEW li1 ( 32890 12410 ) L1M1_PR_MR
NEW li1 ( 38410 218450 ) L1M1_PR_MR
NEW li1 ( 32890 218790 ) L1M1_PR_MR
NEW met1 ( 126730 217090 ) M1M2_PR
NEW met1 ( 126730 218450 ) M1M2_PR
NEW li1 ( 224710 227970 ) L1M1_PR_MR
NEW met1 ( 238050 227970 ) M1M2_PR
NEW li1 ( 238050 229670 ) L1M1_PR_MR
NEW met1 ( 238050 229670 ) M1M2_PR
NEW met1 ( 222870 227970 ) M1M2_PR
NEW met1 ( 222870 218450 ) M1M2_PR
NEW met1 ( 3450 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 238050 229670 ) RECT ( -355 -70 0 70 )
NEW met2 ( 222870 218450 ) RECT ( -70 -485 70 0 ) ;
- net112 ( ANTENNA__394__A DIODE ) ( ANTENNA__283__A DIODE ) ( ANTENNA__155__B1 DIODE ) ( input112 X ) ( _155_ B1 ) ( _283_ A ) ( _394_ A ) + USE SIGNAL
+ ROUTED met1 ( 100050 218450 ) ( 102810 * )
NEW met1 ( 97290 218450 ) ( 100050 * )
NEW met1 ( 40710 22610 ) ( 50830 * )
NEW met2 ( 50830 22610 ) ( * 23970 )
NEW met1 ( 50830 23970 ) ( 59110 * )
NEW met2 ( 59110 23970 ) ( * 34510 )
NEW met1 ( 59110 34510 ) ( 61410 * )
NEW met2 ( 61410 34510 ) ( * 47770 )
NEW met1 ( 61410 47770 ) ( 63710 * )
NEW met1 ( 104650 49470 ) ( 111550 * )
NEW met2 ( 104650 49470 ) ( * 50830 )
NEW met1 ( 114770 53210 ) ( 116150 * )
NEW met2 ( 114770 49470 ) ( * 53210 )
NEW met1 ( 111550 49470 ) ( 114770 * )
NEW met2 ( 92690 50830 ) ( * 51340 )
NEW met3 ( 92690 51340 ) ( 94300 * )
NEW met4 ( 94300 51340 ) ( * 62900 )
NEW met3 ( 94300 62900 ) ( 95450 * )
NEW met3 ( 63710 51340 ) ( 92690 * )
NEW met2 ( 63710 47770 ) ( * 51340 )
NEW met1 ( 92690 50830 ) ( 104650 * )
NEW met2 ( 95450 62900 ) ( * 75900 )
NEW met1 ( 93610 114750 ) ( 95450 * )
NEW met2 ( 95450 92820 ) ( * 114750 )
NEW met2 ( 94990 92820 ) ( 95450 * )
NEW met2 ( 94990 75900 ) ( * 92820 )
NEW met2 ( 94990 75900 ) ( 95450 * )
NEW met1 ( 94070 118490 ) ( 95450 * )
NEW met2 ( 95450 114750 ) ( * 118490 )
NEW met1 ( 95450 118490 ) ( 102810 * )
NEW met2 ( 102810 118490 ) ( * 218450 )
NEW met1 ( 63710 47770 ) M1M2_PR
NEW li1 ( 100050 218450 ) L1M1_PR_MR
NEW met1 ( 102810 218450 ) M1M2_PR
NEW li1 ( 97290 218450 ) L1M1_PR_MR
NEW li1 ( 40710 22610 ) L1M1_PR_MR
NEW met1 ( 50830 22610 ) M1M2_PR
NEW met1 ( 50830 23970 ) M1M2_PR
NEW met1 ( 59110 23970 ) M1M2_PR
NEW met1 ( 59110 34510 ) M1M2_PR
NEW met1 ( 61410 34510 ) M1M2_PR
NEW met1 ( 61410 47770 ) M1M2_PR
NEW li1 ( 111550 49470 ) L1M1_PR_MR
NEW met1 ( 104650 49470 ) M1M2_PR
NEW met1 ( 104650 50830 ) M1M2_PR
NEW li1 ( 116150 53210 ) L1M1_PR_MR
NEW met1 ( 114770 53210 ) M1M2_PR
NEW met1 ( 114770 49470 ) M1M2_PR
NEW met1 ( 92690 50830 ) M1M2_PR
NEW met2 ( 92690 51340 ) M2M3_PR_M
NEW met3 ( 94300 51340 ) M3M4_PR_M
NEW met3 ( 94300 62900 ) M3M4_PR_M
NEW met2 ( 95450 62900 ) M2M3_PR_M
NEW met2 ( 63710 51340 ) M2M3_PR_M
NEW li1 ( 93610 114750 ) L1M1_PR_MR
NEW met1 ( 95450 114750 ) M1M2_PR
NEW li1 ( 94070 118490 ) L1M1_PR_MR
NEW met1 ( 95450 118490 ) M1M2_PR
NEW met1 ( 102810 118490 ) M1M2_PR ;
- net113 ( ANTENNA__395__A DIODE ) ( ANTENNA__284__A DIODE ) ( ANTENNA__154__B1 DIODE ) ( input113 X ) ( _154_ B1 ) ( _284_ A ) ( _395_ A ) + USE SIGNAL
+ ROUTED met2 ( 202630 125630 ) ( * 129370 )
NEW met1 ( 201250 125630 ) ( 217810 * )
NEW met1 ( 214590 81090 ) ( 217810 * )
NEW met1 ( 217805 77350 ) ( 217810 * )
NEW met2 ( 217810 77350 ) ( * 81090 )
NEW met2 ( 209070 78370 ) ( * 81090 )
NEW met1 ( 209070 81090 ) ( 214590 * )
NEW met1 ( 156170 78370 ) ( 209070 * )
NEW met2 ( 217810 81090 ) ( * 125630 )
NEW met2 ( 28290 209100 ) ( * 209950 )
NEW met3 ( 28290 209100 ) ( 34500 * )
NEW met1 ( 25070 210970 ) ( 28290 * )
NEW met2 ( 28290 209950 ) ( * 210970 )
NEW met2 ( 158470 5950 ) ( * 13800 )
NEW met2 ( 156170 37740 ) ( 158010 * )
NEW met2 ( 158010 13800 ) ( * 37740 )
NEW met2 ( 158010 13800 ) ( 158470 * )
NEW met2 ( 156170 37740 ) ( * 78370 )
NEW met2 ( 34730 17850 ) ( * 18020 )
NEW met3 ( 34500 18020 ) ( 34730 * )
NEW met1 ( 42550 5950 ) ( * 6290 )
NEW met1 ( 38410 6290 ) ( 42550 * )
NEW met2 ( 38410 6290 ) ( * 6460 )
NEW met3 ( 34500 6460 ) ( 38410 * )
NEW met4 ( 34500 6460 ) ( * 18020 )
NEW met4 ( 34500 18020 ) ( * 209100 )
NEW met1 ( 42550 5950 ) ( 158470 * )
NEW met1 ( 217810 125630 ) M1M2_PR
NEW met1 ( 158470 5950 ) M1M2_PR
NEW li1 ( 201250 125630 ) L1M1_PR_MR
NEW li1 ( 202630 129370 ) L1M1_PR_MR
NEW met1 ( 202630 129370 ) M1M2_PR
NEW met1 ( 202630 125630 ) M1M2_PR
NEW met1 ( 156170 78370 ) M1M2_PR
NEW li1 ( 214590 81090 ) L1M1_PR_MR
NEW met1 ( 217810 81090 ) M1M2_PR
NEW li1 ( 217805 77350 ) L1M1_PR_MR
NEW met1 ( 217810 77350 ) M1M2_PR
NEW met1 ( 209070 78370 ) M1M2_PR
NEW met1 ( 209070 81090 ) M1M2_PR
NEW li1 ( 28290 209950 ) L1M1_PR_MR
NEW met1 ( 28290 209950 ) M1M2_PR
NEW met2 ( 28290 209100 ) M2M3_PR_M
NEW met3 ( 34500 209100 ) M3M4_PR_M
NEW li1 ( 25070 210970 ) L1M1_PR_MR
NEW met1 ( 28290 210970 ) M1M2_PR
NEW li1 ( 34730 17850 ) L1M1_PR_MR
NEW met1 ( 34730 17850 ) M1M2_PR
NEW met2 ( 34730 18020 ) M2M3_PR_M
NEW met3 ( 34500 18020 ) M3M4_PR_M
NEW met1 ( 38410 6290 ) M1M2_PR
NEW met2 ( 38410 6460 ) M2M3_PR_M
NEW met3 ( 34500 6460 ) M3M4_PR_M
NEW met1 ( 202630 129370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 202630 125630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 217805 77350 ) RECT ( -350 -70 0 70 )
NEW met1 ( 28290 209950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 34730 17850 ) RECT ( -355 -70 0 70 )
NEW met3 ( 34730 18020 ) RECT ( 0 -150 390 150 ) ;
- net114 ( ANTENNA__377__A DIODE ) ( ANTENNA__266__A DIODE ) ( ANTENNA__181__B1 DIODE ) ( input114 X ) ( _181_ B1 ) ( _266_ A ) ( _377_ A ) + USE SIGNAL
+ ROUTED met1 ( 11450 61030 ) ( 11730 * )
NEW met2 ( 11730 61030 ) ( * 64770 )
NEW met2 ( 200330 43010 ) ( * 47430 )
NEW met1 ( 200330 44370 ) ( 202170 * )
NEW met1 ( 26910 104210 ) ( 29670 * )
NEW met1 ( 20470 104210 ) ( 26910 * )
NEW met1 ( 15870 64770 ) ( 20470 * )
NEW met1 ( 11730 64770 ) ( 15870 * )
NEW met2 ( 20470 62100 ) ( * 104210 )
NEW met2 ( 20470 62100 ) ( 20930 * )
NEW met2 ( 20930 58650 ) ( * 62100 )
NEW met2 ( 20470 58650 ) ( 20930 * )
NEW met2 ( 20470 56100 ) ( * 58650 )
NEW met2 ( 20470 56100 ) ( 20930 * )
NEW met2 ( 20930 52190 ) ( * 56100 )
NEW met2 ( 20470 52190 ) ( 20930 * )
NEW met2 ( 20470 51170 ) ( * 52190 )
NEW met2 ( 20470 51170 ) ( 21850 * )
NEW met1 ( 21850 48450 ) ( 24150 * )
NEW met2 ( 8050 31110 ) ( * 32300 )
NEW met3 ( 8050 32300 ) ( 8740 * )
NEW met4 ( 8740 32300 ) ( * 47940 )
NEW met3 ( 8740 47940 ) ( 21850 * )
NEW met1 ( 24150 48110 ) ( 34730 * )
NEW met2 ( 34730 46750 ) ( * 48110 )
NEW met1 ( 34730 46750 ) ( 44390 * )
NEW met2 ( 44390 46750 ) ( * 47770 )
NEW met2 ( 21850 47940 ) ( * 51170 )
NEW met1 ( 24150 48110 ) ( * 48450 )
NEW li1 ( 56810 47090 ) ( * 47770 )
NEW met1 ( 56810 47090 ) ( 62790 * )
NEW met1 ( 62790 47090 ) ( * 47430 )
NEW met1 ( 44390 47770 ) ( 56810 * )
NEW met1 ( 109250 47430 ) ( * 47770 )
NEW met1 ( 109250 47770 ) ( 110170 * )
NEW met1 ( 110170 47430 ) ( * 47770 )
NEW met1 ( 62790 47430 ) ( 109250 * )
NEW met1 ( 141910 47090 ) ( * 47430 )
NEW met1 ( 141910 47090 ) ( 142830 * )
NEW met1 ( 142830 47090 ) ( * 47430 )
NEW met1 ( 110170 47430 ) ( 141910 * )
NEW met1 ( 142830 47430 ) ( 200330 * )
NEW li1 ( 11450 61030 ) L1M1_PR_MR
NEW met1 ( 11730 61030 ) M1M2_PR
NEW met1 ( 11730 64770 ) M1M2_PR
NEW li1 ( 200330 43010 ) L1M1_PR_MR
NEW met1 ( 200330 43010 ) M1M2_PR
NEW met1 ( 200330 47430 ) M1M2_PR
NEW li1 ( 202170 44370 ) L1M1_PR_MR
NEW met1 ( 200330 44370 ) M1M2_PR
NEW li1 ( 26910 104210 ) L1M1_PR_MR
NEW li1 ( 29670 104210 ) L1M1_PR_MR
NEW met1 ( 20470 104210 ) M1M2_PR
NEW li1 ( 15870 64770 ) L1M1_PR_MR
NEW met1 ( 20470 64770 ) M1M2_PR
NEW met1 ( 21850 48450 ) M1M2_PR
NEW li1 ( 8050 31110 ) L1M1_PR_MR
NEW met1 ( 8050 31110 ) M1M2_PR
NEW met2 ( 8050 32300 ) M2M3_PR_M
NEW met3 ( 8740 32300 ) M3M4_PR_M
NEW met3 ( 8740 47940 ) M3M4_PR_M
NEW met2 ( 21850 47940 ) M2M3_PR_M
NEW met1 ( 34730 48110 ) M1M2_PR
NEW met1 ( 34730 46750 ) M1M2_PR
NEW met1 ( 44390 46750 ) M1M2_PR
NEW met1 ( 44390 47770 ) M1M2_PR
NEW li1 ( 56810 47770 ) L1M1_PR_MR
NEW li1 ( 56810 47090 ) L1M1_PR_MR
NEW met1 ( 200330 43010 ) RECT ( -355 -70 0 70 )
NEW met2 ( 200330 44370 ) RECT ( -70 -485 70 0 )
NEW met2 ( 20470 64770 ) RECT ( -70 -485 70 0 )
NEW met2 ( 21850 48450 ) RECT ( -70 -485 70 0 )
NEW met1 ( 8050 31110 ) RECT ( -355 -70 0 70 ) ;
- net115 ( ANTENNA__396__A DIODE ) ( ANTENNA__285__A DIODE ) ( ANTENNA__153__B1 DIODE ) ( input115 X ) ( _153_ B1 ) ( _285_ A ) ( _396_ A ) + USE SIGNAL
+ ROUTED li1 ( 106950 7650 ) ( * 8330 )
NEW met1 ( 106950 8330 ) ( 137770 * )
NEW met1 ( 76130 191590 ) ( 78430 * )
NEW met1 ( 76130 190910 ) ( * 191590 )
NEW met2 ( 76130 37740 ) ( 77050 * )
NEW met2 ( 77050 7650 ) ( * 37740 )
NEW met2 ( 69230 224910 ) ( * 226610 )
NEW met1 ( 69230 224910 ) ( 76130 * )
NEW met2 ( 76130 190910 ) ( * 224910 )
NEW met2 ( 38870 225250 ) ( * 226610 )
NEW met1 ( 30680 224230 ) ( 31050 * )
NEW met1 ( 31050 224230 ) ( * 224910 )
NEW met1 ( 31050 224910 ) ( 38870 * )
NEW met1 ( 38870 224910 ) ( * 225250 )
NEW met1 ( 38870 226610 ) ( 69230 * )
NEW met1 ( 137770 39270 ) ( 140530 * )
NEW met1 ( 140530 39270 ) ( 143750 * )
NEW met2 ( 137770 8330 ) ( * 39270 )
NEW li1 ( 48070 3910 ) ( * 7650 )
NEW met2 ( 48070 3910 ) ( * 4420 )
NEW met3 ( 47380 4420 ) ( 48070 * )
NEW met4 ( 47380 4420 ) ( * 10540 )
NEW met3 ( 45310 10540 ) ( 47380 * )
NEW met2 ( 45310 10540 ) ( * 11730 )
NEW met1 ( 48070 7650 ) ( 106950 * )
NEW met2 ( 76130 37740 ) ( * 190910 )
NEW li1 ( 106950 7650 ) L1M1_PR_MR
NEW li1 ( 106950 8330 ) L1M1_PR_MR
NEW met1 ( 77050 7650 ) M1M2_PR
NEW met1 ( 137770 8330 ) M1M2_PR
NEW li1 ( 76130 190910 ) L1M1_PR_MR
NEW met1 ( 76130 190910 ) M1M2_PR
NEW li1 ( 78430 191590 ) L1M1_PR_MR
NEW met1 ( 69230 226610 ) M1M2_PR
NEW met1 ( 69230 224910 ) M1M2_PR
NEW met1 ( 76130 224910 ) M1M2_PR
NEW li1 ( 38870 225250 ) L1M1_PR_MR
NEW met1 ( 38870 225250 ) M1M2_PR
NEW met1 ( 38870 226610 ) M1M2_PR
NEW li1 ( 30680 224230 ) L1M1_PR_MR
NEW li1 ( 140530 39270 ) L1M1_PR_MR
NEW met1 ( 137770 39270 ) M1M2_PR
NEW li1 ( 143750 39270 ) L1M1_PR_MR
NEW li1 ( 48070 7650 ) L1M1_PR_MR
NEW li1 ( 48070 3910 ) L1M1_PR_MR
NEW met1 ( 48070 3910 ) M1M2_PR
NEW met2 ( 48070 4420 ) M2M3_PR_M
NEW met3 ( 47380 4420 ) M3M4_PR_M
NEW met3 ( 47380 10540 ) M3M4_PR_M
NEW met2 ( 45310 10540 ) M2M3_PR_M
NEW li1 ( 45310 11730 ) L1M1_PR_MR
NEW met1 ( 45310 11730 ) M1M2_PR
NEW met1 ( 77050 7650 ) RECT ( -595 -70 0 70 )
NEW met1 ( 76130 190910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 38870 225250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 48070 3910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45310 11730 ) RECT ( -355 -70 0 70 ) ;
- net116 ( ANTENNA__397__A DIODE ) ( ANTENNA__286__A DIODE ) ( ANTENNA__152__B1 DIODE ) ( input116 X ) ( _152_ B1 ) ( _286_ A ) ( _397_ A ) + USE SIGNAL
+ ROUTED met1 ( 162150 167450 ) ( 163070 * )
NEW met2 ( 162150 163710 ) ( * 167450 )
NEW met2 ( 163070 167450 ) ( * 197030 )
NEW met2 ( 162150 102510 ) ( * 163710 )
NEW met1 ( 150190 197030 ) ( 152490 * )
NEW met1 ( 152490 197030 ) ( 163070 * )
NEW met1 ( 37490 27710 ) ( 41630 * )
NEW met2 ( 41630 26350 ) ( * 27710 )
NEW met1 ( 37950 26350 ) ( 41630 * )
NEW met2 ( 37490 27710 ) ( * 101150 )
NEW met1 ( 59570 102850 ) ( 63710 * )
NEW met1 ( 63710 102510 ) ( * 102850 )
NEW met1 ( 62695 102185 ) ( * 102850 )
NEW li1 ( 56810 101150 ) ( * 102850 )
NEW met1 ( 56810 102850 ) ( 59570 * )
NEW met1 ( 37490 101150 ) ( 56810 * )
NEW met1 ( 63710 102510 ) ( 162150 * )
NEW met1 ( 163070 197030 ) M1M2_PR
NEW li1 ( 162150 163710 ) L1M1_PR_MR
NEW met1 ( 162150 163710 ) M1M2_PR
NEW li1 ( 163070 167450 ) L1M1_PR_MR
NEW met1 ( 162150 167450 ) M1M2_PR
NEW met1 ( 163070 167450 ) M1M2_PR
NEW met1 ( 162150 102510 ) M1M2_PR
NEW met1 ( 37490 101150 ) M1M2_PR
NEW li1 ( 152490 197030 ) L1M1_PR_MR
NEW li1 ( 150190 197030 ) L1M1_PR_MR
NEW met1 ( 37490 27710 ) M1M2_PR
NEW met1 ( 41630 27710 ) M1M2_PR
NEW met1 ( 41630 26350 ) M1M2_PR
NEW li1 ( 37950 26350 ) L1M1_PR_MR
NEW li1 ( 59570 102850 ) L1M1_PR_MR
NEW li1 ( 62695 102185 ) L1M1_PR_MR
NEW li1 ( 56810 101150 ) L1M1_PR_MR
NEW li1 ( 56810 102850 ) L1M1_PR_MR
NEW met1 ( 162150 163710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 163070 167450 ) RECT ( -595 -70 0 70 ) ;
- net117 ( ANTENNA__398__A DIODE ) ( ANTENNA__287__A DIODE ) ( ANTENNA__150__B1 DIODE ) ( input117 X ) ( _150_ B1 ) ( _287_ A ) ( _398_ A ) + USE SIGNAL
+ ROUTED met2 ( 171350 4930 ) ( * 13800 )
NEW met2 ( 166750 42670 ) ( * 58990 )
NEW met1 ( 166750 42670 ) ( 170890 * )
NEW met2 ( 170890 13800 ) ( * 42670 )
NEW met2 ( 170890 13800 ) ( 171350 * )
NEW met2 ( 206770 59330 ) ( * 60690 )
NEW met1 ( 206770 58990 ) ( * 59330 )
NEW met1 ( 48070 4930 ) ( 171350 * )
NEW met1 ( 116610 183770 ) ( 119370 * )
NEW met2 ( 116610 182750 ) ( * 183770 )
NEW met1 ( 222410 63070 ) ( 222870 * )
NEW met1 ( 222410 64090 ) ( 225630 * )
NEW met2 ( 222410 63070 ) ( * 64090 )
NEW met1 ( 47610 14790 ) ( 48070 * )
NEW met2 ( 48070 4930 ) ( * 14790 )
NEW met1 ( 114770 37570 ) ( 116610 * )
NEW met2 ( 114770 4930 ) ( * 37570 )
NEW met1 ( 216980 58650 ) ( 217350 * )
NEW met1 ( 217350 58650 ) ( * 58990 )
NEW met1 ( 217350 58990 ) ( 222410 * )
NEW met1 ( 207230 58650 ) ( * 58990 )
NEW met1 ( 207230 58650 ) ( 209530 * )
NEW met1 ( 209530 58650 ) ( * 58990 )
NEW met1 ( 209530 58990 ) ( 217350 * )
NEW met1 ( 166750 58990 ) ( 207230 * )
NEW met1 ( 206770 60690 ) ( 210450 * )
NEW met2 ( 222410 58990 ) ( * 63070 )
NEW met2 ( 116610 37570 ) ( * 182750 )
NEW met1 ( 114770 4930 ) M1M2_PR
NEW met1 ( 171350 4930 ) M1M2_PR
NEW met1 ( 166750 58990 ) M1M2_PR
NEW met1 ( 166750 42670 ) M1M2_PR
NEW met1 ( 170890 42670 ) M1M2_PR
NEW met1 ( 206770 60690 ) M1M2_PR
NEW met1 ( 206770 59330 ) M1M2_PR
NEW met1 ( 48070 4930 ) M1M2_PR
NEW li1 ( 116610 182750 ) L1M1_PR_MR
NEW met1 ( 116610 182750 ) M1M2_PR
NEW li1 ( 119370 183770 ) L1M1_PR_MR
NEW met1 ( 116610 183770 ) M1M2_PR
NEW li1 ( 222870 63070 ) L1M1_PR_MR
NEW met1 ( 222410 63070 ) M1M2_PR
NEW li1 ( 225630 64090 ) L1M1_PR_MR
NEW met1 ( 222410 64090 ) M1M2_PR
NEW met1 ( 48070 14790 ) M1M2_PR
NEW li1 ( 47610 14790 ) L1M1_PR_MR
NEW met1 ( 114770 37570 ) M1M2_PR
NEW met1 ( 116610 37570 ) M1M2_PR
NEW li1 ( 216980 58650 ) L1M1_PR_MR
NEW met1 ( 222410 58990 ) M1M2_PR
NEW li1 ( 210450 60690 ) L1M1_PR_MR
NEW met1 ( 114770 4930 ) RECT ( -595 -70 0 70 )
NEW met1 ( 116610 182750 ) RECT ( -355 -70 0 70 ) ;
- net118 ( ANTENNA__399__A DIODE ) ( ANTENNA__288__A DIODE ) ( ANTENNA__147__B1 DIODE ) ( input118 X ) ( _147_ B1 ) ( _288_ A ) ( _399_ A ) + USE SIGNAL
+ ROUTED met1 ( 140990 7310 ) ( 164450 * )
NEW li1 ( 140990 6630 ) ( * 7310 )
NEW met1 ( 132250 6630 ) ( 140990 * )
NEW met2 ( 132250 6630 ) ( * 7990 )
NEW met1 ( 164450 31450 ) ( 167210 * )
NEW met2 ( 164450 30430 ) ( * 31450 )
NEW met2 ( 164450 7310 ) ( * 30430 )
NEW met1 ( 47150 90270 ) ( 50370 * )
NEW met1 ( 26910 160990 ) ( 47150 * )
NEW met1 ( 18630 161670 ) ( 25530 * )
NEW met1 ( 25530 161670 ) ( * 162010 )
NEW met1 ( 25530 162010 ) ( 26450 * )
NEW met2 ( 26450 160990 ) ( * 162010 )
NEW met1 ( 26450 160990 ) ( 26910 * )
NEW met1 ( 47150 162690 ) ( 116150 * )
NEW met2 ( 47150 90270 ) ( * 162690 )
NEW met1 ( 115690 215390 ) ( 116150 * )
NEW met1 ( 116150 216410 ) ( 118450 * )
NEW met2 ( 116150 215390 ) ( * 216410 )
NEW met2 ( 116150 162690 ) ( * 215390 )
NEW met2 ( 52210 7990 ) ( * 11730 )
NEW met1 ( 50370 36890 ) ( 52210 * )
NEW met2 ( 52210 11730 ) ( * 36890 )
NEW met2 ( 50370 36890 ) ( * 90270 )
NEW met1 ( 52210 7990 ) ( 132250 * )
NEW met1 ( 164450 7310 ) M1M2_PR
NEW li1 ( 140990 7310 ) L1M1_PR_MR
NEW li1 ( 140990 6630 ) L1M1_PR_MR
NEW met1 ( 132250 6630 ) M1M2_PR
NEW met1 ( 132250 7990 ) M1M2_PR
NEW li1 ( 164450 30430 ) L1M1_PR_MR
NEW met1 ( 164450 30430 ) M1M2_PR
NEW li1 ( 167210 31450 ) L1M1_PR_MR
NEW met1 ( 164450 31450 ) M1M2_PR
NEW met1 ( 47150 90270 ) M1M2_PR
NEW met1 ( 50370 90270 ) M1M2_PR
NEW met1 ( 47150 162690 ) M1M2_PR
NEW li1 ( 26910 160990 ) L1M1_PR_MR
NEW met1 ( 47150 160990 ) M1M2_PR
NEW li1 ( 18630 161670 ) L1M1_PR_MR
NEW met1 ( 26450 162010 ) M1M2_PR
NEW met1 ( 26450 160990 ) M1M2_PR
NEW met1 ( 116150 162690 ) M1M2_PR
NEW li1 ( 115690 215390 ) L1M1_PR_MR
NEW met1 ( 116150 215390 ) M1M2_PR
NEW li1 ( 118450 216410 ) L1M1_PR_MR
NEW met1 ( 116150 216410 ) M1M2_PR
NEW li1 ( 52210 11730 ) L1M1_PR_MR
NEW met1 ( 52210 11730 ) M1M2_PR
NEW met1 ( 52210 7990 ) M1M2_PR
NEW met1 ( 50370 36890 ) M1M2_PR
NEW met1 ( 52210 36890 ) M1M2_PR
NEW met1 ( 164450 30430 ) RECT ( -355 -70 0 70 )
NEW met2 ( 47150 160990 ) RECT ( -70 -485 70 0 )
NEW met1 ( 52210 11730 ) RECT ( -355 -70 0 70 ) ;
- net119 ( ANTENNA__400__A DIODE ) ( ANTENNA__289__A DIODE ) ( ANTENNA__146__B1 DIODE ) ( input119 X ) ( _146_ B1 ) ( _289_ A ) ( _400_ A ) + USE SIGNAL
+ ROUTED met1 ( 68770 199070 ) ( 85790 * )
NEW met2 ( 68770 167110 ) ( * 199070 )
NEW met1 ( 85330 200090 ) ( 88550 * )
NEW li1 ( 85330 199070 ) ( * 200090 )
NEW met2 ( 64630 134300 ) ( 65090 * )
NEW met2 ( 64630 134300 ) ( * 167110 )
NEW met1 ( 46230 23290 ) ( * 23630 )
NEW met1 ( 60490 17510 ) ( 64630 * )
NEW met2 ( 64630 17510 ) ( 65090 * )
NEW met2 ( 65090 17510 ) ( * 20910 )
NEW met2 ( 55890 17850 ) ( * 23630 )
NEW met1 ( 55890 17850 ) ( 58650 * )
NEW met1 ( 58650 17510 ) ( * 17850 )
NEW met1 ( 58650 17510 ) ( 60490 * )
NEW met1 ( 46230 23630 ) ( 55890 * )
NEW met2 ( 65090 20910 ) ( * 134300 )
NEW met1 ( 146510 167790 ) ( 150650 * )
NEW met2 ( 150650 167790 ) ( * 169830 )
NEW met2 ( 145590 167110 ) ( * 167790 )
NEW met1 ( 145590 167790 ) ( 146510 * )
NEW met1 ( 64630 167110 ) ( 145590 * )
NEW met1 ( 64630 167110 ) M1M2_PR
NEW li1 ( 85790 199070 ) L1M1_PR_MR
NEW met1 ( 68770 199070 ) M1M2_PR
NEW met1 ( 68770 167110 ) M1M2_PR
NEW li1 ( 88550 200090 ) L1M1_PR_MR
NEW li1 ( 85330 200090 ) L1M1_PR_MR
NEW li1 ( 85330 199070 ) L1M1_PR_MR
NEW li1 ( 46230 23290 ) L1M1_PR_MR
NEW li1 ( 65090 20910 ) L1M1_PR_MR
NEW met1 ( 65090 20910 ) M1M2_PR
NEW li1 ( 60490 17510 ) L1M1_PR_MR
NEW met1 ( 64630 17510 ) M1M2_PR
NEW met1 ( 55890 23630 ) M1M2_PR
NEW met1 ( 55890 17850 ) M1M2_PR
NEW li1 ( 146510 167790 ) L1M1_PR_MR
NEW met1 ( 150650 167790 ) M1M2_PR
NEW li1 ( 150650 169830 ) L1M1_PR_MR
NEW met1 ( 150650 169830 ) M1M2_PR
NEW met1 ( 145590 167110 ) M1M2_PR
NEW met1 ( 145590 167790 ) M1M2_PR
NEW met1 ( 68770 167110 ) RECT ( -595 -70 0 70 )
NEW met1 ( 85330 199070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 65090 20910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 150650 169830 ) RECT ( 0 -70 355 70 ) ;
- net12 ( ANTENNA__194__B1 DIODE ) ( input12 X ) ( _194_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 239890 19550 ) ( 246790 * )
NEW li1 ( 246790 19550 ) ( * 64430 )
NEW met2 ( 66470 61710 ) ( * 64090 )
NEW met1 ( 59110 60690 ) ( 59570 * )
NEW met1 ( 59570 60690 ) ( * 61370 )
NEW met1 ( 59570 61370 ) ( 66470 * )
NEW met1 ( 66470 61370 ) ( * 61710 )
NEW met1 ( 184230 64090 ) ( * 64430 )
NEW met1 ( 66470 64090 ) ( 184230 * )
NEW met1 ( 184230 64430 ) ( 246790 * )
NEW li1 ( 246790 64430 ) L1M1_PR_MR
NEW li1 ( 239890 19550 ) L1M1_PR_MR
NEW li1 ( 246790 19550 ) L1M1_PR_MR
NEW li1 ( 66470 61710 ) L1M1_PR_MR
NEW met1 ( 66470 61710 ) M1M2_PR
NEW met1 ( 66470 64090 ) M1M2_PR
NEW li1 ( 59110 60690 ) L1M1_PR_MR
NEW met1 ( 66470 61710 ) RECT ( -355 -70 0 70 ) ;
- net120 ( ANTENNA__401__A DIODE ) ( ANTENNA__290__A DIODE ) ( ANTENNA__145__B1 DIODE ) ( input120 X ) ( _145_ B1 ) ( _290_ A ) ( _401_ A ) + USE SIGNAL
+ ROUTED met1 ( 122590 164390 ) ( 123045 * )
NEW met1 ( 119370 164050 ) ( 122590 * )
NEW met1 ( 122590 164050 ) ( * 164390 )
NEW met1 ( 57730 17510 ) ( 58190 * )
NEW met1 ( 83490 60690 ) ( 89010 * )
NEW met2 ( 83490 55590 ) ( * 60690 )
NEW met1 ( 58190 55590 ) ( 83490 * )
NEW met1 ( 88090 66130 ) ( 89930 * )
NEW met2 ( 89930 60690 ) ( * 66130 )
NEW met1 ( 89010 60690 ) ( 89930 * )
NEW met1 ( 85330 66130 ) ( 88090 * )
NEW met1 ( 90085 55590 ) ( 90390 * )
NEW met2 ( 89930 55590 ) ( 90390 * )
NEW met2 ( 89930 55590 ) ( * 60690 )
NEW met2 ( 58190 17510 ) ( * 55590 )
NEW met1 ( 89930 66130 ) ( 121670 * )
NEW met1 ( 121670 91630 ) ( 122590 * )
NEW met2 ( 121670 66130 ) ( * 91630 )
NEW met2 ( 122590 91630 ) ( * 164390 )
NEW met1 ( 121670 66130 ) M1M2_PR
NEW li1 ( 123045 164390 ) L1M1_PR_MR
NEW met1 ( 122590 164390 ) M1M2_PR
NEW li1 ( 119370 164050 ) L1M1_PR_MR
NEW li1 ( 57730 17510 ) L1M1_PR_MR
NEW met1 ( 58190 17510 ) M1M2_PR
NEW li1 ( 89010 60690 ) L1M1_PR_MR
NEW met1 ( 83490 60690 ) M1M2_PR
NEW met1 ( 83490 55590 ) M1M2_PR
NEW met1 ( 58190 55590 ) M1M2_PR
NEW li1 ( 88090 66130 ) L1M1_PR_MR
NEW met1 ( 89930 66130 ) M1M2_PR
NEW met1 ( 89930 60690 ) M1M2_PR
NEW li1 ( 85330 66130 ) L1M1_PR_MR
NEW li1 ( 90085 55590 ) L1M1_PR_MR
NEW met1 ( 90390 55590 ) M1M2_PR
NEW met1 ( 121670 91630 ) M1M2_PR
NEW met1 ( 122590 91630 ) M1M2_PR ;
- net121 ( ANTENNA__402__A DIODE ) ( ANTENNA__291__A DIODE ) ( ANTENNA__144__B1 DIODE ) ( input121 X ) ( _144_ B1 ) ( _291_ A ) ( _402_ A ) + USE SIGNAL
+ ROUTED met1 ( 187450 205530 ) ( 188830 * )
NEW met2 ( 187450 201790 ) ( * 205530 )
NEW met2 ( 187450 40290 ) ( * 41310 )
NEW met1 ( 176870 40290 ) ( 187450 * )
NEW met2 ( 176870 38930 ) ( * 40290 )
NEW met2 ( 186990 62100 ) ( 187450 * )
NEW met2 ( 186990 41820 ) ( * 62100 )
NEW met2 ( 186990 41820 ) ( 187450 * )
NEW met2 ( 187450 41310 ) ( * 41820 )
NEW met2 ( 187450 62100 ) ( * 201790 )
NEW met1 ( 158700 38930 ) ( 176870 * )
NEW met1 ( 158700 38930 ) ( * 39610 )
NEW met2 ( 48070 39100 ) ( * 40290 )
NEW met3 ( 47380 39100 ) ( 48070 * )
NEW met4 ( 47380 18020 ) ( * 39100 )
NEW met3 ( 44390 18020 ) ( 47380 * )
NEW met2 ( 44390 18020 ) ( * 18530 )
NEW met1 ( 44390 18530 ) ( 46690 * )
NEW met1 ( 46690 17850 ) ( * 18530 )
NEW met1 ( 225170 34850 ) ( 226090 * )
NEW met2 ( 225170 34850 ) ( * 41990 )
NEW met1 ( 212290 41990 ) ( 225170 * )
NEW li1 ( 212290 41310 ) ( * 41990 )
NEW met2 ( 235290 17850 ) ( * 25670 )
NEW met1 ( 232530 25670 ) ( 235290 * )
NEW met2 ( 232530 25670 ) ( * 32130 )
NEW met1 ( 225170 32130 ) ( 232530 * )
NEW met2 ( 225170 32130 ) ( * 34850 )
NEW met1 ( 187450 41310 ) ( 212290 * )
NEW met1 ( 62330 39270 ) ( * 39610 )
NEW met1 ( 59570 39270 ) ( 62330 * )
NEW met1 ( 59570 39270 ) ( * 40290 )
NEW met1 ( 48070 40290 ) ( 59570 * )
NEW met1 ( 62330 39610 ) ( 158700 * )
NEW li1 ( 187450 201790 ) L1M1_PR_MR
NEW met1 ( 187450 201790 ) M1M2_PR
NEW li1 ( 188830 205530 ) L1M1_PR_MR
NEW met1 ( 187450 205530 ) M1M2_PR
NEW met1 ( 187450 41310 ) M1M2_PR
NEW met1 ( 187450 40290 ) M1M2_PR
NEW met1 ( 176870 40290 ) M1M2_PR
NEW met1 ( 176870 38930 ) M1M2_PR
NEW met1 ( 48070 40290 ) M1M2_PR
NEW met2 ( 48070 39100 ) M2M3_PR_M
NEW met3 ( 47380 39100 ) M3M4_PR_M
NEW met3 ( 47380 18020 ) M3M4_PR_M
NEW met2 ( 44390 18020 ) M2M3_PR_M
NEW met1 ( 44390 18530 ) M1M2_PR
NEW li1 ( 46690 17850 ) L1M1_PR_MR
NEW li1 ( 226090 34850 ) L1M1_PR_MR
NEW met1 ( 225170 34850 ) M1M2_PR
NEW met1 ( 225170 41990 ) M1M2_PR
NEW li1 ( 212290 41990 ) L1M1_PR_MR
NEW li1 ( 212290 41310 ) L1M1_PR_MR
NEW li1 ( 235290 17850 ) L1M1_PR_MR
NEW met1 ( 235290 17850 ) M1M2_PR
NEW met1 ( 235290 25670 ) M1M2_PR
NEW met1 ( 232530 25670 ) M1M2_PR
NEW met1 ( 232530 32130 ) M1M2_PR
NEW met1 ( 225170 32130 ) M1M2_PR
NEW li1 ( 62330 39270 ) L1M1_PR_MR
NEW li1 ( 59570 39270 ) L1M1_PR_MR
NEW met1 ( 187450 201790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235290 17850 ) RECT ( -355 -70 0 70 ) ;
- net122 ( ANTENNA__403__A DIODE ) ( ANTENNA__292__A DIODE ) ( ANTENNA__141__B1 DIODE ) ( input122 X ) ( _141_ B1 ) ( _292_ A ) ( _403_ A ) + USE SIGNAL
+ ROUTED met2 ( 104650 42330 ) ( * 44030 )
NEW met1 ( 167210 125630 ) ( 168130 * )
NEW met2 ( 168130 125630 ) ( * 129370 )
NEW met1 ( 121670 52190 ) ( * 52530 )
NEW met1 ( 117070 52190 ) ( 121670 * )
NEW met2 ( 117070 44030 ) ( * 52190 )
NEW met1 ( 104650 44030 ) ( 117070 * )
NEW met1 ( 63710 14790 ) ( 65550 * )
NEW met1 ( 65550 14790 ) ( * 15130 )
NEW met1 ( 65550 15130 ) ( 66470 * )
NEW met2 ( 66470 15130 ) ( * 35870 )
NEW met1 ( 66470 35870 ) ( 86250 * )
NEW met2 ( 86250 35870 ) ( * 42330 )
NEW met1 ( 86250 42330 ) ( 104650 * )
NEW met2 ( 184690 31110 ) ( * 46750 )
NEW met1 ( 167210 46750 ) ( 184690 * )
NEW met2 ( 168130 96600 ) ( * 125630 )
NEW met2 ( 167210 96600 ) ( 168130 * )
NEW met1 ( 148350 55250 ) ( 150190 * )
NEW met2 ( 148350 55250 ) ( * 56610 )
NEW met1 ( 148350 56610 ) ( 167210 * )
NEW met1 ( 148350 53550 ) ( 148810 * )
NEW met2 ( 148350 53550 ) ( * 55250 )
NEW met2 ( 148350 52530 ) ( * 53550 )
NEW met1 ( 121670 52530 ) ( 148350 * )
NEW met2 ( 167210 46750 ) ( * 96600 )
NEW met1 ( 190210 31110 ) ( 193890 * )
NEW met2 ( 193890 31110 ) ( * 33150 )
NEW met1 ( 193890 33150 ) ( 195270 * )
NEW met1 ( 195270 33150 ) ( * 33830 )
NEW met1 ( 184690 31110 ) ( 190210 * )
NEW met1 ( 104650 42330 ) M1M2_PR
NEW met1 ( 104650 44030 ) M1M2_PR
NEW li1 ( 167210 125630 ) L1M1_PR_MR
NEW met1 ( 168130 125630 ) M1M2_PR
NEW li1 ( 168130 129370 ) L1M1_PR_MR
NEW met1 ( 168130 129370 ) M1M2_PR
NEW met1 ( 117070 52190 ) M1M2_PR
NEW met1 ( 117070 44030 ) M1M2_PR
NEW li1 ( 63710 14790 ) L1M1_PR_MR
NEW met1 ( 66470 15130 ) M1M2_PR
NEW met1 ( 66470 35870 ) M1M2_PR
NEW met1 ( 86250 35870 ) M1M2_PR
NEW met1 ( 86250 42330 ) M1M2_PR
NEW met1 ( 184690 31110 ) M1M2_PR
NEW met1 ( 184690 46750 ) M1M2_PR
NEW met1 ( 167210 46750 ) M1M2_PR
NEW li1 ( 150190 55250 ) L1M1_PR_MR
NEW met1 ( 148350 55250 ) M1M2_PR
NEW met1 ( 148350 56610 ) M1M2_PR
NEW met1 ( 167210 56610 ) M1M2_PR
NEW li1 ( 148810 53550 ) L1M1_PR_MR
NEW met1 ( 148350 53550 ) M1M2_PR
NEW met1 ( 148350 52530 ) M1M2_PR
NEW li1 ( 190210 31110 ) L1M1_PR_MR
NEW met1 ( 193890 31110 ) M1M2_PR
NEW met1 ( 193890 33150 ) M1M2_PR
NEW li1 ( 195270 33830 ) L1M1_PR_MR
NEW met1 ( 168130 129370 ) RECT ( -355 -70 0 70 )
NEW met2 ( 167210 56610 ) RECT ( -70 -485 70 0 ) ;
- net123 ( ANTENNA__404__A DIODE ) ( ANTENNA__293__A DIODE ) ( ANTENNA__137__B1 DIODE ) ( input123 X ) ( _137_ B1 ) ( _293_ A ) ( _404_ A ) + USE SIGNAL
+ ROUTED met1 ( 159390 190910 ) ( 159850 * )
NEW met1 ( 159850 191590 ) ( 162610 * )
NEW met1 ( 159850 190910 ) ( * 191590 )
NEW met2 ( 159390 151130 ) ( * 190910 )
NEW met1 ( 47150 64770 ) ( 48990 * )
NEW met1 ( 44390 64090 ) ( 48990 * )
NEW met1 ( 48990 145350 ) ( 50370 * )
NEW met2 ( 50370 118150 ) ( * 145350 )
NEW met1 ( 48990 118150 ) ( 50370 * )
NEW met1 ( 52210 145350 ) ( * 145690 )
NEW met1 ( 50370 145350 ) ( 52210 * )
NEW met2 ( 50370 145350 ) ( * 151470 )
NEW met1 ( 137770 151130 ) ( * 151470 )
NEW met1 ( 50370 151470 ) ( 137770 * )
NEW met1 ( 137770 151130 ) ( 159390 * )
NEW met2 ( 50370 23290 ) ( * 26180 )
NEW met3 ( 50370 26180 ) ( 51980 * )
NEW met4 ( 51980 26180 ) ( * 32980 )
NEW met3 ( 48990 32980 ) ( 51980 * )
NEW met2 ( 48990 32980 ) ( * 118150 )
NEW li1 ( 159850 190910 ) L1M1_PR_MR
NEW met1 ( 159390 190910 ) M1M2_PR
NEW li1 ( 162610 191590 ) L1M1_PR_MR
NEW met1 ( 159390 151130 ) M1M2_PR
NEW li1 ( 47150 64770 ) L1M1_PR_MR
NEW met1 ( 48990 64770 ) M1M2_PR
NEW li1 ( 44390 64090 ) L1M1_PR_MR
NEW met1 ( 48990 64090 ) M1M2_PR
NEW li1 ( 48990 145350 ) L1M1_PR_MR
NEW met1 ( 50370 145350 ) M1M2_PR
NEW met1 ( 50370 118150 ) M1M2_PR
NEW met1 ( 48990 118150 ) M1M2_PR
NEW li1 ( 52210 145690 ) L1M1_PR_MR
NEW met1 ( 50370 151470 ) M1M2_PR
NEW li1 ( 50370 23290 ) L1M1_PR_MR
NEW met1 ( 50370 23290 ) M1M2_PR
NEW met2 ( 50370 26180 ) M2M3_PR_M
NEW met3 ( 51980 26180 ) M3M4_PR_M
NEW met3 ( 51980 32980 ) M3M4_PR_M
NEW met2 ( 48990 32980 ) M2M3_PR_M
NEW met2 ( 48990 64770 ) RECT ( -70 -485 70 0 )
NEW met2 ( 48990 64090 ) RECT ( -70 -485 70 0 )
NEW met1 ( 50370 23290 ) RECT ( -355 -70 0 70 ) ;
- net124 ( ANTENNA__405__A DIODE ) ( ANTENNA__294__A DIODE ) ( ANTENNA__136__B1 DIODE ) ( input124 X ) ( _136_ B1 ) ( _294_ A ) ( _405_ A ) + USE SIGNAL
+ ROUTED met2 ( 230690 131100 ) ( * 158950 )
NEW met2 ( 230690 131100 ) ( 231150 * )
NEW met1 ( 227930 158950 ) ( 230690 * )
NEW met1 ( 35650 109310 ) ( * 109650 )
NEW met1 ( 35650 109310 ) ( 38870 * )
NEW met2 ( 231150 110330 ) ( * 131100 )
NEW met1 ( 53590 14790 ) ( 54050 * )
NEW met2 ( 54050 14790 ) ( * 41820 )
NEW met3 ( 38870 41820 ) ( 54050 * )
NEW met2 ( 38870 41820 ) ( * 109310 )
NEW li1 ( 69690 109310 ) ( * 111010 )
NEW met2 ( 61870 122740 ) ( 62330 * )
NEW met2 ( 62330 109310 ) ( * 122740 )
NEW met1 ( 38870 109310 ) ( 69690 * )
NEW met1 ( 65090 234770 ) ( * 235100 )
NEW met1 ( 61870 234770 ) ( 65090 * )
NEW met2 ( 61870 226270 ) ( * 234770 )
NEW met2 ( 61870 122740 ) ( * 226270 )
NEW met1 ( 100050 110330 ) ( * 111010 )
NEW met1 ( 69690 111010 ) ( 100050 * )
NEW met1 ( 100050 110330 ) ( 231150 * )
NEW li1 ( 230690 158950 ) L1M1_PR_MR
NEW met1 ( 230690 158950 ) M1M2_PR
NEW li1 ( 227930 158950 ) L1M1_PR_MR
NEW li1 ( 38870 109310 ) L1M1_PR_MR
NEW met1 ( 38870 109310 ) M1M2_PR
NEW li1 ( 35650 109650 ) L1M1_PR_MR
NEW met1 ( 231150 110330 ) M1M2_PR
NEW li1 ( 53590 14790 ) L1M1_PR_MR
NEW met1 ( 54050 14790 ) M1M2_PR
NEW met2 ( 54050 41820 ) M2M3_PR_M
NEW met2 ( 38870 41820 ) M2M3_PR_M
NEW li1 ( 69690 109310 ) L1M1_PR_MR
NEW li1 ( 69690 111010 ) L1M1_PR_MR
NEW met1 ( 62330 109310 ) M1M2_PR
NEW li1 ( 61870 226270 ) L1M1_PR_MR
NEW met1 ( 61870 226270 ) M1M2_PR
NEW li1 ( 65090 235100 ) L1M1_PR_MR
NEW met1 ( 61870 234770 ) M1M2_PR
NEW met1 ( 230690 158950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 38870 109310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 62330 109310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 61870 226270 ) RECT ( -355 -70 0 70 ) ;
- net125 ( ANTENNA__378__A DIODE ) ( ANTENNA__267__A DIODE ) ( ANTENNA__180__B1 DIODE ) ( input125 X ) ( _180_ B1 ) ( _267_ A ) ( _378_ A ) + USE SIGNAL
+ ROUTED met1 ( 71070 57630 ) ( 71530 * )
NEW met2 ( 71070 33490 ) ( * 57630 )
NEW met1 ( 64170 33490 ) ( 71070 * )
NEW met2 ( 64170 30770 ) ( * 33490 )
NEW met1 ( 72910 60350 ) ( * 60690 )
NEW met1 ( 71070 60350 ) ( 72910 * )
NEW met2 ( 71070 57630 ) ( * 60350 )
NEW met2 ( 72450 60350 ) ( * 75650 )
NEW met2 ( 14950 28730 ) ( * 30770 )
NEW met1 ( 14950 30770 ) ( 27370 * )
NEW met1 ( 27370 30770 ) ( * 31450 )
NEW met1 ( 27370 31450 ) ( 32890 * )
NEW met1 ( 32890 30770 ) ( * 31450 )
NEW met1 ( 32890 30770 ) ( 64170 * )
NEW li1 ( 108330 74970 ) ( * 75650 )
NEW met1 ( 72450 75650 ) ( 108330 * )
NEW met1 ( 150650 76670 ) ( 152950 * )
NEW met2 ( 152950 75650 ) ( * 76670 )
NEW met1 ( 152950 75650 ) ( 156170 * )
NEW met1 ( 156170 75100 ) ( * 75650 )
NEW met1 ( 156170 75100 ) ( 156630 * )
NEW met1 ( 156630 74985 ) ( * 75100 )
NEW met1 ( 156630 74985 ) ( 156635 * )
NEW met2 ( 148810 74970 ) ( * 76670 )
NEW met1 ( 148810 76670 ) ( 150650 * )
NEW met1 ( 108330 74970 ) ( 148810 * )
NEW met1 ( 115690 115430 ) ( 117990 * )
NEW met2 ( 115690 114750 ) ( * 115430 )
NEW met2 ( 115690 74970 ) ( * 114750 )
NEW met1 ( 72450 75650 ) M1M2_PR
NEW li1 ( 71530 57630 ) L1M1_PR_MR
NEW met1 ( 71070 57630 ) M1M2_PR
NEW met1 ( 71070 33490 ) M1M2_PR
NEW met1 ( 64170 33490 ) M1M2_PR
NEW met1 ( 64170 30770 ) M1M2_PR
NEW li1 ( 72910 60690 ) L1M1_PR_MR
NEW met1 ( 71070 60350 ) M1M2_PR
NEW met1 ( 72450 60350 ) M1M2_PR
NEW li1 ( 14950 28730 ) L1M1_PR_MR
NEW met1 ( 14950 28730 ) M1M2_PR
NEW met1 ( 14950 30770 ) M1M2_PR
NEW li1 ( 108330 75650 ) L1M1_PR_MR
NEW li1 ( 108330 74970 ) L1M1_PR_MR
NEW met1 ( 115690 74970 ) M1M2_PR
NEW li1 ( 150650 76670 ) L1M1_PR_MR
NEW met1 ( 152950 76670 ) M1M2_PR
NEW met1 ( 152950 75650 ) M1M2_PR
NEW li1 ( 156635 74985 ) L1M1_PR_MR
NEW met1 ( 148810 74970 ) M1M2_PR
NEW met1 ( 148810 76670 ) M1M2_PR
NEW li1 ( 115690 114750 ) L1M1_PR_MR
NEW met1 ( 115690 114750 ) M1M2_PR
NEW li1 ( 117990 115430 ) L1M1_PR_MR
NEW met1 ( 115690 115430 ) M1M2_PR
NEW met1 ( 72450 60350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 14950 28730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 115690 74970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 115690 114750 ) RECT ( -355 -70 0 70 ) ;
- net126 ( ANTENNA__406__A DIODE ) ( ANTENNA__295__A DIODE ) ( ANTENNA__135__B1 DIODE ) ( input126 X ) ( _135_ B1 ) ( _295_ A ) ( _406_ A ) + USE SIGNAL
+ ROUTED met2 ( 107870 11220 ) ( * 13260 )
NEW met1 ( 215510 193630 ) ( 215970 * )
NEW met1 ( 215970 194650 ) ( 218730 * )
NEW met1 ( 215970 193630 ) ( * 194650 )
NEW met2 ( 215510 179400 ) ( * 193630 )
NEW met2 ( 215510 179400 ) ( 215970 * )
NEW met3 ( 107870 11220 ) ( 182390 * )
NEW met1 ( 182850 87890 ) ( * 88570 )
NEW met2 ( 110170 11220 ) ( * 35700 )
NEW met1 ( 182390 33830 ) ( 183310 * )
NEW met1 ( 179630 33830 ) ( 182390 * )
NEW met2 ( 182390 11220 ) ( * 33830 )
NEW met2 ( 60950 12410 ) ( * 13260 )
NEW met3 ( 60950 13260 ) ( 107870 * )
NEW met2 ( 215970 110400 ) ( * 179400 )
NEW met2 ( 215510 88570 ) ( * 110400 )
NEW met2 ( 215510 110400 ) ( 215970 * )
NEW met1 ( 182850 88570 ) ( 215510 * )
NEW met2 ( 110170 35700 ) ( 110630 * )
NEW met2 ( 124430 79730 ) ( * 84830 )
NEW met1 ( 131790 87890 ) ( * 88230 )
NEW met1 ( 129950 87890 ) ( 131790 * )
NEW met1 ( 129950 87890 ) ( * 88230 )
NEW met1 ( 124430 88230 ) ( 129950 * )
NEW met2 ( 124430 84830 ) ( * 88230 )
NEW met1 ( 131790 87890 ) ( 182850 * )
NEW met1 ( 110630 77690 ) ( 123970 * )
NEW met2 ( 123970 77690 ) ( * 79730 )
NEW met2 ( 110630 35700 ) ( * 77690 )
NEW met1 ( 123970 79730 ) ( 124430 * )
NEW met2 ( 107870 11220 ) M2M3_PR_M
NEW met2 ( 107870 13260 ) M2M3_PR_M
NEW met2 ( 110170 11220 ) M2M3_PR_M
NEW met2 ( 182390 11220 ) M2M3_PR_M
NEW li1 ( 215970 193630 ) L1M1_PR_MR
NEW met1 ( 215510 193630 ) M1M2_PR
NEW li1 ( 218730 194650 ) L1M1_PR_MR
NEW li1 ( 183310 33830 ) L1M1_PR_MR
NEW met1 ( 182390 33830 ) M1M2_PR
NEW li1 ( 179630 33830 ) L1M1_PR_MR
NEW met2 ( 60950 13260 ) M2M3_PR_M
NEW li1 ( 60950 12410 ) L1M1_PR_MR
NEW met1 ( 60950 12410 ) M1M2_PR
NEW met1 ( 215510 88570 ) M1M2_PR
NEW li1 ( 124430 84830 ) L1M1_PR_MR
NEW met1 ( 124430 84830 ) M1M2_PR
NEW met1 ( 124430 79730 ) M1M2_PR
NEW li1 ( 131790 88230 ) L1M1_PR_MR
NEW met1 ( 124430 88230 ) M1M2_PR
NEW met1 ( 110630 77690 ) M1M2_PR
NEW met1 ( 123970 77690 ) M1M2_PR
NEW met1 ( 123970 79730 ) M1M2_PR
NEW met3 ( 110170 11220 ) RECT ( -800 -150 0 150 )
NEW met1 ( 60950 12410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 124430 84830 ) RECT ( -355 -70 0 70 ) ;
- net127 ( ANTENNA__407__A DIODE ) ( ANTENNA__296__A DIODE ) ( ANTENNA__134__B1 DIODE ) ( input127 X ) ( _134_ B1 ) ( _296_ A ) ( _407_ A ) + USE SIGNAL
+ ROUTED met2 ( 233910 99450 ) ( * 103870 )
NEW met1 ( 214590 99450 ) ( 233910 * )
NEW met1 ( 214590 99110 ) ( * 99450 )
NEW met1 ( 233910 104210 ) ( 237590 * )
NEW met1 ( 233910 103870 ) ( * 104210 )
NEW met2 ( 55430 23290 ) ( * 94010 )
NEW met1 ( 89070 142640 ) ( 89470 * )
NEW met1 ( 89470 142640 ) ( * 143310 )
NEW met1 ( 87630 143310 ) ( 89470 * )
NEW met1 ( 87630 142970 ) ( * 143310 )
NEW met1 ( 85790 142970 ) ( 87630 * )
NEW met2 ( 85790 142970 ) ( * 144670 )
NEW met1 ( 83950 144670 ) ( 85790 * )
NEW met2 ( 84870 141780 ) ( 85790 * )
NEW met2 ( 85790 141780 ) ( * 142970 )
NEW met1 ( 88550 96730 ) ( 118910 * )
NEW met1 ( 118910 96730 ) ( * 97070 )
NEW met1 ( 118910 97070 ) ( 120750 * )
NEW met2 ( 120750 97070 ) ( * 99110 )
NEW met1 ( 85790 96730 ) ( 88550 * )
NEW met2 ( 80270 94010 ) ( * 96730 )
NEW met1 ( 80270 96730 ) ( 85790 * )
NEW met1 ( 84870 116110 ) ( 85790 * )
NEW met2 ( 85790 96730 ) ( * 116110 )
NEW met1 ( 55430 94010 ) ( 80270 * )
NEW met2 ( 84870 116110 ) ( * 141780 )
NEW met1 ( 120750 99110 ) ( 214590 * )
NEW met1 ( 55430 94010 ) M1M2_PR
NEW li1 ( 233910 103870 ) L1M1_PR_MR
NEW met1 ( 233910 103870 ) M1M2_PR
NEW met1 ( 233910 99450 ) M1M2_PR
NEW li1 ( 237590 104210 ) L1M1_PR_MR
NEW li1 ( 55430 23290 ) L1M1_PR_MR
NEW met1 ( 55430 23290 ) M1M2_PR
NEW li1 ( 89070 142640 ) L1M1_PR_MR
NEW met1 ( 85790 142970 ) M1M2_PR
NEW met1 ( 85790 144670 ) M1M2_PR
NEW li1 ( 83950 144670 ) L1M1_PR_MR
NEW li1 ( 88550 96730 ) L1M1_PR_MR
NEW met1 ( 120750 97070 ) M1M2_PR
NEW met1 ( 120750 99110 ) M1M2_PR
NEW li1 ( 85790 96730 ) L1M1_PR_MR
NEW met1 ( 80270 94010 ) M1M2_PR
NEW met1 ( 80270 96730 ) M1M2_PR
NEW met1 ( 84870 116110 ) M1M2_PR
NEW met1 ( 85790 116110 ) M1M2_PR
NEW met1 ( 85790 96730 ) M1M2_PR
NEW met1 ( 233910 103870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 55430 23290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 85790 96730 ) RECT ( -595 -70 0 70 ) ;
- net128 ( ANTENNA__379__A DIODE ) ( ANTENNA__268__A DIODE ) ( ANTENNA__179__B1 DIODE ) ( input128 X ) ( _179_ B1 ) ( _268_ A ) ( _379_ A ) + USE SIGNAL
+ ROUTED met2 ( 64630 32300 ) ( * 34340 )
NEW met2 ( 64170 34340 ) ( 64630 * )
NEW met1 ( 66930 16830 ) ( 67390 * )
NEW met2 ( 66930 16830 ) ( * 32300 )
NEW met3 ( 64630 32300 ) ( 66930 * )
NEW met1 ( 66930 15130 ) ( 67390 * )
NEW met2 ( 66930 15130 ) ( * 16830 )
NEW met1 ( 129950 185810 ) ( 132710 * )
NEW met1 ( 13110 31790 ) ( 13800 * )
NEW met1 ( 13800 31790 ) ( * 32130 )
NEW met1 ( 13800 32130 ) ( 34270 * )
NEW met2 ( 34270 32130 ) ( * 32300 )
NEW met3 ( 34270 32300 ) ( 64630 * )
NEW met2 ( 132710 106930 ) ( * 185810 )
NEW met1 ( 62330 71910 ) ( 64170 * )
NEW met2 ( 62330 71910 ) ( * 106930 )
NEW met2 ( 64170 34340 ) ( * 71910 )
NEW met1 ( 115230 105230 ) ( 116150 * )
NEW met2 ( 115230 105230 ) ( * 106930 )
NEW met1 ( 122590 104550 ) ( 122990 * )
NEW met1 ( 122590 104550 ) ( * 104890 )
NEW met1 ( 116150 104890 ) ( 122590 * )
NEW met1 ( 116150 104890 ) ( * 105230 )
NEW met2 ( 123050 104550 ) ( * 106930 )
NEW met1 ( 122990 104550 ) ( 123050 * )
NEW met1 ( 62330 106930 ) ( 115230 * )
NEW met1 ( 123050 106930 ) ( 132710 * )
NEW li1 ( 13110 31790 ) L1M1_PR_MR
NEW met1 ( 62330 106930 ) M1M2_PR
NEW met2 ( 64630 32300 ) M2M3_PR_M
NEW li1 ( 67390 16830 ) L1M1_PR_MR
NEW met1 ( 66930 16830 ) M1M2_PR
NEW met2 ( 66930 32300 ) M2M3_PR_M
NEW li1 ( 67390 15130 ) L1M1_PR_MR
NEW met1 ( 66930 15130 ) M1M2_PR
NEW li1 ( 132710 185810 ) L1M1_PR_MR
NEW met1 ( 132710 185810 ) M1M2_PR
NEW li1 ( 129950 185810 ) L1M1_PR_MR
NEW met1 ( 34270 32130 ) M1M2_PR
NEW met2 ( 34270 32300 ) M2M3_PR_M
NEW met1 ( 132710 106930 ) M1M2_PR
NEW met1 ( 62330 71910 ) M1M2_PR
NEW met1 ( 64170 71910 ) M1M2_PR
NEW li1 ( 116150 105230 ) L1M1_PR_MR
NEW met1 ( 115230 105230 ) M1M2_PR
NEW met1 ( 115230 106930 ) M1M2_PR
NEW li1 ( 122990 104550 ) L1M1_PR_MR
NEW met1 ( 123050 106930 ) M1M2_PR
NEW met1 ( 123050 104550 ) M1M2_PR
NEW met1 ( 132710 185810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122990 104550 ) RECT ( -535 -70 0 70 ) ;
- net129 ( ANTENNA__380__A DIODE ) ( ANTENNA__269__A DIODE ) ( ANTENNA__178__B1 DIODE ) ( input129 X ) ( _178_ B1 ) ( _269_ A ) ( _380_ A ) + USE SIGNAL
+ ROUTED met1 ( 124430 66130 ) ( 150190 * )
NEW met2 ( 123510 66130 ) ( * 68510 )
NEW met1 ( 123510 66130 ) ( 124430 * )
NEW met1 ( 24150 66810 ) ( 123510 * )
NEW met1 ( 17710 31110 ) ( 25070 * )
NEW met2 ( 25070 31110 ) ( * 44540 )
NEW met2 ( 24150 44540 ) ( 25070 * )
NEW met2 ( 24150 44540 ) ( * 66810 )
NEW met1 ( 212290 32130 ) ( 215050 * )
NEW met2 ( 212290 32130 ) ( * 35020 )
NEW met3 ( 193890 35020 ) ( 212290 * )
NEW met2 ( 193890 34850 ) ( * 35020 )
NEW met1 ( 219470 33830 ) ( * 34170 )
NEW met1 ( 217350 34170 ) ( 219470 * )
NEW met1 ( 217350 34170 ) ( * 34510 )
NEW met1 ( 212290 34510 ) ( 217350 * )
NEW met1 ( 150190 37230 ) ( 166750 * )
NEW met1 ( 166750 37230 ) ( 169970 * )
NEW met2 ( 192970 34850 ) ( * 35020 )
NEW met3 ( 180550 35020 ) ( 192970 * )
NEW met2 ( 180550 35020 ) ( * 37230 )
NEW met1 ( 169970 37230 ) ( 180550 * )
NEW met2 ( 150190 37230 ) ( * 66130 )
NEW met1 ( 192970 34850 ) ( 193890 * )
NEW met1 ( 24150 66810 ) M1M2_PR
NEW li1 ( 124430 66130 ) L1M1_PR_MR
NEW met1 ( 150190 66130 ) M1M2_PR
NEW li1 ( 123510 68510 ) L1M1_PR_MR
NEW met1 ( 123510 68510 ) M1M2_PR
NEW met1 ( 123510 66130 ) M1M2_PR
NEW met1 ( 123510 66810 ) M1M2_PR
NEW li1 ( 17710 31110 ) L1M1_PR_MR
NEW met1 ( 25070 31110 ) M1M2_PR
NEW li1 ( 215050 32130 ) L1M1_PR_MR
NEW met1 ( 212290 32130 ) M1M2_PR
NEW met2 ( 212290 35020 ) M2M3_PR_M
NEW met2 ( 193890 35020 ) M2M3_PR_M
NEW met1 ( 193890 34850 ) M1M2_PR
NEW li1 ( 219470 33830 ) L1M1_PR_MR
NEW met1 ( 212290 34510 ) M1M2_PR
NEW li1 ( 166750 37230 ) L1M1_PR_MR
NEW met1 ( 150190 37230 ) M1M2_PR
NEW li1 ( 169970 37230 ) L1M1_PR_MR
NEW met1 ( 192970 34850 ) M1M2_PR
NEW met2 ( 192970 35020 ) M2M3_PR_M
NEW met2 ( 180550 35020 ) M2M3_PR_M
NEW met1 ( 180550 37230 ) M1M2_PR
NEW met1 ( 123510 68510 ) RECT ( -355 -70 0 70 )
NEW met2 ( 123510 66810 ) RECT ( -70 -485 70 0 )
NEW met2 ( 212290 34510 ) RECT ( -70 -485 70 0 ) ;
- net13 ( ANTENNA__221__B1 DIODE ) ( input13 X ) ( _221_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 180090 172550 ) ( 183310 * )
NEW met2 ( 180090 172550 ) ( * 175270 )
NEW met1 ( 180090 37570 ) ( 186070 * )
NEW met2 ( 186070 7990 ) ( * 37570 )
NEW met2 ( 180090 37570 ) ( * 172550 )
NEW met2 ( 228850 7990 ) ( * 12410 )
NEW met1 ( 228850 12410 ) ( 238970 * )
NEW met1 ( 186070 7990 ) ( 228850 * )
NEW met1 ( 186070 7990 ) M1M2_PR
NEW li1 ( 183310 172550 ) L1M1_PR_MR
NEW met1 ( 180090 172550 ) M1M2_PR
NEW li1 ( 180090 175270 ) L1M1_PR_MR
NEW met1 ( 180090 175270 ) M1M2_PR
NEW met1 ( 180090 37570 ) M1M2_PR
NEW met1 ( 186070 37570 ) M1M2_PR
NEW met1 ( 228850 7990 ) M1M2_PR
NEW met1 ( 228850 12410 ) M1M2_PR
NEW li1 ( 238970 12410 ) L1M1_PR_MR
NEW met1 ( 180090 175270 ) RECT ( -355 -70 0 70 ) ;
- net130 ( ANTENNA__381__A DIODE ) ( ANTENNA__270__A DIODE ) ( ANTENNA__177__B1 DIODE ) ( input130 X ) ( _177_ B1 ) ( _270_ A ) ( _381_ A ) + USE SIGNAL
+ ROUTED met1 ( 10810 26350 ) ( 11730 * )
NEW met2 ( 11730 26350 ) ( * 26860 )
NEW met1 ( 13570 20570 ) ( * 21250 )
NEW met1 ( 11730 21250 ) ( 13570 * )
NEW met2 ( 11730 21250 ) ( * 26350 )
NEW met1 ( 180090 123930 ) ( 184690 * )
NEW met1 ( 186070 126310 ) ( 186075 * )
NEW met2 ( 186070 123930 ) ( * 126310 )
NEW met1 ( 184690 123930 ) ( 186070 * )
NEW met2 ( 184690 82110 ) ( * 123930 )
NEW met1 ( 124430 82450 ) ( 140070 * )
NEW met1 ( 140070 82110 ) ( * 82450 )
NEW met1 ( 140070 82110 ) ( 184690 * )
NEW met1 ( 14490 33490 ) ( 28290 * )
NEW met1 ( 28290 33150 ) ( * 33490 )
NEW met1 ( 28290 33150 ) ( 30590 * )
NEW met2 ( 30590 33150 ) ( * 34850 )
NEW met2 ( 18630 26860 ) ( * 33150 )
NEW met1 ( 18630 33150 ) ( * 33490 )
NEW met1 ( 13570 20570 ) ( 15870 * )
NEW met3 ( 11730 26860 ) ( 18630 * )
NEW met2 ( 56810 34850 ) ( * 41310 )
NEW met1 ( 56810 41310 ) ( 80270 * )
NEW met1 ( 30590 34850 ) ( 56810 * )
NEW met1 ( 109250 79390 ) ( 123050 * )
NEW met1 ( 109250 79390 ) ( * 79730 )
NEW met1 ( 80270 79730 ) ( 109250 * )
NEW met2 ( 122590 79390 ) ( * 82450 )
NEW met2 ( 80270 41310 ) ( * 79730 )
NEW met1 ( 122590 82450 ) ( 124430 * )
NEW li1 ( 10810 26350 ) L1M1_PR_MR
NEW met1 ( 11730 26350 ) M1M2_PR
NEW met2 ( 11730 26860 ) M2M3_PR_M
NEW met1 ( 11730 21250 ) M1M2_PR
NEW met1 ( 184690 82110 ) M1M2_PR
NEW li1 ( 180090 123930 ) L1M1_PR_MR
NEW met1 ( 184690 123930 ) M1M2_PR
NEW li1 ( 186075 126310 ) L1M1_PR_MR
NEW met1 ( 186070 126310 ) M1M2_PR
NEW met1 ( 186070 123930 ) M1M2_PR
NEW li1 ( 124430 82450 ) L1M1_PR_MR
NEW li1 ( 15870 20570 ) L1M1_PR_MR
NEW li1 ( 14490 33490 ) L1M1_PR_MR
NEW met1 ( 30590 33150 ) M1M2_PR
NEW met1 ( 30590 34850 ) M1M2_PR
NEW met2 ( 18630 26860 ) M2M3_PR_M
NEW met1 ( 18630 33150 ) M1M2_PR
NEW met1 ( 56810 34850 ) M1M2_PR
NEW met1 ( 56810 41310 ) M1M2_PR
NEW met1 ( 80270 41310 ) M1M2_PR
NEW li1 ( 123050 79390 ) L1M1_PR_MR
NEW met1 ( 80270 79730 ) M1M2_PR
NEW met1 ( 122590 82450 ) M1M2_PR
NEW met1 ( 122590 79390 ) M1M2_PR
NEW met1 ( 186075 126310 ) RECT ( 0 -70 350 70 )
NEW met1 ( 122590 79390 ) RECT ( -595 -70 0 70 ) ;
- net131 ( ANTENNA__382__A DIODE ) ( ANTENNA__271__A DIODE ) ( ANTENNA__176__B1 DIODE ) ( input131 X ) ( _176_ B1 ) ( _271_ A ) ( _382_ A ) + USE SIGNAL
+ ROUTED met1 ( 164450 33830 ) ( 167210 * )
NEW met1 ( 140530 200090 ) ( 144210 * )
NEW met1 ( 138230 200090 ) ( 140530 * )
NEW met1 ( 119830 38930 ) ( 136390 * )
NEW met2 ( 119830 35870 ) ( * 38930 )
NEW met2 ( 145590 38930 ) ( * 41990 )
NEW met1 ( 136390 38930 ) ( 145590 * )
NEW met2 ( 145590 33830 ) ( * 38930 )
NEW met1 ( 144210 41990 ) ( 145590 * )
NEW met1 ( 145590 33830 ) ( 164450 * )
NEW met2 ( 144210 41990 ) ( * 200090 )
NEW met2 ( 62790 31790 ) ( * 32980 )
NEW met3 ( 62790 32980 ) ( 88550 * )
NEW met2 ( 88550 32980 ) ( * 35870 )
NEW met1 ( 22310 31790 ) ( 62790 * )
NEW met1 ( 88550 35870 ) ( 119830 * )
NEW li1 ( 164450 33830 ) L1M1_PR_MR
NEW li1 ( 167210 33830 ) L1M1_PR_MR
NEW li1 ( 140530 200090 ) L1M1_PR_MR
NEW met1 ( 144210 200090 ) M1M2_PR
NEW li1 ( 138230 200090 ) L1M1_PR_MR
NEW li1 ( 22310 31790 ) L1M1_PR_MR
NEW li1 ( 136390 38930 ) L1M1_PR_MR
NEW met1 ( 119830 38930 ) M1M2_PR
NEW met1 ( 119830 35870 ) M1M2_PR
NEW li1 ( 145590 41990 ) L1M1_PR_MR
NEW met1 ( 145590 41990 ) M1M2_PR
NEW met1 ( 145590 38930 ) M1M2_PR
NEW met1 ( 145590 33830 ) M1M2_PR
NEW met1 ( 144210 41990 ) M1M2_PR
NEW met1 ( 62790 31790 ) M1M2_PR
NEW met2 ( 62790 32980 ) M2M3_PR_M
NEW met2 ( 88550 32980 ) M2M3_PR_M
NEW met1 ( 88550 35870 ) M1M2_PR
NEW met1 ( 145590 41990 ) RECT ( -355 -70 0 70 ) ;
- net132 ( ANTENNA__383__A DIODE ) ( ANTENNA__272__A DIODE ) ( ANTENNA__174__B1 DIODE ) ( input132 X ) ( _174_ B1 ) ( _272_ A ) ( _383_ A ) + USE SIGNAL
+ ROUTED met1 ( 158930 169830 ) ( 158935 * )
NEW met2 ( 158930 169660 ) ( * 169830 )
NEW met2 ( 70610 28730 ) ( * 79390 )
NEW met1 ( 140070 194650 ) ( 142370 * )
NEW met2 ( 150190 173570 ) ( * 194650 )
NEW met1 ( 142370 194650 ) ( 150190 * )
NEW met1 ( 148810 173570 ) ( 150190 * )
NEW met3 ( 148810 169660 ) ( 158930 * )
NEW met2 ( 56810 28730 ) ( * 34170 )
NEW met1 ( 20470 34170 ) ( 56810 * )
NEW met1 ( 56810 28730 ) ( 70610 * )
NEW met1 ( 147430 115770 ) ( 148810 * )
NEW met2 ( 147430 79390 ) ( * 115770 )
NEW met2 ( 148810 115770 ) ( * 173570 )
NEW met1 ( 105570 80410 ) ( 109250 * )
NEW li1 ( 105570 79390 ) ( * 80410 )
NEW met1 ( 123510 79390 ) ( * 79730 )
NEW met1 ( 122130 79730 ) ( 123510 * )
NEW li1 ( 122130 79730 ) ( * 80410 )
NEW met1 ( 109250 80410 ) ( 122130 * )
NEW met1 ( 70610 79390 ) ( 106030 * )
NEW met1 ( 123510 79390 ) ( 147430 * )
NEW li1 ( 158935 169830 ) L1M1_PR_MR
NEW met1 ( 158930 169830 ) M1M2_PR
NEW met2 ( 158930 169660 ) M2M3_PR_M
NEW met1 ( 70610 79390 ) M1M2_PR
NEW met1 ( 70610 28730 ) M1M2_PR
NEW met1 ( 147430 79390 ) M1M2_PR
NEW li1 ( 142370 194650 ) L1M1_PR_MR
NEW li1 ( 140070 194650 ) L1M1_PR_MR
NEW li1 ( 150190 173570 ) L1M1_PR_MR
NEW met1 ( 150190 173570 ) M1M2_PR
NEW met1 ( 150190 194650 ) M1M2_PR
NEW met1 ( 148810 173570 ) M1M2_PR
NEW met2 ( 148810 169660 ) M2M3_PR_M
NEW met1 ( 56810 28730 ) M1M2_PR
NEW met1 ( 56810 34170 ) M1M2_PR
NEW li1 ( 20470 34170 ) L1M1_PR_MR
NEW met1 ( 147430 115770 ) M1M2_PR
NEW met1 ( 148810 115770 ) M1M2_PR
NEW li1 ( 106030 79390 ) L1M1_PR_MR
NEW li1 ( 109250 80410 ) L1M1_PR_MR
NEW li1 ( 105570 80410 ) L1M1_PR_MR
NEW li1 ( 105570 79390 ) L1M1_PR_MR
NEW li1 ( 122130 79730 ) L1M1_PR_MR
NEW li1 ( 122130 80410 ) L1M1_PR_MR
NEW met1 ( 158935 169830 ) RECT ( 0 -70 350 70 )
NEW met1 ( 150190 173570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 148810 169660 ) RECT ( -70 -485 70 0 )
NEW met1 ( 105570 79390 ) RECT ( -595 -70 0 70 ) ;
- net133 ( ANTENNA__384__A DIODE ) ( ANTENNA__273__A DIODE ) ( ANTENNA__171__B1 DIODE ) ( input133 X ) ( _171_ B1 ) ( _273_ A ) ( _384_ A ) + USE SIGNAL
+ ROUTED met1 ( 181470 27710 ) ( 183310 * )
NEW met1 ( 186530 27710 ) ( * 28050 )
NEW met1 ( 183310 27710 ) ( 186530 * )
NEW met2 ( 181470 5270 ) ( * 27710 )
NEW met2 ( 116610 5270 ) ( * 13800 )
NEW met2 ( 116150 13800 ) ( 116610 * )
NEW met1 ( 122590 134810 ) ( 126270 * )
NEW met1 ( 126270 134810 ) ( * 135150 )
NEW met1 ( 126270 135150 ) ( 135470 * )
NEW met1 ( 119830 134810 ) ( 122590 * )
NEW met1 ( 116150 134810 ) ( 119830 * )
NEW li1 ( 43010 5270 ) ( * 8330 )
NEW met1 ( 27830 8330 ) ( 43010 * )
NEW met2 ( 27830 8330 ) ( * 14620 )
NEW met2 ( 26910 14620 ) ( 27830 * )
NEW met2 ( 26910 14620 ) ( * 17510 )
NEW met1 ( 22310 17510 ) ( 26910 * )
NEW met1 ( 43010 5270 ) ( 181470 * )
NEW met1 ( 141450 202440 ) ( 141850 * )
NEW met1 ( 141450 202130 ) ( * 202440 )
NEW met1 ( 135470 202130 ) ( 141450 * )
NEW met1 ( 135470 201790 ) ( * 202130 )
NEW met2 ( 135470 135150 ) ( * 201790 )
NEW met2 ( 116150 13800 ) ( * 134810 )
NEW met1 ( 116610 5270 ) M1M2_PR
NEW met1 ( 181470 5270 ) M1M2_PR
NEW li1 ( 183310 27710 ) L1M1_PR_MR
NEW met1 ( 181470 27710 ) M1M2_PR
NEW li1 ( 186530 28050 ) L1M1_PR_MR
NEW li1 ( 122590 134810 ) L1M1_PR_MR
NEW met1 ( 135470 135150 ) M1M2_PR
NEW li1 ( 119830 134810 ) L1M1_PR_MR
NEW met1 ( 116150 134810 ) M1M2_PR
NEW li1 ( 43010 5270 ) L1M1_PR_MR
NEW li1 ( 43010 8330 ) L1M1_PR_MR
NEW met1 ( 27830 8330 ) M1M2_PR
NEW met1 ( 26910 17510 ) M1M2_PR
NEW li1 ( 22310 17510 ) L1M1_PR_MR
NEW li1 ( 135470 201790 ) L1M1_PR_MR
NEW met1 ( 135470 201790 ) M1M2_PR
NEW li1 ( 141850 202440 ) L1M1_PR_MR
NEW met1 ( 116610 5270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 135470 201790 ) RECT ( -355 -70 0 70 ) ;
- net134 ( ANTENNA__385__A DIODE ) ( ANTENNA__274__A DIODE ) ( ANTENNA__170__B1 DIODE ) ( input134 X ) ( _170_ B1 ) ( _274_ A ) ( _385_ A ) + USE SIGNAL
+ ROUTED met2 ( 168590 86020 ) ( 169510 * )
NEW met2 ( 168590 6290 ) ( * 86020 )
NEW met1 ( 198950 227290 ) ( 201710 * )
NEW met2 ( 177790 230010 ) ( * 230180 )
NEW met2 ( 177790 230180 ) ( 178250 * )
NEW met2 ( 178250 227630 ) ( * 230180 )
NEW met1 ( 178250 227630 ) ( 198950 * )
NEW met1 ( 198950 227290 ) ( * 227630 )
NEW met1 ( 170890 229330 ) ( 175950 * )
NEW met2 ( 175950 229330 ) ( * 229500 )
NEW met2 ( 175950 229500 ) ( 176870 * )
NEW met2 ( 176870 229500 ) ( * 230010 )
NEW met1 ( 176870 230010 ) ( 177790 * )
NEW met1 ( 177790 208930 ) ( 178250 * )
NEW met2 ( 178250 208930 ) ( * 227630 )
NEW met1 ( 178250 207570 ) ( 180550 * )
NEW met2 ( 178250 207570 ) ( * 208930 )
NEW met1 ( 169510 207570 ) ( 178250 * )
NEW met2 ( 169510 86020 ) ( * 207570 )
NEW li1 ( 25530 5610 ) ( * 10370 )
NEW met1 ( 25530 5610 ) ( 35190 * )
NEW met1 ( 35190 5610 ) ( * 6630 )
NEW met1 ( 35190 6630 ) ( 43470 * )
NEW met1 ( 43470 6290 ) ( * 6630 )
NEW met1 ( 43470 6290 ) ( 168590 * )
NEW met1 ( 21850 19890 ) ( 25530 * )
NEW met1 ( 21850 19890 ) ( * 20230 )
NEW met2 ( 25530 10370 ) ( * 19890 )
NEW met1 ( 168590 6290 ) M1M2_PR
NEW li1 ( 198950 227290 ) L1M1_PR_MR
NEW li1 ( 201710 227290 ) L1M1_PR_MR
NEW li1 ( 177790 230010 ) L1M1_PR_MR
NEW met1 ( 177790 230010 ) M1M2_PR
NEW met1 ( 178250 227630 ) M1M2_PR
NEW li1 ( 170890 229330 ) L1M1_PR_MR
NEW met1 ( 175950 229330 ) M1M2_PR
NEW met1 ( 176870 230010 ) M1M2_PR
NEW li1 ( 177790 208930 ) L1M1_PR_MR
NEW met1 ( 178250 208930 ) M1M2_PR
NEW li1 ( 180550 207570 ) L1M1_PR_MR
NEW met1 ( 178250 207570 ) M1M2_PR
NEW met1 ( 169510 207570 ) M1M2_PR
NEW li1 ( 25530 10370 ) L1M1_PR_MR
NEW met1 ( 25530 10370 ) M1M2_PR
NEW li1 ( 25530 5610 ) L1M1_PR_MR
NEW met1 ( 25530 19890 ) M1M2_PR
NEW li1 ( 21850 20230 ) L1M1_PR_MR
NEW met1 ( 177790 230010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25530 10370 ) RECT ( -355 -70 0 70 ) ;
- net135 ( ANTENNA__119__A DIODE ) ( input135 X ) ( _119_ A ) + USE SIGNAL
+ ROUTED met3 ( 18170 9180 ) ( 57730 * )
NEW met1 ( 57730 38590 ) ( 59110 * )
NEW met2 ( 59110 38590 ) ( * 62100 )
NEW met2 ( 59110 62100 ) ( 59570 * )
NEW met2 ( 18170 9180 ) ( * 14790 )
NEW met2 ( 57730 9180 ) ( * 38590 )
NEW met2 ( 59570 62100 ) ( * 207910 )
NEW met1 ( 119370 207910 ) ( 122590 * )
NEW met1 ( 59570 207910 ) ( 119370 * )
NEW met2 ( 18170 9180 ) M2M3_PR_M
NEW met2 ( 57730 9180 ) M2M3_PR_M
NEW li1 ( 18170 14790 ) L1M1_PR_MR
NEW met1 ( 18170 14790 ) M1M2_PR
NEW met1 ( 57730 38590 ) M1M2_PR
NEW met1 ( 59110 38590 ) M1M2_PR
NEW met1 ( 59570 207910 ) M1M2_PR
NEW li1 ( 119370 207910 ) L1M1_PR_MR
NEW li1 ( 122590 207910 ) L1M1_PR_MR
NEW met1 ( 18170 14790 ) RECT ( -355 -70 0 70 ) ;
- net136 ( ANTENNA__121__C_N DIODE ) ( ANTENNA__102__C DIODE ) ( input136 X ) ( _102_ C ) ( _121_ C_N ) + USE SIGNAL
+ ROUTED met2 ( 9890 35020 ) ( * 55250 )
NEW met2 ( 9430 35020 ) ( 9890 * )
NEW met2 ( 9430 34510 ) ( * 35020 )
NEW met1 ( 9430 34510 ) ( 10350 * )
NEW met1 ( 9890 55250 ) ( * 55590 )
NEW met1 ( 185150 50150 ) ( 190670 * )
NEW met1 ( 185150 49470 ) ( * 50150 )
NEW met1 ( 15410 55590 ) ( 15595 * )
NEW met1 ( 15410 54910 ) ( * 55590 )
NEW met1 ( 15410 54910 ) ( 21390 * )
NEW met1 ( 21390 54910 ) ( * 55250 )
NEW met1 ( 21390 55250 ) ( 33810 * )
NEW met2 ( 33810 49810 ) ( * 55250 )
NEW met1 ( 9890 55590 ) ( 15410 * )
NEW met1 ( 149270 49470 ) ( * 49810 )
NEW met1 ( 33810 49810 ) ( 149270 * )
NEW met1 ( 149270 49470 ) ( 185150 * )
NEW li1 ( 9890 55250 ) L1M1_PR_MR
NEW met1 ( 9890 55250 ) M1M2_PR
NEW met1 ( 9430 34510 ) M1M2_PR
NEW li1 ( 10350 34510 ) L1M1_PR_MR
NEW li1 ( 185150 49470 ) L1M1_PR_MR
NEW li1 ( 190670 50150 ) L1M1_PR_MR
NEW li1 ( 15595 55590 ) L1M1_PR_MR
NEW met1 ( 33810 55250 ) M1M2_PR
NEW met1 ( 33810 49810 ) M1M2_PR
NEW met1 ( 9890 55250 ) RECT ( -355 -70 0 70 ) ;
- net137 ( ANTENNA__476__CLK DIODE ) ( ANTENNA__475__CLK DIODE ) ( ANTENNA__474__CLK DIODE ) ( ANTENNA__473__CLK DIODE ) ( ANTENNA__472__CLK DIODE ) ( ANTENNA__471__CLK DIODE ) ( ANTENNA__470__CLK DIODE )
( ANTENNA__469__CLK DIODE ) ( ANTENNA__468__CLK DIODE ) ( ANTENNA__467__CLK DIODE ) ( ANTENNA__466__CLK DIODE ) ( ANTENNA__465__CLK DIODE ) ( ANTENNA__464__CLK DIODE ) ( ANTENNA__463__CLK DIODE ) ( ANTENNA__462__CLK DIODE )
( ANTENNA__461__CLK DIODE ) ( ANTENNA__460__CLK DIODE ) ( ANTENNA__459__CLK DIODE ) ( ANTENNA__458__CLK DIODE ) ( ANTENNA__457__CLK DIODE ) ( ANTENNA__456__CLK DIODE ) ( ANTENNA__455__CLK DIODE ) ( ANTENNA__454__CLK DIODE )
( ANTENNA__453__CLK DIODE ) ( ANTENNA__452__CLK DIODE ) ( ANTENNA__451__CLK DIODE ) ( ANTENNA__450__CLK DIODE ) ( ANTENNA__449__CLK DIODE ) ( ANTENNA__448__CLK DIODE ) ( ANTENNA__447__CLK DIODE ) ( ANTENNA__446__CLK DIODE )
( ANTENNA__445__CLK DIODE ) ( ANTENNA__444__CLK DIODE ) ( ANTENNA__443__CLK DIODE ) ( ANTENNA__442__CLK DIODE ) ( ANTENNA_output137_A DIODE ) ( output137 A ) ( _440_ X ) ( _442_ CLK )
( _443_ CLK ) ( _444_ CLK ) ( _445_ CLK ) ( _446_ CLK ) ( _447_ CLK ) ( _448_ CLK ) ( _449_ CLK ) ( _450_ CLK )
( _451_ CLK ) ( _452_ CLK ) ( _453_ CLK ) ( _454_ CLK ) ( _455_ CLK ) ( _456_ CLK ) ( _457_ CLK ) ( _458_ CLK )
( _459_ CLK ) ( _460_ CLK ) ( _461_ CLK ) ( _462_ CLK ) ( _463_ CLK ) ( _464_ CLK ) ( _465_ CLK ) ( _466_ CLK )
( _467_ CLK ) ( _468_ CLK ) ( _469_ CLK ) ( _470_ CLK ) ( _471_ CLK ) ( _472_ CLK ) ( _473_ CLK ) ( _474_ CLK )
( _475_ CLK ) ( _476_ CLK ) + USE CLOCK
+ ROUTED met1 ( 224250 155890 ) ( 227700 * )
NEW met1 ( 227700 155890 ) ( * 156570 )
NEW met1 ( 227700 156570 ) ( 235290 * )
NEW met1 ( 100510 175270 ) ( 103270 * )
NEW met1 ( 103270 175270 ) ( * 175610 )
NEW met2 ( 103270 175270 ) ( * 188190 )
NEW met1 ( 103270 204850 ) ( 109250 * )
NEW met2 ( 103270 188190 ) ( * 204850 )
NEW met1 ( 98210 205530 ) ( * 205870 )
NEW met1 ( 98210 205870 ) ( 103270 * )
NEW met1 ( 68310 31450 ) ( 72910 * )
NEW met1 ( 68310 31450 ) ( * 31790 )
NEW met1 ( 66010 31790 ) ( 68310 * )
NEW met1 ( 66010 31790 ) ( * 32130 )
NEW met1 ( 72910 31450 ) ( 75210 * )
NEW met2 ( 75210 31450 ) ( * 33830 )
NEW met1 ( 75210 34170 ) ( 85790 * )
NEW met1 ( 75210 33830 ) ( * 34170 )
NEW met1 ( 89470 45050 ) ( 104650 * )
NEW met2 ( 89470 34170 ) ( * 45050 )
NEW met1 ( 85790 34170 ) ( 89470 * )
NEW met1 ( 104650 45050 ) ( 106950 * )
NEW met1 ( 106950 45050 ) ( * 45730 )
NEW met1 ( 96830 137190 ) ( 98670 * )
NEW met1 ( 96830 140250 ) ( 106030 * )
NEW met2 ( 96830 137190 ) ( * 140250 )
NEW met1 ( 106030 140250 ) ( 109250 * )
NEW met2 ( 109250 138210 ) ( * 140250 )
NEW met2 ( 103270 140250 ) ( * 150450 )
NEW met2 ( 103270 150450 ) ( * 175270 )
NEW met2 ( 103270 204850 ) ( * 207000 )
NEW met2 ( 103730 207000 ) ( * 215390 )
NEW met2 ( 103270 207000 ) ( 103730 * )
NEW met2 ( 103730 215390 ) ( * 219130 )
NEW met1 ( 64170 221510 ) ( 64630 * )
NEW met2 ( 64170 221510 ) ( * 224570 )
NEW met1 ( 64170 224570 ) ( 70610 * )
NEW met1 ( 64630 221850 ) ( 75210 * )
NEW met1 ( 64630 221510 ) ( * 221850 )
NEW met2 ( 64170 204850 ) ( * 221510 )
NEW met2 ( 109250 204850 ) ( * 208250 )
NEW met1 ( 174110 40290 ) ( 175950 * )
NEW met2 ( 174110 40290 ) ( * 45050 )
NEW met1 ( 175950 39610 ) ( 186990 * )
NEW met1 ( 175950 39610 ) ( * 40290 )
NEW met1 ( 187910 39610 ) ( * 40290 )
NEW met1 ( 186990 39610 ) ( 187910 * )
NEW met2 ( 158930 148410 ) ( * 155890 )
NEW met1 ( 158930 119170 ) ( 163990 * )
NEW met2 ( 158930 119170 ) ( * 148410 )
NEW met1 ( 164910 118490 ) ( 175030 * )
NEW met2 ( 164910 118490 ) ( * 119170 )
NEW met1 ( 163990 119170 ) ( 164910 * )
NEW met2 ( 158930 155890 ) ( * 158950 )
NEW met1 ( 158930 155890 ) ( 224250 * )
NEW met1 ( 164450 220830 ) ( 165830 * )
NEW met2 ( 165830 210290 ) ( * 220830 )
NEW met2 ( 175030 221850 ) ( * 223550 )
NEW met1 ( 165830 223550 ) ( 175030 * )
NEW met2 ( 165830 220830 ) ( * 223550 )
NEW met1 ( 187450 210290 ) ( * 210630 )
NEW met1 ( 165830 210290 ) ( 187450 * )
NEW met2 ( 186530 208930 ) ( * 210290 )
NEW met1 ( 20930 91970 ) ( 33350 * )
NEW met1 ( 18170 91290 ) ( 20930 * )
NEW met1 ( 20930 91290 ) ( * 91970 )
NEW met2 ( 54970 202810 ) ( * 204850 )
NEW met1 ( 54970 179010 ) ( 57730 * )
NEW met2 ( 54970 179010 ) ( * 202810 )
NEW met1 ( 53130 178330 ) ( 54970 * )
NEW met1 ( 54970 178330 ) ( * 179010 )
NEW met1 ( 24150 168130 ) ( 53130 * )
NEW met2 ( 53130 168130 ) ( * 178330 )
NEW met1 ( 21390 167450 ) ( 24150 * )
NEW met1 ( 24150 167450 ) ( * 168130 )
NEW met1 ( 54970 204850 ) ( 67390 * )
NEW met1 ( 113850 188870 ) ( 116150 * )
NEW li1 ( 112930 188190 ) ( * 188870 )
NEW met1 ( 112930 188870 ) ( 113850 * )
NEW met1 ( 148350 159290 ) ( 151110 * )
NEW met1 ( 103270 175610 ) ( 111090 * )
NEW met1 ( 103270 188190 ) ( 112930 * )
NEW met1 ( 226090 68510 ) ( 227470 * )
NEW met2 ( 227470 66470 ) ( * 68510 )
NEW met1 ( 223790 85850 ) ( 227470 * )
NEW met2 ( 227470 68510 ) ( * 85850 )
NEW met1 ( 221490 85850 ) ( 223790 * )
NEW met2 ( 222410 85850 ) ( * 87550 )
NEW met1 ( 227470 88570 ) ( 233450 * )
NEW met2 ( 227470 85850 ) ( * 88570 )
NEW met2 ( 37950 28390 ) ( * 32130 )
NEW met2 ( 40710 32130 ) ( * 44030 )
NEW met1 ( 37950 32130 ) ( 66010 * )
NEW met1 ( 33350 118490 ) ( 44390 * )
NEW met1 ( 33350 148070 ) ( 36110 * )
NEW met2 ( 33350 118490 ) ( * 148070 )
NEW met1 ( 31050 148070 ) ( 33350 * )
NEW met2 ( 33350 91970 ) ( * 118490 )
NEW met2 ( 33350 148070 ) ( * 168130 )
NEW met1 ( 58650 224570 ) ( 64170 * )
NEW met1 ( 123970 45390 ) ( 129490 * )
NEW met1 ( 129490 45050 ) ( * 45390 )
NEW met1 ( 129490 45050 ) ( 134550 * )
NEW met1 ( 123970 45390 ) ( * 45730 )
NEW met1 ( 106950 45730 ) ( 123970 * )
NEW met1 ( 134550 45050 ) ( 174110 * )
NEW met1 ( 150650 148410 ) ( 152490 * )
NEW met2 ( 150650 148410 ) ( * 150450 )
NEW met2 ( 150650 151130 ) ( 151110 * )
NEW met2 ( 150650 150450 ) ( * 151130 )
NEW met1 ( 103270 150450 ) ( 150650 * )
NEW met2 ( 151110 151130 ) ( * 159290 )
NEW met1 ( 152490 148410 ) ( 158930 * )
NEW met1 ( 158700 210290 ) ( 165830 * )
NEW met1 ( 135010 209950 ) ( 135470 * )
NEW met2 ( 135010 208250 ) ( * 209950 )
NEW met1 ( 135010 210630 ) ( 138230 * )
NEW met2 ( 135010 209950 ) ( * 210630 )
NEW met1 ( 158700 210290 ) ( * 210630 )
NEW met1 ( 144670 210630 ) ( 158700 * )
NEW met1 ( 144670 210630 ) ( * 210970 )
NEW met1 ( 138230 210970 ) ( 144670 * )
NEW met1 ( 138230 210630 ) ( * 210970 )
NEW met1 ( 109250 208250 ) ( 135010 * )
NEW met1 ( 103730 219130 ) ( 112470 * )
NEW met1 ( 187910 40290 ) ( 227470 * )
NEW met2 ( 227470 40290 ) ( * 66470 )
NEW met2 ( 100050 52020 ) ( * 52870 )
NEW met3 ( 100050 52020 ) ( 102350 * )
NEW met2 ( 102350 45050 ) ( * 52190 )
NEW met1 ( 75670 109310 ) ( * 109990 )
NEW met1 ( 73830 112030 ) ( 75670 * )
NEW met2 ( 75670 109990 ) ( * 112030 )
NEW met1 ( 62790 112030 ) ( * 112710 )
NEW met1 ( 62790 112030 ) ( 73830 * )
NEW met1 ( 60950 125630 ) ( 62790 * )
NEW met2 ( 62790 112710 ) ( * 125630 )
NEW met2 ( 58190 125630 ) ( * 126310 )
NEW met1 ( 58190 125630 ) ( 60950 * )
NEW met1 ( 44390 118490 ) ( 62790 * )
NEW met1 ( 99590 90270 ) ( 100050 * )
NEW met1 ( 96830 90950 ) ( 99590 * )
NEW met1 ( 99590 90270 ) ( * 90950 )
NEW met1 ( 100050 103870 ) ( 100970 * )
NEW met2 ( 100050 90270 ) ( * 103870 )
NEW met1 ( 86710 109310 ) ( 100050 * )
NEW met2 ( 100050 103870 ) ( * 109310 )
NEW met1 ( 82110 109310 ) ( * 109650 )
NEW met1 ( 82110 109650 ) ( 86710 * )
NEW met1 ( 86710 109310 ) ( * 109650 )
NEW met2 ( 83490 109650 ) ( * 118150 )
NEW met1 ( 83490 120190 ) ( 92230 * )
NEW met2 ( 83490 118150 ) ( * 120190 )
NEW met1 ( 92230 120190 ) ( 96830 * )
NEW met1 ( 75670 109310 ) ( 82110 * )
NEW met2 ( 96830 120190 ) ( * 137190 )
NEW met2 ( 100050 52870 ) ( * 90270 )
NEW li1 ( 224250 155890 ) L1M1_PR_MR
NEW li1 ( 158930 158950 ) L1M1_PR_MR
NEW met1 ( 158930 158950 ) M1M2_PR
NEW li1 ( 235290 156570 ) L1M1_PR_MR
NEW li1 ( 100510 175270 ) L1M1_PR_MR
NEW met1 ( 103270 175270 ) M1M2_PR
NEW met1 ( 103270 188190 ) M1M2_PR
NEW li1 ( 109250 204850 ) L1M1_PR_MR
NEW met1 ( 103270 204850 ) M1M2_PR
NEW met1 ( 109250 204850 ) M1M2_PR
NEW li1 ( 98210 205530 ) L1M1_PR_MR
NEW met1 ( 103270 205870 ) M1M2_PR
NEW li1 ( 67390 204850 ) L1M1_PR_MR
NEW met1 ( 64170 204850 ) M1M2_PR
NEW li1 ( 72910 31450 ) L1M1_PR_MR
NEW li1 ( 75210 31450 ) L1M1_PR_MR
NEW li1 ( 75210 33830 ) L1M1_PR_MR
NEW met1 ( 75210 33830 ) M1M2_PR
NEW met1 ( 75210 31450 ) M1M2_PR
NEW li1 ( 85790 34170 ) L1M1_PR_MR
NEW li1 ( 104650 45050 ) L1M1_PR_MR
NEW met1 ( 89470 45050 ) M1M2_PR
NEW met1 ( 89470 34170 ) M1M2_PR
NEW li1 ( 106950 45050 ) L1M1_PR_MR
NEW met1 ( 102350 45050 ) M1M2_PR
NEW li1 ( 98670 137190 ) L1M1_PR_MR
NEW met1 ( 96830 137190 ) M1M2_PR
NEW li1 ( 106030 140250 ) L1M1_PR_MR
NEW met1 ( 96830 140250 ) M1M2_PR
NEW li1 ( 109250 140250 ) L1M1_PR_MR
NEW li1 ( 109250 138210 ) L1M1_PR_MR
NEW met1 ( 109250 138210 ) M1M2_PR
NEW met1 ( 109250 140250 ) M1M2_PR
NEW met1 ( 103270 150450 ) M1M2_PR
NEW met1 ( 103270 140250 ) M1M2_PR
NEW li1 ( 103730 215390 ) L1M1_PR_MR
NEW met1 ( 103730 215390 ) M1M2_PR
NEW met1 ( 103730 219130 ) M1M2_PR
NEW li1 ( 64630 221510 ) L1M1_PR_MR
NEW met1 ( 64170 221510 ) M1M2_PR
NEW met1 ( 64170 224570 ) M1M2_PR
NEW li1 ( 70610 224570 ) L1M1_PR_MR
NEW li1 ( 75210 221850 ) L1M1_PR_MR
NEW met1 ( 109250 208250 ) M1M2_PR
NEW li1 ( 175950 40290 ) L1M1_PR_MR
NEW met1 ( 174110 40290 ) M1M2_PR
NEW met1 ( 174110 45050 ) M1M2_PR
NEW li1 ( 186990 39610 ) L1M1_PR_MR
NEW met1 ( 158930 155890 ) M1M2_PR
NEW met1 ( 158930 148410 ) M1M2_PR
NEW li1 ( 163990 119170 ) L1M1_PR_MR
NEW met1 ( 158930 119170 ) M1M2_PR
NEW li1 ( 175030 118490 ) L1M1_PR_MR
NEW met1 ( 164910 118490 ) M1M2_PR
NEW met1 ( 164910 119170 ) M1M2_PR
NEW li1 ( 164450 220830 ) L1M1_PR_MR
NEW met1 ( 165830 220830 ) M1M2_PR
NEW met1 ( 165830 210290 ) M1M2_PR
NEW li1 ( 175030 221850 ) L1M1_PR_MR
NEW met1 ( 175030 221850 ) M1M2_PR
NEW met1 ( 175030 223550 ) M1M2_PR
NEW met1 ( 165830 223550 ) M1M2_PR
NEW li1 ( 187450 210630 ) L1M1_PR_MR
NEW li1 ( 186530 208930 ) L1M1_PR_MR
NEW met1 ( 186530 208930 ) M1M2_PR
NEW met1 ( 186530 210290 ) M1M2_PR
NEW li1 ( 20930 91970 ) L1M1_PR_MR
NEW met1 ( 33350 91970 ) M1M2_PR
NEW li1 ( 18170 91290 ) L1M1_PR_MR
NEW li1 ( 54970 202810 ) L1M1_PR_MR
NEW met1 ( 54970 202810 ) M1M2_PR
NEW met1 ( 54970 204850 ) M1M2_PR
NEW li1 ( 57730 179010 ) L1M1_PR_MR
NEW met1 ( 54970 179010 ) M1M2_PR
NEW li1 ( 53130 178330 ) L1M1_PR_MR
NEW li1 ( 24150 168130 ) L1M1_PR_MR
NEW met1 ( 53130 168130 ) M1M2_PR
NEW met1 ( 53130 178330 ) M1M2_PR
NEW li1 ( 21390 167450 ) L1M1_PR_MR
NEW met1 ( 33350 168130 ) M1M2_PR
NEW li1 ( 113850 188870 ) L1M1_PR_MR
NEW li1 ( 116150 188870 ) L1M1_PR_MR
NEW li1 ( 112930 188190 ) L1M1_PR_MR
NEW li1 ( 112930 188870 ) L1M1_PR_MR
NEW li1 ( 111090 175610 ) L1M1_PR_MR
NEW met1 ( 151110 159290 ) M1M2_PR
NEW li1 ( 148350 159290 ) L1M1_PR_MR
NEW li1 ( 227470 66470 ) L1M1_PR_MR
NEW met1 ( 227470 66470 ) M1M2_PR
NEW li1 ( 226090 68510 ) L1M1_PR_MR
NEW met1 ( 227470 68510 ) M1M2_PR
NEW li1 ( 223790 85850 ) L1M1_PR_MR
NEW met1 ( 227470 85850 ) M1M2_PR
NEW li1 ( 221490 85850 ) L1M1_PR_MR
NEW li1 ( 222410 87550 ) L1M1_PR_MR
NEW met1 ( 222410 87550 ) M1M2_PR
NEW met1 ( 222410 85850 ) M1M2_PR
NEW li1 ( 233450 88570 ) L1M1_PR_MR
NEW met1 ( 227470 88570 ) M1M2_PR
NEW li1 ( 37950 28390 ) L1M1_PR_MR
NEW met1 ( 37950 28390 ) M1M2_PR
NEW met1 ( 37950 32130 ) M1M2_PR
NEW li1 ( 40710 44030 ) L1M1_PR_MR
NEW met1 ( 40710 44030 ) M1M2_PR
NEW met1 ( 40710 32130 ) M1M2_PR
NEW li1 ( 44390 118490 ) L1M1_PR_MR
NEW li1 ( 33350 118490 ) L1M1_PR_MR
NEW met1 ( 33350 118490 ) M1M2_PR
NEW li1 ( 36110 148070 ) L1M1_PR_MR
NEW met1 ( 33350 148070 ) M1M2_PR
NEW li1 ( 31050 148070 ) L1M1_PR_MR
NEW li1 ( 58650 224570 ) L1M1_PR_MR
NEW li1 ( 134550 45050 ) L1M1_PR_MR
NEW li1 ( 123970 45390 ) L1M1_PR_MR
NEW li1 ( 150650 150450 ) L1M1_PR_MR
NEW li1 ( 152490 148410 ) L1M1_PR_MR
NEW met1 ( 150650 148410 ) M1M2_PR
NEW met1 ( 150650 150450 ) M1M2_PR
NEW li1 ( 112470 219130 ) L1M1_PR_MR
NEW li1 ( 135470 209950 ) L1M1_PR_MR
NEW met1 ( 135010 209950 ) M1M2_PR
NEW met1 ( 135010 208250 ) M1M2_PR
NEW li1 ( 138230 210630 ) L1M1_PR_MR
NEW met1 ( 135010 210630 ) M1M2_PR
NEW met1 ( 227470 40290 ) M1M2_PR
NEW li1 ( 102350 52190 ) L1M1_PR_MR
NEW met1 ( 102350 52190 ) M1M2_PR
NEW li1 ( 100050 52870 ) L1M1_PR_MR
NEW met1 ( 100050 52870 ) M1M2_PR
NEW met2 ( 100050 52020 ) M2M3_PR_M
NEW met2 ( 102350 52020 ) M2M3_PR_M
NEW li1 ( 75670 109990 ) L1M1_PR_MR
NEW li1 ( 73830 112030 ) L1M1_PR_MR
NEW met1 ( 75670 112030 ) M1M2_PR
NEW met1 ( 75670 109990 ) M1M2_PR
NEW li1 ( 62790 112710 ) L1M1_PR_MR
NEW li1 ( 60950 125630 ) L1M1_PR_MR
NEW met1 ( 62790 125630 ) M1M2_PR
NEW met1 ( 62790 112710 ) M1M2_PR
NEW li1 ( 58190 126310 ) L1M1_PR_MR
NEW met1 ( 58190 126310 ) M1M2_PR
NEW met1 ( 58190 125630 ) M1M2_PR
NEW met1 ( 62790 118490 ) M1M2_PR
NEW li1 ( 99590 90270 ) L1M1_PR_MR
NEW met1 ( 100050 90270 ) M1M2_PR
NEW li1 ( 96830 90950 ) L1M1_PR_MR
NEW li1 ( 100970 103870 ) L1M1_PR_MR
NEW met1 ( 100050 103870 ) M1M2_PR
NEW li1 ( 86710 109310 ) L1M1_PR_MR
NEW met1 ( 100050 109310 ) M1M2_PR
NEW li1 ( 83490 118150 ) L1M1_PR_MR
NEW met1 ( 83490 118150 ) M1M2_PR
NEW met1 ( 83490 109650 ) M1M2_PR
NEW li1 ( 92230 120190 ) L1M1_PR_MR
NEW met1 ( 83490 120190 ) M1M2_PR
NEW met1 ( 96830 120190 ) M1M2_PR
NEW met1 ( 158930 158950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 204850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 103270 205870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 64170 204850 ) RECT ( -595 -70 0 70 )
NEW met1 ( 75210 33830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 75210 31450 ) RECT ( -595 -70 0 70 )
NEW met1 ( 102350 45050 ) RECT ( -595 -70 0 70 )
NEW met1 ( 109250 138210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 140250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 103270 140250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 103730 215390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 175030 221850 ) RECT ( 0 -70 355 70 )
NEW met1 ( 186530 208930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186530 210290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 54970 202810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 53130 178330 ) RECT ( -595 -70 0 70 )
NEW met1 ( 33350 168130 ) RECT ( -595 -70 0 70 )
NEW met1 ( 227470 66470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 222410 87550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 222410 85850 ) RECT ( -595 -70 0 70 )
NEW met1 ( 37950 28390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40710 44030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40710 32130 ) RECT ( -595 -70 0 70 )
NEW met1 ( 33350 118490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 150650 150450 ) RECT ( -595 -70 0 70 )
NEW met1 ( 102350 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100050 52870 ) RECT ( -355 -70 0 70 )
NEW met2 ( 102350 52020 ) RECT ( -70 -485 70 0 )
NEW met1 ( 75670 109990 ) RECT ( -595 -70 0 70 )
NEW met1 ( 62790 112710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 58190 126310 ) RECT ( 0 -70 355 70 )
NEW met2 ( 62790 118490 ) RECT ( -70 -485 70 0 )
NEW met1 ( 83490 118150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83490 109650 ) RECT ( -595 -70 0 70 ) ;
- net138 ( ANTENNA_output138_A DIODE ) ( output138 A ) ( _101_ X ) + USE SIGNAL
+ ROUTED met1 ( 77050 44030 ) ( * 44370 )
NEW met1 ( 77050 44370 ) ( 91770 * )
NEW met1 ( 41630 41650 ) ( 43010 * )
NEW met2 ( 41630 40460 ) ( * 41650 )
NEW met3 ( 25990 40460 ) ( 41630 * )
NEW met2 ( 25990 37570 ) ( * 40460 )
NEW met1 ( 8510 37570 ) ( 25990 * )
NEW met1 ( 8510 36890 ) ( * 37570 )
NEW met2 ( 41630 41650 ) ( * 44030 )
NEW met1 ( 41630 44030 ) ( 77050 * )
NEW met2 ( 91770 44370 ) ( * 155550 )
NEW met1 ( 91770 44370 ) M1M2_PR
NEW li1 ( 91770 155550 ) L1M1_PR_MR
NEW met1 ( 91770 155550 ) M1M2_PR
NEW li1 ( 43010 41650 ) L1M1_PR_MR
NEW met1 ( 41630 41650 ) M1M2_PR
NEW met2 ( 41630 40460 ) M2M3_PR_M
NEW met2 ( 25990 40460 ) M2M3_PR_M
NEW met1 ( 25990 37570 ) M1M2_PR
NEW li1 ( 8510 36890 ) L1M1_PR_MR
NEW met1 ( 41630 44030 ) M1M2_PR
NEW met1 ( 91770 155550 ) RECT ( -355 -70 0 70 ) ;
- net139 ( ANTENNA_output139_A DIODE ) ( output139 A ) ( _103_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 63070 ) ( 13110 * )
NEW met1 ( 132250 9350 ) ( * 9690 )
NEW met1 ( 132250 9350 ) ( 137310 * )
NEW met1 ( 137310 9350 ) ( * 9690 )
NEW met1 ( 137310 9690 ) ( 162150 * )
NEW met2 ( 162150 9690 ) ( * 11390 )
NEW met1 ( 162150 11390 ) ( 169050 * )
NEW met1 ( 68310 9690 ) ( 132250 * )
NEW met2 ( 67850 15300 ) ( * 36550 )
NEW met2 ( 67850 15300 ) ( 68310 * )
NEW met2 ( 68310 9690 ) ( * 15300 )
NEW met1 ( 8510 38930 ) ( * 39270 )
NEW met1 ( 8510 38930 ) ( 17710 * )
NEW met2 ( 17710 38420 ) ( * 38930 )
NEW met3 ( 17710 38420 ) ( 35650 * )
NEW met2 ( 35650 36550 ) ( * 38420 )
NEW met1 ( 13110 39610 ) ( 14030 * )
NEW met1 ( 14030 38930 ) ( * 39610 )
NEW met2 ( 13110 39610 ) ( * 63070 )
NEW met1 ( 35650 36550 ) ( 67850 * )
NEW li1 ( 11270 63070 ) L1M1_PR_MR
NEW met1 ( 13110 63070 ) M1M2_PR
NEW met1 ( 68310 9690 ) M1M2_PR
NEW met1 ( 162150 9690 ) M1M2_PR
NEW met1 ( 162150 11390 ) M1M2_PR
NEW li1 ( 169050 11390 ) L1M1_PR_MR
NEW met1 ( 67850 36550 ) M1M2_PR
NEW li1 ( 8510 39270 ) L1M1_PR_MR
NEW met1 ( 17710 38930 ) M1M2_PR
NEW met2 ( 17710 38420 ) M2M3_PR_M
NEW met2 ( 35650 38420 ) M2M3_PR_M
NEW met1 ( 35650 36550 ) M1M2_PR
NEW met1 ( 13110 39610 ) M1M2_PR ;
- net14 ( ANTENNA__222__B1 DIODE ) ( input14 X ) ( _222_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 17250 193630 ) ( 17710 * )
NEW met2 ( 17710 189550 ) ( * 193630 )
NEW met1 ( 17710 189550 ) ( 35190 * )
NEW met2 ( 35190 189550 ) ( * 191930 )
NEW met2 ( 17710 193630 ) ( * 196690 )
NEW met1 ( 12190 196690 ) ( 17710 * )
NEW met1 ( 35190 191930 ) ( 243110 * )
NEW met2 ( 243110 110400 ) ( * 191930 )
NEW met2 ( 243110 110400 ) ( 243570 * )
NEW met1 ( 239430 15470 ) ( 243570 * )
NEW met2 ( 243570 15470 ) ( * 110400 )
NEW li1 ( 12190 196690 ) L1M1_PR_MR
NEW met1 ( 243110 191930 ) M1M2_PR
NEW li1 ( 17250 193630 ) L1M1_PR_MR
NEW met1 ( 17710 193630 ) M1M2_PR
NEW met1 ( 17710 189550 ) M1M2_PR
NEW met1 ( 35190 189550 ) M1M2_PR
NEW met1 ( 35190 191930 ) M1M2_PR
NEW met1 ( 17710 196690 ) M1M2_PR
NEW met1 ( 243570 15470 ) M1M2_PR
NEW li1 ( 239430 15470 ) L1M1_PR_MR ;
- net140 ( ANTENNA_output140_A DIODE ) ( output140 A ) ( _265_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 44710 ) ( * 45390 )
NEW met1 ( 215970 95710 ) ( 217350 * )
NEW met2 ( 20930 57970 ) ( * 58140 )
NEW met2 ( 18170 45390 ) ( * 47770 )
NEW met1 ( 18170 47770 ) ( 23690 * )
NEW met2 ( 23690 47770 ) ( * 58140 )
NEW met1 ( 8510 45390 ) ( 18170 * )
NEW met3 ( 20930 58140 ) ( 215970 * )
NEW met2 ( 215970 58140 ) ( * 95710 )
NEW li1 ( 8510 44710 ) L1M1_PR_MR
NEW met1 ( 215970 95710 ) M1M2_PR
NEW li1 ( 217350 95710 ) L1M1_PR_MR
NEW li1 ( 20930 57970 ) L1M1_PR_MR
NEW met1 ( 20930 57970 ) M1M2_PR
NEW met2 ( 20930 58140 ) M2M3_PR_M
NEW met1 ( 18170 45390 ) M1M2_PR
NEW met1 ( 18170 47770 ) M1M2_PR
NEW met1 ( 23690 47770 ) M1M2_PR
NEW met2 ( 23690 58140 ) M2M3_PR_M
NEW met2 ( 215970 58140 ) M2M3_PR_M
NEW met1 ( 20930 57970 ) RECT ( -355 -70 0 70 )
NEW met3 ( 23690 58140 ) RECT ( -800 -150 0 150 ) ;
- net141 ( ANTENNA_output141_A DIODE ) ( output141 A ) ( _275_ X ) + USE SIGNAL
+ ROUTED met1 ( 181010 37230 ) ( 183770 * )
NEW met2 ( 183770 37230 ) ( * 45390 )
NEW met1 ( 239430 109990 ) ( 246330 * )
NEW met1 ( 237130 109990 ) ( 239430 * )
NEW li1 ( 245410 45390 ) ( * 48110 )
NEW met1 ( 245410 48110 ) ( 246330 * )
NEW met1 ( 183770 45390 ) ( 245410 * )
NEW li1 ( 246330 48110 ) ( * 109990 )
NEW li1 ( 181010 37230 ) L1M1_PR_MR
NEW met1 ( 183770 37230 ) M1M2_PR
NEW met1 ( 183770 45390 ) M1M2_PR
NEW li1 ( 239430 109990 ) L1M1_PR_MR
NEW li1 ( 246330 109990 ) L1M1_PR_MR
NEW li1 ( 237130 109990 ) L1M1_PR_MR
NEW li1 ( 245410 45390 ) L1M1_PR_MR
NEW li1 ( 245410 48110 ) L1M1_PR_MR
NEW li1 ( 246330 48110 ) L1M1_PR_MR ;
- net142 ( ANTENNA_output142_A DIODE ) ( output142 A ) ( _276_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 113730 ) ( 12650 * )
NEW met1 ( 8510 113050 ) ( 11270 * )
NEW met1 ( 11270 113050 ) ( * 113730 )
NEW met2 ( 12650 113730 ) ( * 235450 )
NEW met1 ( 70150 235450 ) ( * 236130 )
NEW met1 ( 62100 236130 ) ( 70150 * )
NEW met1 ( 62100 235450 ) ( * 236130 )
NEW met1 ( 12650 235450 ) ( 62100 * )
NEW met2 ( 129030 235450 ) ( * 236130 )
NEW met1 ( 129030 236130 ) ( 129950 * )
NEW met1 ( 129950 235790 ) ( * 236130 )
NEW met1 ( 129950 235790 ) ( 132710 * )
NEW met1 ( 132710 235450 ) ( * 235790 )
NEW met1 ( 70150 235450 ) ( 129030 * )
NEW met1 ( 132710 235450 ) ( 157550 * )
NEW li1 ( 11270 113730 ) L1M1_PR_MR
NEW met1 ( 12650 113730 ) M1M2_PR
NEW li1 ( 8510 113050 ) L1M1_PR_MR
NEW met1 ( 12650 235450 ) M1M2_PR
NEW li1 ( 157550 235450 ) L1M1_PR_MR
NEW met1 ( 129030 235450 ) M1M2_PR
NEW met1 ( 129030 236130 ) M1M2_PR ;
- net143 ( ANTENNA_output143_A DIODE ) ( output143 A ) ( _277_ X ) + USE SIGNAL
+ ROUTED met1 ( 65550 177990 ) ( 66010 * )
NEW met2 ( 66470 81090 ) ( * 110400 )
NEW met2 ( 66010 110400 ) ( 66470 * )
NEW met2 ( 66010 110400 ) ( * 177990 )
NEW met2 ( 177790 41820 ) ( * 80750 )
NEW met1 ( 220570 41650 ) ( 223330 * )
NEW met2 ( 223330 19550 ) ( * 41650 )
NEW met1 ( 221490 19550 ) ( 223330 * )
NEW met2 ( 221490 17510 ) ( * 19550 )
NEW met1 ( 221030 17510 ) ( 221490 * )
NEW met2 ( 217810 41650 ) ( * 41820 )
NEW met1 ( 217810 41650 ) ( 220570 * )
NEW met3 ( 177790 41820 ) ( 217810 * )
NEW met1 ( 100050 80750 ) ( * 81090 )
NEW met1 ( 66470 81090 ) ( 100050 * )
NEW met1 ( 100050 80750 ) ( 177790 * )
NEW met1 ( 66470 81090 ) M1M2_PR
NEW met1 ( 66010 177990 ) M1M2_PR
NEW li1 ( 65550 177990 ) L1M1_PR_MR
NEW met1 ( 177790 80750 ) M1M2_PR
NEW met2 ( 177790 41820 ) M2M3_PR_M
NEW li1 ( 220570 41650 ) L1M1_PR_MR
NEW met1 ( 223330 41650 ) M1M2_PR
NEW met1 ( 223330 19550 ) M1M2_PR
NEW met1 ( 221490 19550 ) M1M2_PR
NEW met1 ( 221490 17510 ) M1M2_PR
NEW li1 ( 221030 17510 ) L1M1_PR_MR
NEW met2 ( 217810 41820 ) M2M3_PR_M
NEW met1 ( 217810 41650 ) M1M2_PR ;
- net144 ( ANTENNA_output144_A DIODE ) ( output144 A ) ( _278_ X ) + USE SIGNAL
+ ROUTED met1 ( 232070 183090 ) ( 232990 * )
NEW met1 ( 232990 23970 ) ( 233910 * )
NEW met2 ( 232990 23970 ) ( * 183090 )
NEW met1 ( 229770 215390 ) ( 231150 * )
NEW met2 ( 231150 212670 ) ( * 215390 )
NEW met1 ( 231150 212670 ) ( 232070 * )
NEW met1 ( 230230 229670 ) ( 231150 * )
NEW met2 ( 231150 215390 ) ( * 229670 )
NEW met2 ( 232070 183090 ) ( * 212670 )
NEW met1 ( 232070 183090 ) M1M2_PR
NEW met1 ( 232990 183090 ) M1M2_PR
NEW li1 ( 233910 23970 ) L1M1_PR_MR
NEW met1 ( 232990 23970 ) M1M2_PR
NEW li1 ( 229770 215390 ) L1M1_PR_MR
NEW met1 ( 231150 215390 ) M1M2_PR
NEW met1 ( 231150 212670 ) M1M2_PR
NEW met1 ( 232070 212670 ) M1M2_PR
NEW li1 ( 230230 229670 ) L1M1_PR_MR
NEW met1 ( 231150 229670 ) M1M2_PR ;
- net145 ( ANTENNA_output145_A DIODE ) ( output145 A ) ( _279_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 197710 ) ( 231610 * )
NEW met2 ( 232070 213690 ) ( * 224230 )
NEW met1 ( 232070 224230 ) ( 232530 * )
NEW met2 ( 231610 213180 ) ( 232070 * )
NEW met2 ( 232070 213180 ) ( * 213690 )
NEW met2 ( 231610 197710 ) ( * 213180 )
NEW met1 ( 231610 197710 ) M1M2_PR
NEW li1 ( 76590 197710 ) L1M1_PR_MR
NEW li1 ( 232070 213690 ) L1M1_PR_MR
NEW met1 ( 232070 213690 ) M1M2_PR
NEW met1 ( 232070 224230 ) M1M2_PR
NEW li1 ( 232530 224230 ) L1M1_PR_MR
NEW met1 ( 232070 213690 ) RECT ( -355 -70 0 70 ) ;
- net146 ( ANTENNA_output146_A DIODE ) ( output146 A ) ( _280_ X ) + USE SIGNAL
+ ROUTED met2 ( 9430 135490 ) ( * 137190 )
NEW met1 ( 8510 137190 ) ( 18170 * )
NEW met1 ( 18170 223890 ) ( 20930 * )
NEW met2 ( 18170 137190 ) ( * 223890 )
NEW li1 ( 8510 137190 ) L1M1_PR_MR
NEW li1 ( 9430 135490 ) L1M1_PR_MR
NEW met1 ( 9430 135490 ) M1M2_PR
NEW met1 ( 9430 137190 ) M1M2_PR
NEW met1 ( 18170 137190 ) M1M2_PR
NEW met1 ( 18170 223890 ) M1M2_PR
NEW li1 ( 20930 223890 ) L1M1_PR_MR
NEW met1 ( 9430 135490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 9430 137190 ) RECT ( -595 -70 0 70 ) ;
- net147 ( ANTENNA_output147_A DIODE ) ( output147 A ) ( _281_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 151130 ) ( 11270 * )
NEW met1 ( 11270 151130 ) ( 124890 * )
NEW li1 ( 11270 151130 ) L1M1_PR_MR
NEW li1 ( 8510 151130 ) L1M1_PR_MR
NEW li1 ( 124890 151130 ) L1M1_PR_MR ;
- net148 ( ANTENNA_output148_A DIODE ) ( output148 A ) ( _282_ X ) + USE SIGNAL
+ ROUTED met2 ( 9430 154530 ) ( * 155550 )
NEW met1 ( 8510 158950 ) ( 9430 * )
NEW met2 ( 9430 155550 ) ( * 158950 )
NEW met2 ( 188830 149090 ) ( * 154530 )
NEW met1 ( 9430 154530 ) ( 188830 * )
NEW li1 ( 9430 155550 ) L1M1_PR_MR
NEW met1 ( 9430 155550 ) M1M2_PR
NEW met1 ( 9430 154530 ) M1M2_PR
NEW li1 ( 8510 158950 ) L1M1_PR_MR
NEW met1 ( 9430 158950 ) M1M2_PR
NEW li1 ( 188830 149090 ) L1M1_PR_MR
NEW met1 ( 188830 149090 ) M1M2_PR
NEW met1 ( 188830 154530 ) M1M2_PR
NEW met1 ( 9430 155550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 188830 149090 ) RECT ( -355 -70 0 70 ) ;
- net149 ( ANTENNA_output149_A DIODE ) ( output149 A ) ( _283_ X ) + USE SIGNAL
+ ROUTED met1 ( 230230 161330 ) ( 238510 * )
NEW met2 ( 230230 159970 ) ( * 161330 )
NEW met2 ( 239430 161330 ) ( * 164390 )
NEW met1 ( 238510 161330 ) ( 239430 * )
NEW met1 ( 95910 159970 ) ( 230230 * )
NEW met1 ( 94990 119170 ) ( 95910 * )
NEW met2 ( 95910 119170 ) ( * 159970 )
NEW li1 ( 238510 161330 ) L1M1_PR_MR
NEW met1 ( 230230 161330 ) M1M2_PR
NEW met1 ( 230230 159970 ) M1M2_PR
NEW li1 ( 239430 164390 ) L1M1_PR_MR
NEW met1 ( 239430 164390 ) M1M2_PR
NEW met1 ( 239430 161330 ) M1M2_PR
NEW met1 ( 95910 159970 ) M1M2_PR
NEW li1 ( 94990 119170 ) L1M1_PR_MR
NEW met1 ( 95910 119170 ) M1M2_PR
NEW met1 ( 239430 164390 ) RECT ( -355 -70 0 70 ) ;
- net15 ( ANTENNA__223__B1 DIODE ) ( input15 X ) ( _223_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 10350 66130 ) ( * 188530 )
NEW met2 ( 83490 64770 ) ( * 66130 )
NEW met1 ( 83490 64770 ) ( 105110 * )
NEW met2 ( 109250 37570 ) ( * 38930 )
NEW met2 ( 105110 38930 ) ( * 64770 )
NEW met1 ( 10350 66130 ) ( 83490 * )
NEW met1 ( 112470 38590 ) ( * 38930 )
NEW met1 ( 112470 38590 ) ( 113850 * )
NEW met1 ( 113850 38590 ) ( * 38930 )
NEW met1 ( 105110 38930 ) ( 112470 * )
NEW li1 ( 10350 188530 ) L1M1_PR_MR
NEW met1 ( 10350 188530 ) M1M2_PR
NEW met1 ( 10350 66130 ) M1M2_PR
NEW met1 ( 83490 66130 ) M1M2_PR
NEW met1 ( 83490 64770 ) M1M2_PR
NEW met1 ( 105110 64770 ) M1M2_PR
NEW met1 ( 105110 38930 ) M1M2_PR
NEW li1 ( 109250 37570 ) L1M1_PR_MR
NEW met1 ( 109250 37570 ) M1M2_PR
NEW met1 ( 109250 38930 ) M1M2_PR
NEW li1 ( 113850 38930 ) L1M1_PR_MR
NEW met1 ( 10350 188530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 37570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 38930 ) RECT ( -595 -70 0 70 ) ;
- net150 ( ANTENNA_output150_A DIODE ) ( output150 A ) ( _284_ X ) + USE SIGNAL
+ ROUTED met2 ( 24610 208590 ) ( * 209950 )
NEW met2 ( 235750 208590 ) ( * 212670 )
NEW met1 ( 235750 221850 ) ( 238050 * )
NEW met2 ( 235750 212670 ) ( * 221850 )
NEW met1 ( 24610 208590 ) ( 235750 * )
NEW met1 ( 24610 208590 ) M1M2_PR
NEW li1 ( 24610 209950 ) L1M1_PR_MR
NEW met1 ( 24610 209950 ) M1M2_PR
NEW li1 ( 235750 212670 ) L1M1_PR_MR
NEW met1 ( 235750 212670 ) M1M2_PR
NEW met1 ( 235750 208590 ) M1M2_PR
NEW li1 ( 238050 221850 ) L1M1_PR_MR
NEW met1 ( 235750 221850 ) M1M2_PR
NEW met1 ( 24610 209950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 235750 212670 ) RECT ( -355 -70 0 70 ) ;
- net151 ( ANTENNA_output151_A DIODE ) ( output151 A ) ( _266_ X ) + USE SIGNAL
+ ROUTED met2 ( 185610 82450 ) ( * 105570 )
NEW met1 ( 185610 82450 ) ( 204930 * )
NEW met1 ( 158700 105570 ) ( 185610 * )
NEW met1 ( 124890 105230 ) ( * 105570 )
NEW met1 ( 124890 105230 ) ( 158700 * )
NEW met1 ( 158700 105230 ) ( * 105570 )
NEW met1 ( 200790 28050 ) ( 201250 * )
NEW met2 ( 201250 15810 ) ( * 28050 )
NEW met1 ( 201250 15810 ) ( 206770 * )
NEW met1 ( 206770 15130 ) ( * 15810 )
NEW met1 ( 206770 15130 ) ( 215050 * )
NEW met3 ( 201250 28220 ) ( 204930 * )
NEW met2 ( 201250 28050 ) ( * 28220 )
NEW met2 ( 204930 28220 ) ( * 82450 )
NEW met1 ( 31050 105570 ) ( 124890 * )
NEW met1 ( 185610 105570 ) M1M2_PR
NEW met1 ( 185610 82450 ) M1M2_PR
NEW met1 ( 204930 82450 ) M1M2_PR
NEW li1 ( 31050 105570 ) L1M1_PR_MR
NEW li1 ( 200790 28050 ) L1M1_PR_MR
NEW met1 ( 201250 28050 ) M1M2_PR
NEW met1 ( 201250 15810 ) M1M2_PR
NEW li1 ( 215050 15130 ) L1M1_PR_MR
NEW met2 ( 204930 28220 ) M2M3_PR_M
NEW met2 ( 201250 28220 ) M2M3_PR_M ;
- net152 ( ANTENNA_output152_A DIODE ) ( output152 A ) ( _285_ X ) + USE SIGNAL
+ ROUTED met1 ( 239430 175270 ) ( * 175610 )
NEW met1 ( 236670 175610 ) ( 239430 * )
NEW met2 ( 79350 176290 ) ( * 190910 )
NEW met1 ( 134550 175610 ) ( * 176290 )
NEW met1 ( 79350 176290 ) ( 134550 * )
NEW met1 ( 134550 175610 ) ( 236670 * )
NEW li1 ( 236670 175610 ) L1M1_PR_MR
NEW li1 ( 239430 175270 ) L1M1_PR_MR
NEW met1 ( 79350 176290 ) M1M2_PR
NEW li1 ( 79350 190910 ) L1M1_PR_MR
NEW met1 ( 79350 190910 ) M1M2_PR
NEW met1 ( 79350 190910 ) RECT ( -355 -70 0 70 ) ;
- net153 ( ANTENNA_output153_A DIODE ) ( output153 A ) ( _286_ X ) + USE SIGNAL
+ ROUTED met1 ( 163530 208250 ) ( 198490 * )
NEW li1 ( 198490 208250 ) ( * 208930 )
NEW met2 ( 163530 168130 ) ( * 208250 )
NEW met2 ( 234830 208930 ) ( * 209950 )
NEW met2 ( 238050 209950 ) ( * 218790 )
NEW met1 ( 234830 209950 ) ( 238050 * )
NEW met1 ( 198490 208930 ) ( 234830 * )
NEW li1 ( 163530 168130 ) L1M1_PR_MR
NEW met1 ( 163530 168130 ) M1M2_PR
NEW met1 ( 163530 208250 ) M1M2_PR
NEW li1 ( 198490 208250 ) L1M1_PR_MR
NEW li1 ( 198490 208930 ) L1M1_PR_MR
NEW li1 ( 234830 209950 ) L1M1_PR_MR
NEW met1 ( 234830 209950 ) M1M2_PR
NEW met1 ( 234830 208930 ) M1M2_PR
NEW li1 ( 238050 218790 ) L1M1_PR_MR
NEW met1 ( 238050 218790 ) M1M2_PR
NEW met1 ( 238050 209950 ) M1M2_PR
NEW met1 ( 163530 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 234830 209950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 238050 218790 ) RECT ( -355 -70 0 70 ) ;
- net154 ( ANTENNA_output154_A DIODE ) ( output154 A ) ( _287_ X ) + USE SIGNAL
+ ROUTED met2 ( 226550 64770 ) ( * 207000 )
NEW met1 ( 226550 217090 ) ( 227010 * )
NEW met2 ( 227010 217090 ) ( * 227290 )
NEW met2 ( 226550 207000 ) ( 227010 * )
NEW met2 ( 227010 207000 ) ( * 217090 )
NEW li1 ( 226550 64770 ) L1M1_PR_MR
NEW met1 ( 226550 64770 ) M1M2_PR
NEW li1 ( 226550 217090 ) L1M1_PR_MR
NEW met1 ( 227010 217090 ) M1M2_PR
NEW li1 ( 227010 227290 ) L1M1_PR_MR
NEW met1 ( 227010 227290 ) M1M2_PR
NEW met1 ( 226550 64770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 227010 227290 ) RECT ( -355 -70 0 70 ) ;
- net155 ( ANTENNA_output155_A DIODE ) ( output155 A ) ( _288_ X ) + USE SIGNAL
+ ROUTED met1 ( 201250 183090 ) ( * 183770 )
NEW met1 ( 172270 183770 ) ( 201250 * )
NEW met1 ( 201250 183090 ) ( 227700 * )
NEW met1 ( 228850 183770 ) ( 233910 * )
NEW met1 ( 227700 183090 ) ( * 183770 )
NEW met1 ( 227700 183770 ) ( 228850 * )
NEW met1 ( 168130 32130 ) ( 172270 * )
NEW met2 ( 172270 32130 ) ( * 36380 )
NEW met2 ( 172270 36380 ) ( 172730 * )
NEW met2 ( 172730 36380 ) ( * 37740 )
NEW met2 ( 172270 37740 ) ( 172730 * )
NEW met2 ( 172270 37740 ) ( * 183770 )
NEW met1 ( 172270 183770 ) M1M2_PR
NEW li1 ( 228850 183770 ) L1M1_PR_MR
NEW li1 ( 233910 183770 ) L1M1_PR_MR
NEW li1 ( 168130 32130 ) L1M1_PR_MR
NEW met1 ( 172270 32130 ) M1M2_PR ;
- net156 ( ANTENNA_output156_A DIODE ) ( output156 A ) ( _289_ X ) + USE SIGNAL
+ ROUTED met1 ( 89930 200430 ) ( * 200770 )
NEW met1 ( 89930 200770 ) ( 210450 * )
NEW met2 ( 216430 39950 ) ( * 55250 )
NEW met1 ( 210450 55250 ) ( 216430 * )
NEW met1 ( 234830 28390 ) ( * 28730 )
NEW met1 ( 234830 28730 ) ( 237130 * )
NEW met2 ( 237130 28730 ) ( * 39610 )
NEW met1 ( 216430 39610 ) ( 237130 * )
NEW met1 ( 216430 39610 ) ( * 39950 )
NEW met2 ( 210450 55250 ) ( * 200770 )
NEW met1 ( 210450 200770 ) M1M2_PR
NEW li1 ( 89930 200430 ) L1M1_PR_MR
NEW li1 ( 216430 39950 ) L1M1_PR_MR
NEW met1 ( 216430 39950 ) M1M2_PR
NEW met1 ( 216430 55250 ) M1M2_PR
NEW met1 ( 210450 55250 ) M1M2_PR
NEW li1 ( 234830 28390 ) L1M1_PR_MR
NEW met1 ( 237130 28730 ) M1M2_PR
NEW met1 ( 237130 39610 ) M1M2_PR
NEW met1 ( 216430 39950 ) RECT ( -355 -70 0 70 ) ;
- net157 ( ANTENNA_output157_A DIODE ) ( output157 A ) ( _290_ X ) + USE SIGNAL
+ ROUTED met2 ( 91310 55930 ) ( * 61370 )
NEW met1 ( 220110 221850 ) ( 233910 * )
NEW met2 ( 220110 220830 ) ( * 221850 )
NEW met2 ( 220110 61370 ) ( * 220830 )
NEW met1 ( 117530 61370 ) ( * 61710 )
NEW met1 ( 117530 61710 ) ( 118450 * )
NEW met1 ( 118450 61370 ) ( * 61710 )
NEW met1 ( 91310 61370 ) ( 117530 * )
NEW met1 ( 118450 61370 ) ( 220110 * )
NEW li1 ( 91310 55930 ) L1M1_PR_MR
NEW met1 ( 91310 55930 ) M1M2_PR
NEW met1 ( 91310 61370 ) M1M2_PR
NEW met1 ( 220110 61370 ) M1M2_PR
NEW li1 ( 220110 220830 ) L1M1_PR_MR
NEW met1 ( 220110 220830 ) M1M2_PR
NEW li1 ( 233910 221850 ) L1M1_PR_MR
NEW met1 ( 220110 221850 ) M1M2_PR
NEW met1 ( 91310 55930 ) RECT ( 0 -70 355 70 )
NEW met1 ( 220110 220830 ) RECT ( -355 -70 0 70 ) ;
- net158 ( output158 A ) ( _291_ X ) + USE SIGNAL
+ ROUTED met2 ( 239430 191590 ) ( * 204510 )
NEW met1 ( 189290 204510 ) ( 239430 * )
NEW li1 ( 189290 204510 ) L1M1_PR_MR
NEW met1 ( 239430 204510 ) M1M2_PR
NEW li1 ( 239430 191590 ) L1M1_PR_MR
NEW met1 ( 239430 191590 ) M1M2_PR
NEW met1 ( 239430 191590 ) RECT ( -355 -70 0 70 ) ;
- net159 ( ANTENNA_output159_A DIODE ) ( output159 A ) ( _292_ X ) + USE SIGNAL
+ ROUTED met2 ( 236670 195330 ) ( * 196350 )
NEW met1 ( 236670 197030 ) ( 239430 * )
NEW met1 ( 236670 196350 ) ( * 197030 )
NEW met1 ( 168590 195330 ) ( 236670 * )
NEW met2 ( 168590 130050 ) ( * 195330 )
NEW met1 ( 168590 195330 ) M1M2_PR
NEW li1 ( 236670 196350 ) L1M1_PR_MR
NEW met1 ( 236670 196350 ) M1M2_PR
NEW met1 ( 236670 195330 ) M1M2_PR
NEW li1 ( 239430 197030 ) L1M1_PR_MR
NEW li1 ( 168590 130050 ) L1M1_PR_MR
NEW met1 ( 168590 130050 ) M1M2_PR
NEW met1 ( 236670 196350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 168590 130050 ) RECT ( -355 -70 0 70 ) ;
- net16 ( input16 X ) ( _224_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 8050 200770 ) ( 11730 * )
NEW met1 ( 8050 161670 ) ( 11730 * )
NEW met1 ( 8050 161670 ) ( * 162010 )
NEW met2 ( 11730 161670 ) ( * 200770 )
NEW met1 ( 11730 200770 ) M1M2_PR
NEW li1 ( 8050 200770 ) L1M1_PR_MR
NEW met1 ( 11730 161670 ) M1M2_PR
NEW li1 ( 8050 162010 ) L1M1_PR_MR ;
- net160 ( ANTENNA_output160_A DIODE ) ( output160 A ) ( _293_ X ) + USE SIGNAL
+ ROUTED met2 ( 43470 63750 ) ( * 64260 )
NEW met3 ( 43470 64260 ) ( 216430 * )
NEW met2 ( 221030 223550 ) ( * 229670 )
NEW met1 ( 216430 223550 ) ( 221030 * )
NEW met2 ( 216430 64260 ) ( * 223550 )
NEW met2 ( 43470 64260 ) M2M3_PR_M
NEW li1 ( 43470 63750 ) L1M1_PR_MR
NEW met1 ( 43470 63750 ) M1M2_PR
NEW met2 ( 216430 64260 ) M2M3_PR_M
NEW li1 ( 216430 223550 ) L1M1_PR_MR
NEW met1 ( 216430 223550 ) M1M2_PR
NEW li1 ( 221030 229670 ) L1M1_PR_MR
NEW met1 ( 221030 229670 ) M1M2_PR
NEW met1 ( 221030 223550 ) M1M2_PR
NEW met1 ( 43470 63750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 216430 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 221030 229670 ) RECT ( -355 -70 0 70 ) ;
- net161 ( output161 A ) ( _294_ X ) + USE SIGNAL
+ ROUTED met2 ( 231150 159970 ) ( * 207000 )
NEW met2 ( 230690 207000 ) ( 231150 * )
NEW met2 ( 230690 207000 ) ( * 216410 )
NEW met1 ( 230690 216410 ) ( 239430 * )
NEW li1 ( 231150 159970 ) L1M1_PR_MR
NEW met1 ( 231150 159970 ) M1M2_PR
NEW met1 ( 230690 216410 ) M1M2_PR
NEW li1 ( 239430 216410 ) L1M1_PR_MR
NEW met1 ( 231150 159970 ) RECT ( -355 -70 0 70 ) ;
- net162 ( ANTENNA_output162_A DIODE ) ( output162 A ) ( _267_ X ) + USE SIGNAL
+ ROUTED met2 ( 120750 42500 ) ( 121210 * )
NEW met2 ( 121210 36550 ) ( * 42500 )
NEW met1 ( 121210 36550 ) ( 131790 * )
NEW met1 ( 131790 36210 ) ( * 36550 )
NEW met1 ( 212750 37230 ) ( 239430 * )
NEW met1 ( 239430 36890 ) ( * 37230 )
NEW li1 ( 211370 36210 ) ( * 37230 )
NEW met1 ( 211370 37230 ) ( 212750 * )
NEW met1 ( 131790 36210 ) ( 211370 * )
NEW met1 ( 119370 69530 ) ( 120750 * )
NEW met2 ( 120750 42500 ) ( * 69530 )
NEW met1 ( 118910 114750 ) ( 119370 * )
NEW met2 ( 119370 69530 ) ( * 114750 )
NEW met1 ( 121210 36550 ) M1M2_PR
NEW li1 ( 212750 37230 ) L1M1_PR_MR
NEW li1 ( 239430 36890 ) L1M1_PR_MR
NEW li1 ( 211370 36210 ) L1M1_PR_MR
NEW li1 ( 211370 37230 ) L1M1_PR_MR
NEW met1 ( 119370 69530 ) M1M2_PR
NEW met1 ( 120750 69530 ) M1M2_PR
NEW met1 ( 119370 114750 ) M1M2_PR
NEW li1 ( 118910 114750 ) L1M1_PR_MR ;
- net163 ( ANTENNA_output163_A DIODE ) ( output163 A ) ( _295_ X ) + USE SIGNAL
+ ROUTED met2 ( 219650 49980 ) ( 220110 * )
NEW met2 ( 219650 49980 ) ( * 193630 )
NEW met2 ( 204010 30260 ) ( * 30430 )
NEW met3 ( 204010 30260 ) ( 227930 * )
NEW met2 ( 227930 26010 ) ( * 30260 )
NEW met1 ( 227930 26010 ) ( 230230 * )
NEW met2 ( 219650 37060 ) ( 220110 * )
NEW met2 ( 219650 30940 ) ( * 37060 )
NEW met3 ( 219650 30260 ) ( * 30940 )
NEW met2 ( 220110 37060 ) ( * 49980 )
NEW li1 ( 219650 193630 ) L1M1_PR_MR
NEW met1 ( 219650 193630 ) M1M2_PR
NEW li1 ( 204010 30430 ) L1M1_PR_MR
NEW met1 ( 204010 30430 ) M1M2_PR
NEW met2 ( 204010 30260 ) M2M3_PR_M
NEW met2 ( 227930 30260 ) M2M3_PR_M
NEW met1 ( 227930 26010 ) M1M2_PR
NEW li1 ( 230230 26010 ) L1M1_PR_MR
NEW met2 ( 219650 30940 ) M2M3_PR_M
NEW met1 ( 219650 193630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 204010 30430 ) RECT ( -355 -70 0 70 ) ;
- net164 ( ANTENNA_output164_A DIODE ) ( output164 A ) ( _296_ X ) + USE SIGNAL
+ ROUTED met1 ( 216890 220830 ) ( 217350 * )
NEW met2 ( 217350 220830 ) ( * 232730 )
NEW met2 ( 217350 90270 ) ( * 220830 )
NEW met2 ( 138690 90270 ) ( * 95540 )
NEW met1 ( 138690 90270 ) ( 217350 * )
NEW met2 ( 89930 95540 ) ( * 95710 )
NEW met3 ( 89930 95540 ) ( 138690 * )
NEW met1 ( 217350 90270 ) M1M2_PR
NEW li1 ( 216890 220830 ) L1M1_PR_MR
NEW met1 ( 217350 220830 ) M1M2_PR
NEW li1 ( 217350 232730 ) L1M1_PR_MR
NEW met1 ( 217350 232730 ) M1M2_PR
NEW met2 ( 138690 95540 ) M2M3_PR_M
NEW met1 ( 138690 90270 ) M1M2_PR
NEW met2 ( 89930 95540 ) M2M3_PR_M
NEW li1 ( 89930 95710 ) L1M1_PR_MR
NEW met1 ( 89930 95710 ) M1M2_PR
NEW met1 ( 217350 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 89930 95710 ) RECT ( -355 -70 0 70 ) ;
- net165 ( ANTENNA_output165_A DIODE ) ( output165 A ) ( _268_ X ) + USE SIGNAL
+ ROUTED met1 ( 133170 55930 ) ( 143290 * )
NEW met1 ( 143290 55590 ) ( * 55930 )
NEW met2 ( 133170 55930 ) ( * 185470 )
NEW met2 ( 239430 44710 ) ( * 55590 )
NEW met1 ( 238510 55590 ) ( 239430 * )
NEW met1 ( 143290 55590 ) ( 238510 * )
NEW li1 ( 133170 185470 ) L1M1_PR_MR
NEW met1 ( 133170 185470 ) M1M2_PR
NEW met1 ( 133170 55930 ) M1M2_PR
NEW li1 ( 238510 55590 ) L1M1_PR_MR
NEW li1 ( 239430 44710 ) L1M1_PR_MR
NEW met1 ( 239430 44710 ) M1M2_PR
NEW met1 ( 239430 55590 ) M1M2_PR
NEW met1 ( 133170 185470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 239430 44710 ) RECT ( -355 -70 0 70 ) ;
- net166 ( ANTENNA_output166_A DIODE ) ( output166 A ) ( _269_ X ) + USE SIGNAL
+ ROUTED met2 ( 172270 36890 ) ( * 37060 )
NEW met1 ( 171810 36890 ) ( 172270 * )
NEW met3 ( 172270 37060 ) ( 214130 * )
NEW met1 ( 217350 229330 ) ( * 229670 )
NEW met1 ( 214130 229330 ) ( 217350 * )
NEW met2 ( 214130 223550 ) ( * 229330 )
NEW met2 ( 214130 37060 ) ( * 223550 )
NEW met2 ( 172270 37060 ) M2M3_PR_M
NEW met1 ( 172270 36890 ) M1M2_PR
NEW li1 ( 171810 36890 ) L1M1_PR_MR
NEW met2 ( 214130 37060 ) M2M3_PR_M
NEW li1 ( 214130 223550 ) L1M1_PR_MR
NEW met1 ( 214130 223550 ) M1M2_PR
NEW li1 ( 217350 229670 ) L1M1_PR_MR
NEW met1 ( 214130 229330 ) M1M2_PR
NEW met1 ( 214130 223550 ) RECT ( -355 -70 0 70 ) ;
- net167 ( ANTENNA_output167_A DIODE ) ( output167 A ) ( _270_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 26350 ) ( 8970 * )
NEW met2 ( 8510 26350 ) ( * 27710 )
NEW met1 ( 8510 27710 ) ( * 28730 )
NEW met1 ( 8510 28730 ) ( 9430 * )
NEW met2 ( 9430 28730 ) ( * 33830 )
NEW met1 ( 9430 33830 ) ( 10350 * )
NEW met1 ( 10350 33830 ) ( * 34170 )
NEW met2 ( 238510 66300 ) ( * 66470 )
NEW met1 ( 238510 69530 ) ( 239430 * )
NEW met2 ( 238510 66470 ) ( * 69530 )
NEW met3 ( 34270 66300 ) ( 238510 * )
NEW met1 ( 20010 34170 ) ( * 34510 )
NEW met1 ( 20010 34510 ) ( 31510 * )
NEW met2 ( 31510 34510 ) ( * 36380 )
NEW met2 ( 31050 36380 ) ( 31510 * )
NEW met2 ( 31050 36380 ) ( * 59330 )
NEW met1 ( 31050 59330 ) ( 34270 * )
NEW met1 ( 10350 34170 ) ( 20010 * )
NEW met2 ( 34270 59330 ) ( * 66300 )
NEW li1 ( 8970 26350 ) L1M1_PR_MR
NEW met1 ( 8510 26350 ) M1M2_PR
NEW met1 ( 8510 27710 ) M1M2_PR
NEW met1 ( 9430 28730 ) M1M2_PR
NEW met1 ( 9430 33830 ) M1M2_PR
NEW met2 ( 34270 66300 ) M2M3_PR_M
NEW li1 ( 238510 66470 ) L1M1_PR_MR
NEW met1 ( 238510 66470 ) M1M2_PR
NEW met2 ( 238510 66300 ) M2M3_PR_M
NEW li1 ( 239430 69530 ) L1M1_PR_MR
NEW met1 ( 238510 69530 ) M1M2_PR
NEW met1 ( 31510 34510 ) M1M2_PR
NEW met1 ( 31050 59330 ) M1M2_PR
NEW met1 ( 34270 59330 ) M1M2_PR
NEW met1 ( 238510 66470 ) RECT ( -355 -70 0 70 ) ;
- net168 ( ANTENNA_output168_A DIODE ) ( output168 A ) ( _271_ X ) + USE SIGNAL
+ ROUTED met2 ( 8510 63580 ) ( * 64090 )
NEW met1 ( 8510 65790 ) ( 8970 * )
NEW met2 ( 8510 64090 ) ( * 65790 )
NEW met1 ( 164450 34850 ) ( 168130 * )
NEW met2 ( 164450 34850 ) ( * 63580 )
NEW met3 ( 8510 63580 ) ( 164450 * )
NEW li1 ( 8510 64090 ) L1M1_PR_MR
NEW met1 ( 8510 64090 ) M1M2_PR
NEW met2 ( 8510 63580 ) M2M3_PR_M
NEW li1 ( 8970 65790 ) L1M1_PR_MR
NEW met1 ( 8510 65790 ) M1M2_PR
NEW met2 ( 164450 63580 ) M2M3_PR_M
NEW li1 ( 168130 34850 ) L1M1_PR_MR
NEW met1 ( 164450 34850 ) M1M2_PR
NEW met1 ( 8510 64090 ) RECT ( -355 -70 0 70 ) ;
- net169 ( ANTENNA_output169_A DIODE ) ( output169 A ) ( _272_ X ) + USE SIGNAL
+ ROUTED met2 ( 198030 25500 ) ( * 25670 )
NEW met1 ( 195270 25670 ) ( 198030 * )
NEW met2 ( 207230 23630 ) ( * 25500 )
NEW met1 ( 207230 23630 ) ( 225630 * )
NEW met1 ( 225630 22950 ) ( * 23630 )
NEW met3 ( 198030 25500 ) ( 207230 * )
NEW met2 ( 183770 48300 ) ( * 81090 )
NEW met2 ( 183770 48300 ) ( 184230 * )
NEW met2 ( 184230 37230 ) ( * 48300 )
NEW met1 ( 184230 37230 ) ( 188830 * )
NEW met2 ( 188830 27710 ) ( * 37230 )
NEW met1 ( 188830 27710 ) ( 191130 * )
NEW met2 ( 191130 25670 ) ( * 27710 )
NEW met1 ( 191130 25670 ) ( 195270 * )
NEW met1 ( 110170 81090 ) ( 183770 * )
NEW met1 ( 183770 81090 ) M1M2_PR
NEW li1 ( 195270 25670 ) L1M1_PR_MR
NEW met2 ( 198030 25500 ) M2M3_PR_M
NEW met1 ( 198030 25670 ) M1M2_PR
NEW met2 ( 207230 25500 ) M2M3_PR_M
NEW met1 ( 207230 23630 ) M1M2_PR
NEW li1 ( 225630 22950 ) L1M1_PR_MR
NEW met1 ( 184230 37230 ) M1M2_PR
NEW met1 ( 188830 37230 ) M1M2_PR
NEW met1 ( 188830 27710 ) M1M2_PR
NEW met1 ( 191130 27710 ) M1M2_PR
NEW met1 ( 191130 25670 ) M1M2_PR
NEW li1 ( 110170 81090 ) L1M1_PR_MR ;
- net17 ( input17 X ) ( _225_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 8510 218790 ) ( 12190 * )
NEW met1 ( 8510 140590 ) ( 12190 * )
NEW met2 ( 12190 140590 ) ( * 218790 )
NEW met1 ( 12190 218790 ) M1M2_PR
NEW li1 ( 8510 218790 ) L1M1_PR_MR
NEW met1 ( 12190 140590 ) M1M2_PR
NEW li1 ( 8510 140590 ) L1M1_PR_MR ;
- net170 ( ANTENNA_output170_A DIODE ) ( output170 A ) ( _273_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 82790 ) ( 11270 * )
NEW met1 ( 11270 82110 ) ( * 82790 )
NEW met1 ( 65090 82110 ) ( * 82450 )
NEW met1 ( 177330 56270 ) ( 187450 * )
NEW met2 ( 187450 47260 ) ( * 56270 )
NEW met2 ( 187450 47260 ) ( 187910 * )
NEW met2 ( 187910 29410 ) ( * 47260 )
NEW met2 ( 177330 56270 ) ( * 82450 )
NEW met1 ( 11270 82110 ) ( 13800 * )
NEW met1 ( 13800 82110 ) ( * 82450 )
NEW met1 ( 13800 82450 ) ( 23230 * )
NEW met1 ( 23230 82110 ) ( * 82450 )
NEW met1 ( 23230 82110 ) ( 65090 * )
NEW met1 ( 158700 82450 ) ( 177330 * )
NEW met1 ( 158700 82450 ) ( * 82790 )
NEW met1 ( 65090 82450 ) ( 75900 * )
NEW met1 ( 75900 82450 ) ( * 82790 )
NEW met1 ( 75900 82790 ) ( 158700 * )
NEW li1 ( 11270 82110 ) L1M1_PR_MR
NEW li1 ( 8510 82790 ) L1M1_PR_MR
NEW met1 ( 177330 82450 ) M1M2_PR
NEW met1 ( 177330 56270 ) M1M2_PR
NEW met1 ( 187450 56270 ) M1M2_PR
NEW li1 ( 187910 29410 ) L1M1_PR_MR
NEW met1 ( 187910 29410 ) M1M2_PR
NEW met1 ( 187910 29410 ) RECT ( -355 -70 0 70 ) ;
- net171 ( ANTENNA_output171_A DIODE ) ( output171 A ) ( _274_ X ) + USE SIGNAL
+ ROUTED met2 ( 181010 41650 ) ( * 207230 )
NEW met1 ( 216890 41650 ) ( 217350 * )
NEW met2 ( 217350 17510 ) ( * 41650 )
NEW met1 ( 181010 41650 ) ( 216890 * )
NEW met1 ( 181010 41650 ) M1M2_PR
NEW li1 ( 181010 207230 ) L1M1_PR_MR
NEW met1 ( 181010 207230 ) M1M2_PR
NEW li1 ( 216890 41650 ) L1M1_PR_MR
NEW met1 ( 217350 41650 ) M1M2_PR
NEW li1 ( 217350 17510 ) L1M1_PR_MR
NEW met1 ( 217350 17510 ) M1M2_PR
NEW met1 ( 181010 207230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 217350 17510 ) RECT ( -355 -70 0 70 ) ;
- net172 ( ANTENNA_output172_A DIODE ) ( output172 A ) ( _297_ X ) + USE SIGNAL
+ ROUTED met2 ( 203550 168130 ) ( * 170170 )
NEW met1 ( 203550 168130 ) ( 239430 * )
NEW met1 ( 14950 235110 ) ( 19090 * )
NEW met2 ( 19090 228990 ) ( * 235110 )
NEW met2 ( 19090 170170 ) ( * 228990 )
NEW met2 ( 146970 170170 ) ( * 171020 )
NEW met3 ( 146970 171020 ) ( 160770 * )
NEW met2 ( 160770 170170 ) ( * 171020 )
NEW met1 ( 19090 170170 ) ( 146970 * )
NEW met1 ( 160770 170170 ) ( 203550 * )
NEW met1 ( 203550 170170 ) M1M2_PR
NEW met1 ( 203550 168130 ) M1M2_PR
NEW li1 ( 239430 168130 ) L1M1_PR_MR
NEW met1 ( 19090 170170 ) M1M2_PR
NEW li1 ( 19090 228990 ) L1M1_PR_MR
NEW met1 ( 19090 228990 ) M1M2_PR
NEW li1 ( 14950 235110 ) L1M1_PR_MR
NEW met1 ( 19090 235110 ) M1M2_PR
NEW met1 ( 146970 170170 ) M1M2_PR
NEW met2 ( 146970 171020 ) M2M3_PR_M
NEW met2 ( 160770 171020 ) M2M3_PR_M
NEW met1 ( 160770 170170 ) M1M2_PR
NEW met1 ( 19090 228990 ) RECT ( -355 -70 0 70 ) ;
- net173 ( ANTENNA_output173_A DIODE ) ( output173 A ) ( _307_ X ) + USE SIGNAL
+ ROUTED met1 ( 35190 156910 ) ( 58650 * )
NEW met1 ( 58650 235110 ) ( 59570 * )
NEW met2 ( 58650 220830 ) ( * 235110 )
NEW met2 ( 58650 156910 ) ( * 220830 )
NEW li1 ( 35190 156910 ) L1M1_PR_MR
NEW met1 ( 58650 156910 ) M1M2_PR
NEW li1 ( 58650 220830 ) L1M1_PR_MR
NEW met1 ( 58650 220830 ) M1M2_PR
NEW li1 ( 59570 235110 ) L1M1_PR_MR
NEW met1 ( 58650 235110 ) M1M2_PR
NEW met1 ( 58650 220830 ) RECT ( -355 -70 0 70 ) ;
- net174 ( ANTENNA_output174_A DIODE ) ( output174 A ) ( _308_ X ) + USE SIGNAL
+ ROUTED met1 ( 71070 61370 ) ( 73830 * )
NEW met1 ( 73830 61030 ) ( * 61370 )
NEW met2 ( 73830 60350 ) ( * 61030 )
NEW met1 ( 71070 228990 ) ( 73370 * )
NEW met1 ( 66470 232390 ) ( * 232730 )
NEW met1 ( 66470 232390 ) ( 71070 * )
NEW met2 ( 71070 228990 ) ( * 232390 )
NEW met2 ( 71070 61370 ) ( * 228990 )
NEW met1 ( 158700 58650 ) ( 204470 * )
NEW met2 ( 118450 58990 ) ( * 60350 )
NEW met1 ( 118450 58990 ) ( 158700 * )
NEW met1 ( 158700 58650 ) ( * 58990 )
NEW met1 ( 73830 60350 ) ( 118450 * )
NEW met1 ( 71070 61370 ) M1M2_PR
NEW met1 ( 73830 61030 ) M1M2_PR
NEW met1 ( 73830 60350 ) M1M2_PR
NEW li1 ( 73370 228990 ) L1M1_PR_MR
NEW met1 ( 71070 228990 ) M1M2_PR
NEW li1 ( 66470 232730 ) L1M1_PR_MR
NEW met1 ( 71070 232390 ) M1M2_PR
NEW li1 ( 204470 58650 ) L1M1_PR_MR
NEW met1 ( 118450 60350 ) M1M2_PR
NEW met1 ( 118450 58990 ) M1M2_PR ;
- net175 ( ANTENNA_output175_A DIODE ) ( output175 A ) ( _309_ X ) + USE SIGNAL
+ ROUTED met1 ( 179400 196350 ) ( 201710 * )
NEW met1 ( 179400 196350 ) ( * 196690 )
NEW met1 ( 76590 228990 ) ( 77970 * )
NEW met1 ( 72450 235110 ) ( 76590 * )
NEW met2 ( 76590 228990 ) ( * 235110 )
NEW met2 ( 77970 196690 ) ( * 228990 )
NEW met1 ( 77970 196690 ) ( 179400 * )
NEW li1 ( 201710 196350 ) L1M1_PR_MR
NEW met1 ( 77970 196690 ) M1M2_PR
NEW li1 ( 76590 228990 ) L1M1_PR_MR
NEW met1 ( 77970 228990 ) M1M2_PR
NEW li1 ( 72450 235110 ) L1M1_PR_MR
NEW met1 ( 76590 235110 ) M1M2_PR
NEW met1 ( 76590 228990 ) M1M2_PR
NEW met1 ( 76590 228990 ) RECT ( -595 -70 0 70 ) ;
- net176 ( ANTENNA_output176_A DIODE ) ( output176 A ) ( _310_ X ) + USE SIGNAL
+ ROUTED met1 ( 84410 228990 ) ( 84870 * )
NEW met2 ( 84870 207000 ) ( * 228990 )
NEW met2 ( 84410 207000 ) ( 84870 * )
NEW met1 ( 77970 235110 ) ( 84870 * )
NEW met2 ( 84870 228990 ) ( * 235110 )
NEW met3 ( 84410 73780 ) ( 155710 * )
NEW met2 ( 155710 15810 ) ( * 73780 )
NEW met2 ( 84410 73780 ) ( * 207000 )
NEW met2 ( 84410 73780 ) M2M3_PR_M
NEW li1 ( 84410 228990 ) L1M1_PR_MR
NEW met1 ( 84870 228990 ) M1M2_PR
NEW li1 ( 77970 235110 ) L1M1_PR_MR
NEW met1 ( 84870 235110 ) M1M2_PR
NEW met2 ( 155710 73780 ) M2M3_PR_M
NEW li1 ( 155710 15810 ) L1M1_PR_MR
NEW met1 ( 155710 15810 ) M1M2_PR
NEW met1 ( 155710 15810 ) RECT ( -355 -70 0 70 ) ;
- net177 ( ANTENNA_output177_A DIODE ) ( output177 A ) ( _311_ X ) + USE SIGNAL
+ ROUTED met1 ( 83950 229670 ) ( 86710 * )
NEW met1 ( 83950 232730 ) ( 84870 * )
NEW met2 ( 83950 229670 ) ( * 232730 )
NEW met2 ( 83950 181050 ) ( * 229670 )
NEW met1 ( 83950 181050 ) ( 150190 * )
NEW met1 ( 83950 181050 ) M1M2_PR
NEW li1 ( 86710 229670 ) L1M1_PR_MR
NEW met1 ( 83950 229670 ) M1M2_PR
NEW li1 ( 84870 232730 ) L1M1_PR_MR
NEW met1 ( 83950 232730 ) M1M2_PR
NEW li1 ( 150190 181050 ) L1M1_PR_MR ;
- net178 ( ANTENNA_output178_A DIODE ) ( output178 A ) ( _312_ X ) + USE SIGNAL
+ ROUTED met1 ( 88090 235110 ) ( 89010 * )
NEW met2 ( 88090 226270 ) ( * 235110 )
NEW met2 ( 49910 90610 ) ( * 91460 )
NEW met3 ( 49910 91460 ) ( 88090 * )
NEW met2 ( 88090 91460 ) ( * 226270 )
NEW li1 ( 88090 226270 ) L1M1_PR_MR
NEW met1 ( 88090 226270 ) M1M2_PR
NEW li1 ( 89010 235110 ) L1M1_PR_MR
NEW met1 ( 88090 235110 ) M1M2_PR
NEW li1 ( 49910 90610 ) L1M1_PR_MR
NEW met1 ( 49910 90610 ) M1M2_PR
NEW met2 ( 49910 91460 ) M2M3_PR_M
NEW met2 ( 88090 91460 ) M2M3_PR_M
NEW met1 ( 88090 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 49910 90610 ) RECT ( -355 -70 0 70 ) ;
- net179 ( ANTENNA_output179_A DIODE ) ( output179 A ) ( _313_ X ) + USE SIGNAL
+ ROUTED met1 ( 94070 229330 ) ( 99130 * )
NEW met1 ( 97290 235110 ) ( 97750 * )
NEW met2 ( 97290 229330 ) ( * 235110 )
NEW met2 ( 23230 51170 ) ( * 52700 )
NEW met2 ( 22310 51170 ) ( 23230 * )
NEW met3 ( 23230 52700 ) ( 92690 * )
NEW met2 ( 22310 47940 ) ( 22770 * )
NEW met3 ( 22770 47940 ) ( 25300 * )
NEW met4 ( 25300 43860 ) ( * 47940 )
NEW met3 ( 24610 43860 ) ( 25300 * )
NEW met2 ( 24610 40290 ) ( * 43860 )
NEW met1 ( 22310 40290 ) ( 24610 * )
NEW met2 ( 22310 47940 ) ( * 51170 )
NEW met1 ( 92690 99790 ) ( 94070 * )
NEW met2 ( 92690 52700 ) ( * 99790 )
NEW met2 ( 94070 99790 ) ( * 229330 )
NEW met2 ( 92690 52700 ) M2M3_PR_M
NEW li1 ( 99130 229330 ) L1M1_PR_MR
NEW met1 ( 94070 229330 ) M1M2_PR
NEW li1 ( 97750 235110 ) L1M1_PR_MR
NEW met1 ( 97290 235110 ) M1M2_PR
NEW met1 ( 97290 229330 ) M1M2_PR
NEW met2 ( 23230 52700 ) M2M3_PR_M
NEW met2 ( 22770 47940 ) M2M3_PR_M
NEW met3 ( 25300 47940 ) M3M4_PR_M
NEW met3 ( 25300 43860 ) M3M4_PR_M
NEW met2 ( 24610 43860 ) M2M3_PR_M
NEW met1 ( 24610 40290 ) M1M2_PR
NEW li1 ( 22310 40290 ) L1M1_PR_MR
NEW met1 ( 92690 99790 ) M1M2_PR
NEW met1 ( 94070 99790 ) M1M2_PR
NEW met1 ( 97290 229330 ) RECT ( -595 -70 0 70 ) ;
- net18 ( input18 X ) ( _228_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 214590 11730 ) ( 215970 * )
NEW met1 ( 215970 11730 ) ( * 12410 )
NEW met1 ( 215970 12410 ) ( 227470 * )
NEW met1 ( 227470 11730 ) ( * 12410 )
NEW met1 ( 227470 11730 ) ( 234830 * )
NEW met1 ( 234830 33490 ) ( 239890 * )
NEW met1 ( 239890 33150 ) ( * 33490 )
NEW met2 ( 234830 11730 ) ( * 33490 )
NEW li1 ( 214590 11730 ) L1M1_PR_MR
NEW met1 ( 234830 11730 ) M1M2_PR
NEW met1 ( 234830 33490 ) M1M2_PR
NEW li1 ( 239890 33150 ) L1M1_PR_MR ;
- net180 ( ANTENNA_output180_A DIODE ) ( output180 A ) ( _314_ X ) + USE SIGNAL
+ ROUTED met2 ( 161230 159630 ) ( * 162350 )
NEW met1 ( 101430 235110 ) ( 104190 * )
NEW met2 ( 104190 234940 ) ( * 235110 )
NEW met2 ( 104190 234940 ) ( 104650 * )
NEW met2 ( 104650 231710 ) ( * 234940 )
NEW met2 ( 104650 162350 ) ( * 231710 )
NEW met1 ( 104650 162350 ) ( 161230 * )
NEW li1 ( 161230 159630 ) L1M1_PR_MR
NEW met1 ( 161230 159630 ) M1M2_PR
NEW met1 ( 161230 162350 ) M1M2_PR
NEW met1 ( 104650 162350 ) M1M2_PR
NEW li1 ( 104650 231710 ) L1M1_PR_MR
NEW met1 ( 104650 231710 ) M1M2_PR
NEW li1 ( 101430 235110 ) L1M1_PR_MR
NEW met1 ( 104190 235110 ) M1M2_PR
NEW met1 ( 161230 159630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 104650 231710 ) RECT ( -355 -70 0 70 ) ;
- net181 ( ANTENNA_output181_A DIODE ) ( output181 A ) ( _315_ X ) + USE SIGNAL
+ ROUTED met2 ( 76590 70210 ) ( * 71570 )
NEW met1 ( 76590 71570 ) ( 110170 * )
NEW met1 ( 104190 229330 ) ( 109710 * )
NEW met2 ( 109710 207000 ) ( * 229330 )
NEW met2 ( 109710 207000 ) ( 110170 * )
NEW met1 ( 104650 235110 ) ( 105110 * )
NEW met2 ( 105110 229330 ) ( * 235110 )
NEW met2 ( 110170 71570 ) ( * 207000 )
NEW li1 ( 76590 70210 ) L1M1_PR_MR
NEW met1 ( 76590 70210 ) M1M2_PR
NEW met1 ( 76590 71570 ) M1M2_PR
NEW met1 ( 110170 71570 ) M1M2_PR
NEW li1 ( 104190 229330 ) L1M1_PR_MR
NEW met1 ( 109710 229330 ) M1M2_PR
NEW li1 ( 104650 235110 ) L1M1_PR_MR
NEW met1 ( 105110 235110 ) M1M2_PR
NEW met1 ( 105110 229330 ) M1M2_PR
NEW met1 ( 76590 70210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105110 229330 ) RECT ( -595 -70 0 70 ) ;
- net182 ( ANTENNA_output182_A DIODE ) ( output182 A ) ( _316_ X ) + USE SIGNAL
+ ROUTED met1 ( 107410 228990 ) ( 109250 * )
NEW met2 ( 110170 228990 ) ( * 235110 )
NEW met1 ( 109250 228990 ) ( 110170 * )
NEW met2 ( 107410 155890 ) ( * 228990 )
NEW met1 ( 60950 155890 ) ( 107410 * )
NEW met1 ( 107410 155890 ) M1M2_PR
NEW li1 ( 109250 228990 ) L1M1_PR_MR
NEW met1 ( 107410 228990 ) M1M2_PR
NEW li1 ( 110170 235110 ) L1M1_PR_MR
NEW met1 ( 110170 235110 ) M1M2_PR
NEW met1 ( 110170 228990 ) M1M2_PR
NEW li1 ( 60950 155890 ) L1M1_PR_MR
NEW met1 ( 110170 235110 ) RECT ( -355 -70 0 70 ) ;
- net183 ( ANTENNA_output183_A DIODE ) ( output183 A ) ( _298_ X ) + USE SIGNAL
+ ROUTED met2 ( 15870 216070 ) ( * 226270 )
NEW met1 ( 15870 216070 ) ( 62100 * )
NEW met1 ( 62100 215730 ) ( * 216070 )
NEW met2 ( 14950 226270 ) ( * 232730 )
NEW met1 ( 14950 226270 ) ( 15870 * )
NEW met1 ( 157550 215730 ) ( * 216410 )
NEW met1 ( 62100 215730 ) ( 157550 * )
NEW met2 ( 209530 216410 ) ( * 218110 )
NEW met1 ( 157550 216410 ) ( 209530 * )
NEW li1 ( 15870 226270 ) L1M1_PR_MR
NEW met1 ( 15870 226270 ) M1M2_PR
NEW met1 ( 15870 216070 ) M1M2_PR
NEW li1 ( 14950 232730 ) L1M1_PR_MR
NEW met1 ( 14950 232730 ) M1M2_PR
NEW met1 ( 14950 226270 ) M1M2_PR
NEW met1 ( 209530 216410 ) M1M2_PR
NEW li1 ( 209530 218110 ) L1M1_PR_MR
NEW met1 ( 209530 218110 ) M1M2_PR
NEW met1 ( 15870 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14950 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 209530 218110 ) RECT ( -355 -70 0 70 ) ;
- net184 ( ANTENNA_output184_A DIODE ) ( output184 A ) ( _317_ X ) + USE SIGNAL
+ ROUTED met2 ( 172270 230350 ) ( * 232050 )
NEW met1 ( 172270 232050 ) ( 190670 * )
NEW met2 ( 190670 63070 ) ( * 232050 )
NEW met1 ( 190670 63070 ) ( 209070 * )
NEW met1 ( 118450 228990 ) ( 118910 * )
NEW met2 ( 118910 227970 ) ( * 228990 )
NEW met1 ( 118910 227970 ) ( 135470 * )
NEW met2 ( 135470 227970 ) ( * 230350 )
NEW met1 ( 117530 235110 ) ( 117990 * )
NEW met2 ( 117990 228990 ) ( * 235110 )
NEW met1 ( 117990 228990 ) ( 118450 * )
NEW met1 ( 135470 230350 ) ( 172270 * )
NEW met2 ( 209070 34170 ) ( * 63070 )
NEW met1 ( 190670 63070 ) M1M2_PR
NEW met1 ( 172270 230350 ) M1M2_PR
NEW met1 ( 172270 232050 ) M1M2_PR
NEW met1 ( 190670 232050 ) M1M2_PR
NEW met1 ( 209070 63070 ) M1M2_PR
NEW li1 ( 118450 228990 ) L1M1_PR_MR
NEW met1 ( 118910 228990 ) M1M2_PR
NEW met1 ( 118910 227970 ) M1M2_PR
NEW met1 ( 135470 227970 ) M1M2_PR
NEW met1 ( 135470 230350 ) M1M2_PR
NEW li1 ( 117530 235110 ) L1M1_PR_MR
NEW met1 ( 117990 235110 ) M1M2_PR
NEW met1 ( 117990 228990 ) M1M2_PR
NEW li1 ( 209070 34170 ) L1M1_PR_MR
NEW met1 ( 209070 34170 ) M1M2_PR
NEW met1 ( 209070 34170 ) RECT ( -355 -70 0 70 ) ;
- net185 ( ANTENNA_output185_A DIODE ) ( output185 A ) ( _318_ X ) + USE SIGNAL
+ ROUTED met1 ( 127190 113390 ) ( 137770 * )
NEW met2 ( 127190 113390 ) ( * 207000 )
NEW met2 ( 127650 207000 ) ( * 231710 )
NEW met2 ( 127190 207000 ) ( 127650 * )
NEW met1 ( 123510 234770 ) ( * 235110 )
NEW met1 ( 123510 234770 ) ( 127650 * )
NEW met2 ( 127650 231710 ) ( * 234770 )
NEW li1 ( 137770 113390 ) L1M1_PR_MR
NEW met1 ( 127190 113390 ) M1M2_PR
NEW li1 ( 127650 231710 ) L1M1_PR_MR
NEW met1 ( 127650 231710 ) M1M2_PR
NEW li1 ( 123510 235110 ) L1M1_PR_MR
NEW met1 ( 127650 234770 ) M1M2_PR
NEW met1 ( 127650 231710 ) RECT ( -355 -70 0 70 ) ;
- net186 ( ANTENNA_output186_A DIODE ) ( output186 A ) ( _319_ X ) + USE SIGNAL
+ ROUTED met1 ( 124430 228990 ) ( 125810 * )
NEW met1 ( 125810 235110 ) ( 127190 * )
NEW met2 ( 125810 228990 ) ( * 235110 )
NEW met2 ( 125810 135150 ) ( * 228990 )
NEW li1 ( 125810 135150 ) L1M1_PR_MR
NEW met1 ( 125810 135150 ) M1M2_PR
NEW li1 ( 124430 228990 ) L1M1_PR_MR
NEW met1 ( 125810 228990 ) M1M2_PR
NEW li1 ( 127190 235110 ) L1M1_PR_MR
NEW met1 ( 125810 235110 ) M1M2_PR
NEW met1 ( 125810 135150 ) RECT ( -355 -70 0 70 ) ;
- net187 ( ANTENNA_output187_A DIODE ) ( output187 A ) ( _320_ X ) + USE SIGNAL
+ ROUTED met2 ( 144210 226950 ) ( * 228990 )
NEW met1 ( 136390 235110 ) ( 138230 * )
NEW met2 ( 138230 234430 ) ( * 235110 )
NEW met1 ( 138230 234430 ) ( 144210 * )
NEW met2 ( 144210 228990 ) ( * 234430 )
NEW met1 ( 144210 226950 ) ( 230690 * )
NEW li1 ( 144210 228990 ) L1M1_PR_MR
NEW met1 ( 144210 228990 ) M1M2_PR
NEW met1 ( 144210 226950 ) M1M2_PR
NEW li1 ( 136390 235110 ) L1M1_PR_MR
NEW met1 ( 138230 235110 ) M1M2_PR
NEW met1 ( 138230 234430 ) M1M2_PR
NEW met1 ( 144210 234430 ) M1M2_PR
NEW li1 ( 230690 226950 ) L1M1_PR_MR
NEW met1 ( 144210 228990 ) RECT ( -355 -70 0 70 ) ;
- net188 ( ANTENNA_output188_A DIODE ) ( output188 A ) ( _321_ X ) + USE SIGNAL
+ ROUTED met1 ( 141910 226610 ) ( 143290 * )
NEW met1 ( 140070 235110 ) ( 141910 * )
NEW met2 ( 141910 226610 ) ( * 235110 )
NEW met2 ( 141910 56610 ) ( * 226610 )
NEW li1 ( 141910 56610 ) L1M1_PR_MR
NEW met1 ( 141910 56610 ) M1M2_PR
NEW li1 ( 143290 226610 ) L1M1_PR_MR
NEW met1 ( 141910 226610 ) M1M2_PR
NEW li1 ( 140070 235110 ) L1M1_PR_MR
NEW met1 ( 141910 235110 ) M1M2_PR
NEW met1 ( 141910 56610 ) RECT ( -355 -70 0 70 ) ;
- net189 ( ANTENNA_output189_A DIODE ) ( output189 A ) ( _322_ X ) + USE SIGNAL
+ ROUTED met1 ( 128110 72590 ) ( 146970 * )
NEW met2 ( 146970 72590 ) ( * 73100 )
NEW met2 ( 146970 73100 ) ( 147890 * )
NEW met2 ( 147890 228990 ) ( * 235110 )
NEW met2 ( 147890 73100 ) ( * 228990 )
NEW li1 ( 128110 72590 ) L1M1_PR_MR
NEW met1 ( 146970 72590 ) M1M2_PR
NEW li1 ( 147890 228990 ) L1M1_PR_MR
NEW met1 ( 147890 228990 ) M1M2_PR
NEW li1 ( 147890 235110 ) L1M1_PR_MR
NEW met1 ( 147890 235110 ) M1M2_PR
NEW met1 ( 147890 228990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 235110 ) RECT ( -355 -70 0 70 ) ;
- net19 ( ANTENNA__229__B1 DIODE ) ( input19 X ) ( _229_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 10810 183090 ) ( * 226270 )
NEW met2 ( 176870 180710 ) ( * 183090 )
NEW met1 ( 171810 183090 ) ( 176870 * )
NEW met1 ( 10810 183090 ) ( 171810 * )
NEW li1 ( 10810 226270 ) L1M1_PR_MR
NEW met1 ( 10810 226270 ) M1M2_PR
NEW met1 ( 10810 183090 ) M1M2_PR
NEW li1 ( 171810 183090 ) L1M1_PR_MR
NEW li1 ( 176870 180710 ) L1M1_PR_MR
NEW met1 ( 176870 180710 ) M1M2_PR
NEW met1 ( 176870 183090 ) M1M2_PR
NEW met1 ( 10810 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176870 180710 ) RECT ( -355 -70 0 70 ) ;
- net190 ( ANTENNA_output190_A DIODE ) ( output190 A ) ( _323_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 139230 ) ( * 139570 )
NEW met1 ( 86710 139570 ) ( 98670 * )
NEW met1 ( 98670 139230 ) ( 146510 * )
NEW met1 ( 146510 229330 ) ( 150650 * )
NEW met2 ( 151570 229330 ) ( * 235110 )
NEW met1 ( 150650 229330 ) ( 151570 * )
NEW met2 ( 146510 139230 ) ( * 229330 )
NEW li1 ( 86710 139570 ) L1M1_PR_MR
NEW met1 ( 146510 139230 ) M1M2_PR
NEW li1 ( 150650 229330 ) L1M1_PR_MR
NEW met1 ( 146510 229330 ) M1M2_PR
NEW li1 ( 151570 235110 ) L1M1_PR_MR
NEW met1 ( 151570 235110 ) M1M2_PR
NEW met1 ( 151570 229330 ) M1M2_PR
NEW met1 ( 151570 235110 ) RECT ( -355 -70 0 70 ) ;
- net191 ( output191 A ) ( _324_ X ) + USE SIGNAL
+ ROUTED met1 ( 152950 234430 ) ( * 234770 )
NEW met1 ( 152950 234430 ) ( 155250 * )
NEW met2 ( 155250 232730 ) ( * 234430 )
NEW met2 ( 129490 234770 ) ( * 235790 )
NEW met1 ( 86710 235790 ) ( 129490 * )
NEW met1 ( 129490 234770 ) ( 152950 * )
NEW li1 ( 86710 235790 ) L1M1_PR_MR
NEW met1 ( 155250 234430 ) M1M2_PR
NEW li1 ( 155250 232730 ) L1M1_PR_MR
NEW met1 ( 155250 232730 ) M1M2_PR
NEW met1 ( 129490 235790 ) M1M2_PR
NEW met1 ( 129490 234770 ) M1M2_PR
NEW met1 ( 155250 232730 ) RECT ( -355 -70 0 70 ) ;
- net192 ( ANTENNA_output192_A DIODE ) ( output192 A ) ( _325_ X ) + USE SIGNAL
+ ROUTED met1 ( 170430 82790 ) ( 198030 * )
NEW met2 ( 198030 30940 ) ( * 82790 )
NEW met1 ( 162610 235110 ) ( 167670 * )
NEW met1 ( 167670 235110 ) ( * 236130 )
NEW met1 ( 167670 236130 ) ( 170430 * )
NEW met2 ( 170430 235110 ) ( * 236130 )
NEW met2 ( 170430 82790 ) ( * 235110 )
NEW met2 ( 217810 28050 ) ( * 30940 )
NEW met1 ( 217810 28050 ) ( 220110 * )
NEW met3 ( 198030 30940 ) ( 217810 * )
NEW met1 ( 170430 82790 ) M1M2_PR
NEW met1 ( 198030 82790 ) M1M2_PR
NEW met2 ( 198030 30940 ) M2M3_PR_M
NEW li1 ( 170430 235110 ) L1M1_PR_MR
NEW met1 ( 170430 235110 ) M1M2_PR
NEW li1 ( 162610 235110 ) L1M1_PR_MR
NEW met1 ( 170430 236130 ) M1M2_PR
NEW met2 ( 217810 30940 ) M2M3_PR_M
NEW met1 ( 217810 28050 ) M1M2_PR
NEW li1 ( 220110 28050 ) L1M1_PR_MR
NEW met1 ( 170430 235110 ) RECT ( -355 -70 0 70 ) ;
- net193 ( output193 A ) ( _326_ X ) + USE SIGNAL
+ ROUTED met1 ( 183770 192270 ) ( 192050 * )
NEW met1 ( 168130 235790 ) ( 183770 * )
NEW met1 ( 168130 235110 ) ( * 235790 )
NEW met2 ( 183770 192270 ) ( * 235790 )
NEW li1 ( 192050 192270 ) L1M1_PR_MR
NEW met1 ( 183770 192270 ) M1M2_PR
NEW met1 ( 183770 235790 ) M1M2_PR
NEW li1 ( 168130 235110 ) L1M1_PR_MR ;
- net194 ( ANTENNA_output194_A DIODE ) ( output194 A ) ( _299_ X ) + USE SIGNAL
+ ROUTED met2 ( 168130 44370 ) ( * 44540 )
NEW met1 ( 25530 107950 ) ( * 108290 )
NEW met1 ( 25530 107950 ) ( 26910 * )
NEW met2 ( 25990 52700 ) ( 26910 * )
NEW met2 ( 25990 45220 ) ( * 52700 )
NEW met3 ( 25990 45220 ) ( 26220 * )
NEW met3 ( 26220 44540 ) ( * 45220 )
NEW met2 ( 26910 52700 ) ( * 107950 )
NEW met1 ( 22310 229330 ) ( 25530 * )
NEW met1 ( 18630 232390 ) ( * 232730 )
NEW met1 ( 18630 232390 ) ( 23230 * )
NEW met2 ( 23230 229330 ) ( * 232390 )
NEW met2 ( 25530 108290 ) ( * 229330 )
NEW met3 ( 26220 44540 ) ( 168130 * )
NEW met2 ( 168130 44540 ) M2M3_PR_M
NEW li1 ( 168130 44370 ) L1M1_PR_MR
NEW met1 ( 168130 44370 ) M1M2_PR
NEW met1 ( 25530 108290 ) M1M2_PR
NEW met1 ( 26910 107950 ) M1M2_PR
NEW met2 ( 25990 45220 ) M2M3_PR_M
NEW li1 ( 22310 229330 ) L1M1_PR_MR
NEW met1 ( 25530 229330 ) M1M2_PR
NEW li1 ( 18630 232730 ) L1M1_PR_MR
NEW met1 ( 23230 232390 ) M1M2_PR
NEW met1 ( 23230 229330 ) M1M2_PR
NEW met1 ( 168130 44370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 23230 229330 ) RECT ( -595 -70 0 70 ) ;
- net195 ( ANTENNA_output195_A DIODE ) ( output195 A ) ( _327_ X ) + USE SIGNAL
+ ROUTED met1 ( 175030 235110 ) ( * 235450 )
NEW met1 ( 175030 235450 ) ( 180550 * )
NEW met2 ( 180550 231710 ) ( * 235450 )
NEW met1 ( 143290 47770 ) ( * 48450 )
NEW met1 ( 143290 47770 ) ( 180550 * )
NEW met2 ( 180550 47770 ) ( * 231710 )
NEW li1 ( 180550 231710 ) L1M1_PR_MR
NEW met1 ( 180550 231710 ) M1M2_PR
NEW li1 ( 175030 235110 ) L1M1_PR_MR
NEW met1 ( 180550 235450 ) M1M2_PR
NEW li1 ( 143290 48450 ) L1M1_PR_MR
NEW met1 ( 180550 47770 ) M1M2_PR
NEW met1 ( 180550 231710 ) RECT ( -355 -70 0 70 ) ;
- net196 ( ANTENNA_output196_A DIODE ) ( output196 A ) ( _328_ X ) + USE SIGNAL
+ ROUTED met1 ( 179170 235110 ) ( 181010 * )
NEW met2 ( 181010 231710 ) ( * 235110 )
NEW met1 ( 181010 231710 ) ( 183310 * )
NEW met2 ( 183310 164730 ) ( * 231710 )
NEW met1 ( 32890 162690 ) ( 37030 * )
NEW met2 ( 37030 162690 ) ( * 164730 )
NEW met1 ( 37030 164730 ) ( 183310 * )
NEW met1 ( 183310 164730 ) M1M2_PR
NEW li1 ( 183310 231710 ) L1M1_PR_MR
NEW met1 ( 183310 231710 ) M1M2_PR
NEW li1 ( 179170 235110 ) L1M1_PR_MR
NEW met1 ( 181010 235110 ) M1M2_PR
NEW met1 ( 181010 231710 ) M1M2_PR
NEW li1 ( 32890 162690 ) L1M1_PR_MR
NEW met1 ( 37030 162690 ) M1M2_PR
NEW met1 ( 37030 164730 ) M1M2_PR
NEW met1 ( 183310 231710 ) RECT ( -355 -70 0 70 ) ;
- net197 ( ANTENNA_output197_A DIODE ) ( output197 A ) ( _329_ X ) + USE SIGNAL
+ ROUTED met1 ( 185610 235110 ) ( 186530 * )
NEW met2 ( 185610 228990 ) ( * 235110 )
NEW met2 ( 185610 183430 ) ( * 228990 )
NEW met2 ( 45770 181730 ) ( * 183430 )
NEW met1 ( 45770 183430 ) ( 185610 * )
NEW met1 ( 185610 183430 ) M1M2_PR
NEW li1 ( 185610 228990 ) L1M1_PR_MR
NEW met1 ( 185610 228990 ) M1M2_PR
NEW li1 ( 186530 235110 ) L1M1_PR_MR
NEW met1 ( 185610 235110 ) M1M2_PR
NEW li1 ( 45770 181730 ) L1M1_PR_MR
NEW met1 ( 45770 181730 ) M1M2_PR
NEW met1 ( 45770 183430 ) M1M2_PR
NEW met1 ( 185610 228990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45770 181730 ) RECT ( -355 -70 0 70 ) ;
- net198 ( ANTENNA_output198_A DIODE ) ( output198 A ) ( _330_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 235110 ) ( 190210 * )
NEW met2 ( 189290 228990 ) ( * 235110 )
NEW met2 ( 189290 51170 ) ( * 228990 )
NEW met1 ( 148810 51170 ) ( 189290 * )
NEW met1 ( 189290 51170 ) M1M2_PR
NEW li1 ( 189290 228990 ) L1M1_PR_MR
NEW met1 ( 189290 228990 ) M1M2_PR
NEW li1 ( 190210 235110 ) L1M1_PR_MR
NEW met1 ( 189290 235110 ) M1M2_PR
NEW li1 ( 148810 51170 ) L1M1_PR_MR
NEW met1 ( 189290 228990 ) RECT ( -355 -70 0 70 ) ;
- net199 ( output199 A ) ( _331_ X ) + USE SIGNAL
+ ROUTED met1 ( 196190 235110 ) ( * 235790 )
NEW met2 ( 218730 184450 ) ( * 207000 )
NEW met1 ( 212750 235790 ) ( * 236130 )
NEW met1 ( 212750 236130 ) ( 218270 * )
NEW met2 ( 218270 207000 ) ( * 236130 )
NEW met2 ( 218270 207000 ) ( 218730 * )
NEW met1 ( 196190 235790 ) ( 212750 * )
NEW li1 ( 218730 184450 ) L1M1_PR_MR
NEW met1 ( 218730 184450 ) M1M2_PR
NEW li1 ( 196190 235110 ) L1M1_PR_MR
NEW met1 ( 218270 236130 ) M1M2_PR
NEW met1 ( 218730 184450 ) RECT ( -355 -70 0 70 ) ;
- net2 ( ANTENNA__207__B1 DIODE ) ( input2 X ) ( _207_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 239430 89250 ) ( * 117810 )
NEW met2 ( 143750 86530 ) ( * 89250 )
NEW met1 ( 143750 88910 ) ( * 89250 )
NEW met1 ( 143750 89250 ) ( 239430 * )
NEW met1 ( 137310 85510 ) ( * 85850 )
NEW met1 ( 136850 85510 ) ( 137310 * )
NEW met2 ( 136850 85510 ) ( * 87380 )
NEW met2 ( 136390 87380 ) ( 136850 * )
NEW met2 ( 136390 87380 ) ( * 88910 )
NEW met1 ( 136390 88910 ) ( 143750 * )
NEW li1 ( 239430 117810 ) L1M1_PR_MR
NEW met1 ( 239430 117810 ) M1M2_PR
NEW met1 ( 239430 89250 ) M1M2_PR
NEW li1 ( 143750 86530 ) L1M1_PR_MR
NEW met1 ( 143750 86530 ) M1M2_PR
NEW met1 ( 143750 89250 ) M1M2_PR
NEW li1 ( 137310 85850 ) L1M1_PR_MR
NEW met1 ( 136850 85510 ) M1M2_PR
NEW met1 ( 136390 88910 ) M1M2_PR
NEW met1 ( 239430 117810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 143750 86530 ) RECT ( -355 -70 0 70 ) ;
- net20 ( ANTENNA__230__B1 DIODE ) ( input20 X ) ( _230_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 239890 204510 ) ( 241270 * )
NEW met2 ( 241270 91630 ) ( * 204510 )
NEW met1 ( 139150 91630 ) ( 143290 * )
NEW met1 ( 139150 91630 ) ( * 91970 )
NEW met1 ( 137310 91970 ) ( 139150 * )
NEW met1 ( 137310 91630 ) ( * 91970 )
NEW met1 ( 143290 91630 ) ( 241270 * )
NEW met1 ( 241270 204510 ) M1M2_PR
NEW li1 ( 239890 204510 ) L1M1_PR_MR
NEW met1 ( 241270 91630 ) M1M2_PR
NEW li1 ( 143290 91630 ) L1M1_PR_MR
NEW li1 ( 137310 91630 ) L1M1_PR_MR ;
- net200 ( ANTENNA_output200_A DIODE ) ( output200 A ) ( _332_ X ) + USE SIGNAL
+ ROUTED met2 ( 198490 112370 ) ( * 158700 )
NEW met2 ( 198490 158700 ) ( 198950 * )
NEW met1 ( 198950 228990 ) ( 199410 * )
NEW met1 ( 198950 235110 ) ( 200330 * )
NEW met2 ( 198950 228990 ) ( * 235110 )
NEW met2 ( 198950 158700 ) ( * 228990 )
NEW met1 ( 117990 112370 ) ( 198490 * )
NEW met1 ( 198490 112370 ) M1M2_PR
NEW li1 ( 199410 228990 ) L1M1_PR_MR
NEW met1 ( 198950 228990 ) M1M2_PR
NEW li1 ( 200330 235110 ) L1M1_PR_MR
NEW met1 ( 198950 235110 ) M1M2_PR
NEW li1 ( 117990 112370 ) L1M1_PR_MR ;
- net201 ( ANTENNA__332__A DIODE ) ( ANTENNA__331__A DIODE ) ( ANTENNA__330__A DIODE ) ( ANTENNA__329__A DIODE ) ( ANTENNA__328__A DIODE ) ( ANTENNA__327__A DIODE ) ( ANTENNA__326__A DIODE )
( ANTENNA__325__A DIODE ) ( ANTENNA__324__A DIODE ) ( ANTENNA__323__A DIODE ) ( ANTENNA__322__A DIODE ) ( ANTENNA__321__A DIODE ) ( ANTENNA__320__A DIODE ) ( ANTENNA__319__A DIODE ) ( ANTENNA__318__A DIODE )
( ANTENNA__317__A DIODE ) ( ANTENNA__316__A DIODE ) ( ANTENNA__315__A DIODE ) ( ANTENNA__314__A DIODE ) ( ANTENNA__313__A DIODE ) ( ANTENNA__312__A DIODE ) ( ANTENNA__311__A DIODE ) ( ANTENNA__310__A DIODE )
( ANTENNA__309__A DIODE ) ( ANTENNA__308__A DIODE ) ( ANTENNA__307__A DIODE ) ( ANTENNA__306__A DIODE ) ( ANTENNA__305__A DIODE ) ( ANTENNA__304__A DIODE ) ( ANTENNA__303__A DIODE ) ( ANTENNA__302__A DIODE )
( ANTENNA__301__A DIODE ) ( ANTENNA__300__A DIODE ) ( ANTENNA__299__A DIODE ) ( ANTENNA__298__A DIODE ) ( ANTENNA__297__A DIODE ) ( ANTENNA__183__C DIODE ) ( ANTENNA_output201_A DIODE ) ( output201 A )
( _183_ C ) ( _297_ A ) ( _298_ A ) ( _299_ A ) ( _300_ A ) ( _301_ A ) ( _302_ A ) ( _303_ A )
( _304_ A ) ( _305_ A ) ( _306_ A ) ( _307_ A ) ( _308_ A ) ( _309_ A ) ( _310_ A ) ( _311_ A )
( _312_ A ) ( _313_ A ) ( _314_ A ) ( _315_ A ) ( _316_ A ) ( _317_ A ) ( _318_ A ) ( _319_ A )
( _320_ A ) ( _321_ A ) ( _322_ A ) ( _323_ A ) ( _324_ A ) ( _325_ A ) ( _326_ A ) ( _327_ A )
( _328_ A ) ( _329_ A ) ( _330_ A ) ( _331_ A ) ( _332_ A ) ( _441_ X ) + USE SIGNAL
+ ROUTED met1 ( 200330 197030 ) ( 202630 * )
NEW met2 ( 200330 197030 ) ( * 200090 )
NEW met2 ( 219190 181390 ) ( * 183770 )
NEW met1 ( 215970 183770 ) ( 219190 * )
NEW met1 ( 192970 191250 ) ( 204010 * )
NEW met2 ( 204010 183770 ) ( * 191250 )
NEW met1 ( 204010 183770 ) ( 215970 * )
NEW met1 ( 189750 191250 ) ( 192970 * )
NEW met2 ( 174570 200090 ) ( * 202130 )
NEW met2 ( 178710 191250 ) ( * 200090 )
NEW met1 ( 174570 200090 ) ( 178710 * )
NEW met1 ( 176410 202130 ) ( 176870 * )
NEW met1 ( 178710 191250 ) ( 189750 * )
NEW met1 ( 219190 181390 ) ( 237590 * )
NEW met1 ( 237590 167790 ) ( 238970 * )
NEW met1 ( 237130 165410 ) ( 237590 * )
NEW met2 ( 237590 165410 ) ( * 167790 )
NEW met2 ( 237590 167790 ) ( * 181390 )
NEW met2 ( 200330 70380 ) ( 200790 * )
NEW met2 ( 200790 70380 ) ( * 92990 )
NEW met1 ( 189290 69530 ) ( * 69870 )
NEW met1 ( 189290 69870 ) ( 200330 * )
NEW met1 ( 186530 67490 ) ( 186990 * )
NEW met2 ( 186990 67490 ) ( * 69530 )
NEW met1 ( 186990 69530 ) ( 189290 * )
NEW met2 ( 186990 63410 ) ( * 67490 )
NEW met2 ( 87630 134130 ) ( * 136510 )
NEW met1 ( 85790 140250 ) ( 87630 * )
NEW met2 ( 87630 136510 ) ( * 140250 )
NEW met1 ( 63710 155550 ) ( 87630 * )
NEW met2 ( 87630 140250 ) ( * 155550 )
NEW met1 ( 85790 234770 ) ( 92690 * )
NEW met1 ( 201250 57630 ) ( 206770 * )
NEW met1 ( 205850 58650 ) ( 206770 * )
NEW met2 ( 206770 57630 ) ( * 58650 )
NEW met1 ( 200330 57630 ) ( 201250 * )
NEW met2 ( 200330 57630 ) ( * 70380 )
NEW met2 ( 161230 157250 ) ( * 158610 )
NEW met1 ( 161230 158610 ) ( 162150 * )
NEW met1 ( 159850 158610 ) ( 161230 * )
NEW met2 ( 159850 155550 ) ( * 158610 )
NEW met2 ( 159850 158610 ) ( * 177310 )
NEW met1 ( 176870 221850 ) ( 178250 * )
NEW met2 ( 176870 218110 ) ( * 221850 )
NEW met1 ( 178250 221850 ) ( 198490 * )
NEW met1 ( 198490 221850 ) ( 200330 * )
NEW met1 ( 200330 221850 ) ( 202630 * )
NEW met1 ( 200330 219810 ) ( 206310 * )
NEW met2 ( 206770 219810 ) ( * 227290 )
NEW met1 ( 203090 229670 ) ( 206770 * )
NEW met2 ( 206770 227290 ) ( * 229670 )
NEW met2 ( 206770 229670 ) ( * 235110 )
NEW met2 ( 176870 202130 ) ( * 218110 )
NEW met2 ( 200330 200090 ) ( * 221850 )
NEW met1 ( 44850 180710 ) ( 48530 * )
NEW met1 ( 36110 162350 ) ( 37490 * )
NEW met2 ( 37490 162350 ) ( * 180710 )
NEW met1 ( 37490 180710 ) ( 44850 * )
NEW met1 ( 35650 162350 ) ( 36110 * )
NEW met1 ( 31970 162010 ) ( 35650 * )
NEW met1 ( 35650 162010 ) ( * 162350 )
NEW met1 ( 140530 109990 ) ( 142830 * )
NEW met2 ( 151110 180710 ) ( * 202130 )
NEW met1 ( 149730 179010 ) ( 151110 * )
NEW met2 ( 151110 179010 ) ( * 180710 )
NEW met2 ( 151110 177310 ) ( * 179010 )
NEW met1 ( 151110 177310 ) ( 159850 * )
NEW met1 ( 151110 202130 ) ( 176410 * )
NEW met1 ( 209990 93330 ) ( 214130 * )
NEW met1 ( 209990 92990 ) ( * 93330 )
NEW met1 ( 200790 92990 ) ( 209990 * )
NEW met1 ( 22770 38590 ) ( 29210 * )
NEW met1 ( 22770 38590 ) ( * 38930 )
NEW met1 ( 49910 39610 ) ( 57730 * )
NEW met1 ( 49910 39610 ) ( * 39950 )
NEW met1 ( 30590 39950 ) ( 49910 * )
NEW met2 ( 30590 38590 ) ( * 39950 )
NEW met1 ( 29210 38590 ) ( 30590 * )
NEW met1 ( 60030 155550 ) ( * 156570 )
NEW met1 ( 37950 155890 ) ( 60030 * )
NEW met1 ( 34270 156570 ) ( 37950 * )
NEW met2 ( 37950 155890 ) ( * 156570 )
NEW met2 ( 35650 156570 ) ( * 162350 )
NEW met1 ( 60030 155550 ) ( 63710 * )
NEW met1 ( 87630 134130 ) ( 110400 * )
NEW met1 ( 129950 135490 ) ( 131330 * )
NEW met2 ( 131330 135490 ) ( * 155550 )
NEW met1 ( 126730 134810 ) ( 131330 * )
NEW met2 ( 131330 134810 ) ( * 135490 )
NEW met1 ( 110400 134130 ) ( * 134470 )
NEW met1 ( 110400 134470 ) ( 126730 * )
NEW met1 ( 126730 134470 ) ( * 134810 )
NEW met2 ( 131330 113050 ) ( * 134810 )
NEW met1 ( 131330 113050 ) ( 135010 * )
NEW met1 ( 135010 113050 ) ( 138690 * )
NEW met1 ( 138690 113050 ) ( 142830 * )
NEW met2 ( 142830 109990 ) ( * 113050 )
NEW met1 ( 131330 155550 ) ( 159850 * )
NEW met1 ( 116610 213690 ) ( 130410 * )
NEW met2 ( 116610 213690 ) ( * 234770 )
NEW met1 ( 132710 208930 ) ( 151110 * )
NEW met2 ( 132710 208930 ) ( * 213690 )
NEW met1 ( 130410 213690 ) ( 132710 * )
NEW met1 ( 92690 234770 ) ( 116610 * )
NEW met2 ( 151110 202130 ) ( * 208930 )
NEW met1 ( 221490 227630 ) ( 231610 * )
NEW met1 ( 221490 227290 ) ( * 227630 )
NEW met1 ( 210450 218790 ) ( * 219810 )
NEW met1 ( 206310 219810 ) ( 210450 * )
NEW met1 ( 206770 227290 ) ( 221490 * )
NEW met1 ( 206770 235110 ) ( 207690 * )
NEW met1 ( 202170 33490 ) ( 205850 * )
NEW met2 ( 202170 15130 ) ( * 33490 )
NEW met1 ( 199870 15130 ) ( 202170 * )
NEW met1 ( 199870 15130 ) ( * 15470 )
NEW met1 ( 198030 15470 ) ( 199870 * )
NEW met1 ( 198030 15130 ) ( * 15470 )
NEW met1 ( 196650 15130 ) ( 198030 * )
NEW met1 ( 205850 33490 ) ( 210450 * )
NEW met1 ( 210450 29410 ) ( 211370 * )
NEW met2 ( 210450 29410 ) ( * 33490 )
NEW met1 ( 221950 28390 ) ( * 28730 )
NEW met1 ( 210450 28730 ) ( 221950 * )
NEW met2 ( 210450 28730 ) ( * 29410 )
NEW met1 ( 224710 41650 ) ( 227470 * )
NEW met2 ( 224710 30430 ) ( * 41650 )
NEW met1 ( 220110 30430 ) ( 224710 * )
NEW met2 ( 220110 28730 ) ( * 30430 )
NEW met1 ( 232070 36890 ) ( 232530 * )
NEW met2 ( 232070 36890 ) ( * 41650 )
NEW met1 ( 227470 41650 ) ( 232070 * )
NEW met2 ( 206770 33490 ) ( * 57630 )
NEW met1 ( 123970 71910 ) ( 127190 * )
NEW met2 ( 123970 71230 ) ( * 71910 )
NEW met2 ( 123510 71230 ) ( 123970 * )
NEW met2 ( 123510 69870 ) ( * 71230 )
NEW met1 ( 127190 72250 ) ( 130870 * )
NEW met1 ( 127190 71910 ) ( * 72250 )
NEW met2 ( 136850 56610 ) ( * 72250 )
NEW met1 ( 130870 72250 ) ( 136850 * )
NEW met2 ( 136850 72250 ) ( * 75650 )
NEW met1 ( 153870 19550 ) ( 154330 * )
NEW met1 ( 153870 15130 ) ( 157090 * )
NEW met2 ( 153870 15130 ) ( * 19550 )
NEW met2 ( 191130 15130 ) ( * 15300 )
NEW met3 ( 184690 15300 ) ( 191130 * )
NEW met2 ( 184690 15130 ) ( * 15300 )
NEW met1 ( 183770 15130 ) ( 184690 * )
NEW met1 ( 191130 15130 ) ( 196650 * )
NEW met1 ( 48990 91290 ) ( 52670 * )
NEW met1 ( 57730 58650 ) ( 59110 * )
NEW met2 ( 57730 58650 ) ( * 91290 )
NEW met1 ( 52670 91290 ) ( 57730 * )
NEW met2 ( 59570 54910 ) ( * 58650 )
NEW met1 ( 59110 58650 ) ( 59570 * )
NEW met1 ( 57730 69530 ) ( 75670 * )
NEW met1 ( 75670 69530 ) ( 79350 * )
NEW met1 ( 93610 69530 ) ( * 69870 )
NEW met1 ( 79350 69530 ) ( 93610 * )
NEW met2 ( 57730 39610 ) ( * 58650 )
NEW met1 ( 93610 69870 ) ( 123510 * )
NEW met2 ( 169510 44710 ) ( * 63410 )
NEW met1 ( 164910 44710 ) ( 169510 * )
NEW met1 ( 153870 44030 ) ( 164910 * )
NEW met1 ( 164910 44030 ) ( * 44710 )
NEW met2 ( 147890 44030 ) ( * 50150 )
NEW met1 ( 147890 44030 ) ( 153870 * )
NEW met1 ( 145130 50150 ) ( 147890 * )
NEW met1 ( 142830 55250 ) ( * 55590 )
NEW met1 ( 142830 55250 ) ( 145130 * )
NEW met2 ( 145130 50150 ) ( * 55250 )
NEW met1 ( 139150 55250 ) ( 142830 * )
NEW met1 ( 140070 46750 ) ( 140530 * )
NEW met2 ( 140530 46750 ) ( * 50150 )
NEW met1 ( 140530 50150 ) ( 145130 * )
NEW li1 ( 138230 55250 ) ( * 56610 )
NEW met1 ( 138230 55250 ) ( 139150 * )
NEW met1 ( 142370 47770 ) ( 142400 * )
NEW met2 ( 141910 47770 ) ( 142370 * )
NEW met2 ( 141910 47770 ) ( * 50150 )
NEW met1 ( 136850 56610 ) ( 138230 * )
NEW met1 ( 136850 75650 ) ( 140530 * )
NEW met2 ( 140530 75650 ) ( * 109990 )
NEW met2 ( 153870 19550 ) ( * 44030 )
NEW met1 ( 169510 63410 ) ( 186990 * )
NEW met1 ( 117070 113050 ) ( 121210 * )
NEW met1 ( 121210 113050 ) ( 131330 * )
NEW li1 ( 200330 200090 ) L1M1_PR_MR
NEW met1 ( 200330 200090 ) M1M2_PR
NEW li1 ( 202630 197030 ) L1M1_PR_MR
NEW met1 ( 200330 197030 ) M1M2_PR
NEW li1 ( 219190 183770 ) L1M1_PR_MR
NEW met1 ( 219190 183770 ) M1M2_PR
NEW met1 ( 219190 181390 ) M1M2_PR
NEW li1 ( 215970 183770 ) L1M1_PR_MR
NEW li1 ( 192970 191250 ) L1M1_PR_MR
NEW met1 ( 204010 191250 ) M1M2_PR
NEW met1 ( 204010 183770 ) M1M2_PR
NEW li1 ( 189750 191250 ) L1M1_PR_MR
NEW li1 ( 176410 202130 ) L1M1_PR_MR
NEW li1 ( 174570 200090 ) L1M1_PR_MR
NEW met1 ( 174570 200090 ) M1M2_PR
NEW met1 ( 174570 202130 ) M1M2_PR
NEW met1 ( 178710 191250 ) M1M2_PR
NEW met1 ( 178710 200090 ) M1M2_PR
NEW met1 ( 176870 202130 ) M1M2_PR
NEW met1 ( 237590 181390 ) M1M2_PR
NEW met1 ( 159850 177310 ) M1M2_PR
NEW li1 ( 238970 167790 ) L1M1_PR_MR
NEW met1 ( 237590 167790 ) M1M2_PR
NEW li1 ( 237130 165410 ) L1M1_PR_MR
NEW met1 ( 237590 165410 ) M1M2_PR
NEW met1 ( 200790 92990 ) M1M2_PR
NEW li1 ( 189290 69530 ) L1M1_PR_MR
NEW met1 ( 200330 69870 ) M1M2_PR
NEW li1 ( 186530 67490 ) L1M1_PR_MR
NEW met1 ( 186990 67490 ) M1M2_PR
NEW met1 ( 186990 69530 ) M1M2_PR
NEW met1 ( 186990 63410 ) M1M2_PR
NEW li1 ( 87630 136510 ) L1M1_PR_MR
NEW met1 ( 87630 136510 ) M1M2_PR
NEW met1 ( 87630 134130 ) M1M2_PR
NEW li1 ( 85790 140250 ) L1M1_PR_MR
NEW met1 ( 87630 140250 ) M1M2_PR
NEW li1 ( 63710 155550 ) L1M1_PR_MR
NEW met1 ( 87630 155550 ) M1M2_PR
NEW li1 ( 92690 234770 ) L1M1_PR_MR
NEW li1 ( 85790 234770 ) L1M1_PR_MR
NEW li1 ( 201250 57630 ) L1M1_PR_MR
NEW met1 ( 206770 57630 ) M1M2_PR
NEW li1 ( 205850 58650 ) L1M1_PR_MR
NEW met1 ( 206770 58650 ) M1M2_PR
NEW met1 ( 200330 57630 ) M1M2_PR
NEW li1 ( 161230 157250 ) L1M1_PR_MR
NEW met1 ( 161230 157250 ) M1M2_PR
NEW met1 ( 161230 158610 ) M1M2_PR
NEW li1 ( 162150 158610 ) L1M1_PR_MR
NEW met1 ( 159850 158610 ) M1M2_PR
NEW met1 ( 159850 155550 ) M1M2_PR
NEW li1 ( 176870 218110 ) L1M1_PR_MR
NEW met1 ( 176870 218110 ) M1M2_PR
NEW li1 ( 178250 221850 ) L1M1_PR_MR
NEW met1 ( 176870 221850 ) M1M2_PR
NEW li1 ( 198490 221850 ) L1M1_PR_MR
NEW met1 ( 200330 221850 ) M1M2_PR
NEW li1 ( 202630 221850 ) L1M1_PR_MR
NEW li1 ( 206310 219810 ) L1M1_PR_MR
NEW met1 ( 200330 219810 ) M1M2_PR
NEW met1 ( 206770 227290 ) M1M2_PR
NEW met1 ( 206770 219810 ) M1M2_PR
NEW li1 ( 203090 229670 ) L1M1_PR_MR
NEW met1 ( 206770 229670 ) M1M2_PR
NEW met1 ( 206770 235110 ) M1M2_PR
NEW li1 ( 44850 180710 ) L1M1_PR_MR
NEW li1 ( 48530 180710 ) L1M1_PR_MR
NEW li1 ( 36110 162350 ) L1M1_PR_MR
NEW met1 ( 37490 162350 ) M1M2_PR
NEW met1 ( 37490 180710 ) M1M2_PR
NEW met1 ( 35650 162350 ) M1M2_PR
NEW li1 ( 31970 162010 ) L1M1_PR_MR
NEW met1 ( 140530 109990 ) M1M2_PR
NEW met1 ( 142830 109990 ) M1M2_PR
NEW met1 ( 151110 202130 ) M1M2_PR
NEW li1 ( 151110 180710 ) L1M1_PR_MR
NEW met1 ( 151110 180710 ) M1M2_PR
NEW li1 ( 149730 179010 ) L1M1_PR_MR
NEW met1 ( 151110 179010 ) M1M2_PR
NEW met1 ( 151110 177310 ) M1M2_PR
NEW li1 ( 209990 93330 ) L1M1_PR_MR
NEW li1 ( 214130 93330 ) L1M1_PR_MR
NEW li1 ( 29210 38590 ) L1M1_PR_MR
NEW li1 ( 22770 38930 ) L1M1_PR_MR
NEW met1 ( 57730 39610 ) M1M2_PR
NEW met1 ( 30590 39950 ) M1M2_PR
NEW met1 ( 30590 38590 ) M1M2_PR
NEW li1 ( 60030 156570 ) L1M1_PR_MR
NEW li1 ( 37950 155890 ) L1M1_PR_MR
NEW li1 ( 34270 156570 ) L1M1_PR_MR
NEW met1 ( 37950 156570 ) M1M2_PR
NEW met1 ( 37950 155890 ) M1M2_PR
NEW met1 ( 35650 156570 ) M1M2_PR
NEW li1 ( 129950 135490 ) L1M1_PR_MR
NEW met1 ( 131330 135490 ) M1M2_PR
NEW met1 ( 131330 155550 ) M1M2_PR
NEW li1 ( 126730 134810 ) L1M1_PR_MR
NEW met1 ( 131330 134810 ) M1M2_PR
NEW met1 ( 131330 113050 ) M1M2_PR
NEW li1 ( 135010 113050 ) L1M1_PR_MR
NEW li1 ( 138690 113050 ) L1M1_PR_MR
NEW met1 ( 142830 113050 ) M1M2_PR
NEW li1 ( 130410 213690 ) L1M1_PR_MR
NEW met1 ( 116610 213690 ) M1M2_PR
NEW met1 ( 116610 234770 ) M1M2_PR
NEW met1 ( 151110 208930 ) M1M2_PR
NEW met1 ( 132710 208930 ) M1M2_PR
NEW met1 ( 132710 213690 ) M1M2_PR
NEW li1 ( 221490 227290 ) L1M1_PR_MR
NEW li1 ( 231610 227630 ) L1M1_PR_MR
NEW li1 ( 207690 235110 ) L1M1_PR_MR
NEW li1 ( 210450 218790 ) L1M1_PR_MR
NEW li1 ( 196650 15130 ) L1M1_PR_MR
NEW li1 ( 205850 33490 ) L1M1_PR_MR
NEW met1 ( 202170 33490 ) M1M2_PR
NEW met1 ( 202170 15130 ) M1M2_PR
NEW li1 ( 210450 33490 ) L1M1_PR_MR
NEW li1 ( 211370 29410 ) L1M1_PR_MR
NEW met1 ( 210450 29410 ) M1M2_PR
NEW met1 ( 210450 33490 ) M1M2_PR
NEW li1 ( 221950 28390 ) L1M1_PR_MR
NEW met1 ( 210450 28730 ) M1M2_PR
NEW li1 ( 227470 41650 ) L1M1_PR_MR
NEW met1 ( 224710 41650 ) M1M2_PR
NEW met1 ( 224710 30430 ) M1M2_PR
NEW met1 ( 220110 30430 ) M1M2_PR
NEW met1 ( 220110 28730 ) M1M2_PR
NEW li1 ( 232530 36890 ) L1M1_PR_MR
NEW met1 ( 232070 36890 ) M1M2_PR
NEW met1 ( 232070 41650 ) M1M2_PR
NEW met1 ( 206770 33490 ) M1M2_PR
NEW li1 ( 127190 71910 ) L1M1_PR_MR
NEW met1 ( 123970 71910 ) M1M2_PR
NEW met1 ( 123510 69870 ) M1M2_PR
NEW li1 ( 130870 72250 ) L1M1_PR_MR
NEW met1 ( 136850 56610 ) M1M2_PR
NEW met1 ( 136850 72250 ) M1M2_PR
NEW met1 ( 136850 75650 ) M1M2_PR
NEW li1 ( 154330 19550 ) L1M1_PR_MR
NEW met1 ( 153870 19550 ) M1M2_PR
NEW li1 ( 157090 15130 ) L1M1_PR_MR
NEW met1 ( 153870 15130 ) M1M2_PR
NEW met1 ( 191130 15130 ) M1M2_PR
NEW met2 ( 191130 15300 ) M2M3_PR_M
NEW met2 ( 184690 15300 ) M2M3_PR_M
NEW met1 ( 184690 15130 ) M1M2_PR
NEW li1 ( 183770 15130 ) L1M1_PR_MR
NEW li1 ( 52670 91290 ) L1M1_PR_MR
NEW li1 ( 48990 91290 ) L1M1_PR_MR
NEW li1 ( 59110 58650 ) L1M1_PR_MR
NEW met1 ( 57730 58650 ) M1M2_PR
NEW met1 ( 57730 91290 ) M1M2_PR
NEW li1 ( 59570 54910 ) L1M1_PR_MR
NEW met1 ( 59570 54910 ) M1M2_PR
NEW met1 ( 59570 58650 ) M1M2_PR
NEW li1 ( 75670 69530 ) L1M1_PR_MR
NEW met1 ( 57730 69530 ) M1M2_PR
NEW li1 ( 79350 69530 ) L1M1_PR_MR
NEW li1 ( 169510 44710 ) L1M1_PR_MR
NEW met1 ( 169510 44710 ) M1M2_PR
NEW met1 ( 169510 63410 ) M1M2_PR
NEW li1 ( 164910 44710 ) L1M1_PR_MR
NEW met1 ( 153870 44030 ) M1M2_PR
NEW li1 ( 147890 50150 ) L1M1_PR_MR
NEW met1 ( 147890 50150 ) M1M2_PR
NEW met1 ( 147890 44030 ) M1M2_PR
NEW li1 ( 145130 50150 ) L1M1_PR_MR
NEW li1 ( 142830 55590 ) L1M1_PR_MR
NEW met1 ( 145130 55250 ) M1M2_PR
NEW met1 ( 145130 50150 ) M1M2_PR
NEW li1 ( 139150 55250 ) L1M1_PR_MR
NEW li1 ( 140070 46750 ) L1M1_PR_MR
NEW met1 ( 140530 46750 ) M1M2_PR
NEW met1 ( 140530 50150 ) M1M2_PR
NEW li1 ( 138230 56610 ) L1M1_PR_MR
NEW li1 ( 138230 55250 ) L1M1_PR_MR
NEW li1 ( 142400 47770 ) L1M1_PR_MR
NEW met1 ( 142370 47770 ) M1M2_PR
NEW met1 ( 141910 50150 ) M1M2_PR
NEW met1 ( 140530 75650 ) M1M2_PR
NEW li1 ( 121210 113050 ) L1M1_PR_MR
NEW li1 ( 117070 113050 ) L1M1_PR_MR
NEW met1 ( 200330 200090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 219190 183770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 174570 200090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 174570 202130 ) RECT ( -595 -70 0 70 )
NEW met2 ( 200330 69870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 87630 136510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161230 157250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176870 218110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 200330 219810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 206770 219810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 151110 180710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37950 155890 ) RECT ( -595 -70 0 70 )
NEW met1 ( 35650 156570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 210450 33490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 220110 28730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 206770 33490 ) RECT ( -595 -70 0 70 )
NEW met1 ( 59570 54910 ) RECT ( 0 -70 355 70 )
NEW met2 ( 57730 69530 ) RECT ( -70 -485 70 0 )
NEW met1 ( 169510 44710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145130 50150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 142400 47770 ) RECT ( 0 -70 325 70 )
NEW met1 ( 141910 50150 ) RECT ( -595 -70 0 70 ) ;
- net202 ( ANTENNA_output202_A DIODE ) ( output202 A ) ( _300_ X ) + USE SIGNAL
+ ROUTED met2 ( 187910 69870 ) ( * 124610 )
NEW met1 ( 28750 232050 ) ( 44390 * )
NEW met1 ( 21390 234770 ) ( * 235110 )
NEW met1 ( 21390 234770 ) ( 28750 * )
NEW met2 ( 28750 232050 ) ( * 234770 )
NEW met2 ( 44390 129710 ) ( * 232050 )
NEW met2 ( 134550 124610 ) ( * 128690 )
NEW met1 ( 134550 124610 ) ( 187910 * )
NEW met2 ( 49450 129540 ) ( * 129710 )
NEW met3 ( 49450 129540 ) ( 53590 * )
NEW met2 ( 53590 128690 ) ( * 129540 )
NEW met1 ( 44390 129710 ) ( 49450 * )
NEW met1 ( 53590 128690 ) ( 134550 * )
NEW li1 ( 187910 69870 ) L1M1_PR_MR
NEW met1 ( 187910 69870 ) M1M2_PR
NEW met1 ( 187910 124610 ) M1M2_PR
NEW met1 ( 44390 129710 ) M1M2_PR
NEW li1 ( 28750 232050 ) L1M1_PR_MR
NEW met1 ( 44390 232050 ) M1M2_PR
NEW li1 ( 21390 235110 ) L1M1_PR_MR
NEW met1 ( 28750 234770 ) M1M2_PR
NEW met1 ( 28750 232050 ) M1M2_PR
NEW met1 ( 134550 128690 ) M1M2_PR
NEW met1 ( 134550 124610 ) M1M2_PR
NEW met1 ( 49450 129710 ) M1M2_PR
NEW met2 ( 49450 129540 ) M2M3_PR_M
NEW met2 ( 53590 129540 ) M2M3_PR_M
NEW met1 ( 53590 128690 ) M1M2_PR
NEW met1 ( 187910 69870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28750 232050 ) RECT ( -595 -70 0 70 ) ;
- net203 ( ANTENNA_output203_A DIODE ) ( output203 A ) ( _301_ X ) + USE SIGNAL
+ ROUTED met2 ( 177330 222190 ) ( * 227630 )
NEW met1 ( 28290 227290 ) ( 62100 * )
NEW met1 ( 62100 227290 ) ( * 227630 )
NEW met1 ( 26910 235110 ) ( 27370 * )
NEW met2 ( 26910 227290 ) ( * 235110 )
NEW met1 ( 26910 227290 ) ( 28290 * )
NEW met1 ( 62100 227630 ) ( 177330 * )
NEW li1 ( 177330 222190 ) L1M1_PR_MR
NEW met1 ( 177330 222190 ) M1M2_PR
NEW met1 ( 177330 227630 ) M1M2_PR
NEW li1 ( 28290 227290 ) L1M1_PR_MR
NEW li1 ( 27370 235110 ) L1M1_PR_MR
NEW met1 ( 26910 235110 ) M1M2_PR
NEW met1 ( 26910 227290 ) M1M2_PR
NEW met1 ( 177330 222190 ) RECT ( -355 -70 0 70 ) ;
- net204 ( ANTENNA_output204_A DIODE ) ( output204 A ) ( _302_ X ) + USE SIGNAL
+ ROUTED met1 ( 196650 15470 ) ( * 15810 )
NEW met1 ( 195270 15470 ) ( 196650 * )
NEW met2 ( 196650 15810 ) ( * 79900 )
NEW met2 ( 34730 94860 ) ( 35190 * )
NEW met2 ( 35190 79900 ) ( * 94860 )
NEW met1 ( 33350 235110 ) ( 34730 * )
NEW met2 ( 34730 228990 ) ( * 235110 )
NEW met2 ( 34730 94860 ) ( * 228990 )
NEW met3 ( 35190 79900 ) ( 196650 * )
NEW met2 ( 196650 79900 ) M2M3_PR_M
NEW met1 ( 196650 15810 ) M1M2_PR
NEW li1 ( 195270 15470 ) L1M1_PR_MR
NEW met2 ( 35190 79900 ) M2M3_PR_M
NEW li1 ( 34730 228990 ) L1M1_PR_MR
NEW met1 ( 34730 228990 ) M1M2_PR
NEW li1 ( 33350 235110 ) L1M1_PR_MR
NEW met1 ( 34730 235110 ) M1M2_PR
NEW met1 ( 34730 228990 ) RECT ( -355 -70 0 70 ) ;
- net205 ( ANTENNA_output205_A DIODE ) ( output205 A ) ( _303_ X ) + USE SIGNAL
+ ROUTED met1 ( 39330 57970 ) ( 58190 * )
NEW met1 ( 38410 235110 ) ( 39330 * )
NEW met2 ( 39330 231710 ) ( * 235110 )
NEW met2 ( 39330 57970 ) ( * 231710 )
NEW li1 ( 58190 57970 ) L1M1_PR_MR
NEW met1 ( 39330 57970 ) M1M2_PR
NEW li1 ( 39330 231710 ) L1M1_PR_MR
NEW met1 ( 39330 231710 ) M1M2_PR
NEW li1 ( 38410 235110 ) L1M1_PR_MR
NEW met1 ( 39330 235110 ) M1M2_PR
NEW met1 ( 39330 231710 ) RECT ( -355 -70 0 70 ) ;
- net206 ( ANTENNA_output206_A DIODE ) ( output206 A ) ( _304_ X ) + USE SIGNAL
+ ROUTED met1 ( 65090 223550 ) ( * 223890 )
NEW met1 ( 65090 223890 ) ( 85790 * )
NEW met2 ( 85790 222190 ) ( * 223890 )
NEW met1 ( 201710 221170 ) ( * 221510 )
NEW met2 ( 47150 223890 ) ( * 228990 )
NEW met1 ( 47150 223890 ) ( 55890 * )
NEW met1 ( 55890 223550 ) ( * 223890 )
NEW met1 ( 46230 235110 ) ( 47150 * )
NEW met2 ( 47150 228990 ) ( * 235110 )
NEW met1 ( 55890 223550 ) ( 65090 * )
NEW met1 ( 158700 221510 ) ( 201710 * )
NEW met1 ( 158700 221510 ) ( * 222190 )
NEW met1 ( 85790 222190 ) ( 158700 * )
NEW met1 ( 85790 223890 ) M1M2_PR
NEW met1 ( 85790 222190 ) M1M2_PR
NEW li1 ( 201710 221170 ) L1M1_PR_MR
NEW li1 ( 47150 228990 ) L1M1_PR_MR
NEW met1 ( 47150 228990 ) M1M2_PR
NEW met1 ( 47150 223890 ) M1M2_PR
NEW li1 ( 46230 235110 ) L1M1_PR_MR
NEW met1 ( 47150 235110 ) M1M2_PR
NEW met1 ( 47150 228990 ) RECT ( -355 -70 0 70 ) ;
- net207 ( ANTENNA_output207_A DIODE ) ( output207 A ) ( _305_ X ) + USE SIGNAL
+ ROUTED met2 ( 212290 93670 ) ( * 94180 )
NEW met2 ( 50830 134300 ) ( 51290 * )
NEW met2 ( 50830 94180 ) ( * 134300 )
NEW met1 ( 50830 226270 ) ( 51290 * )
NEW met1 ( 49910 235110 ) ( 51290 * )
NEW met2 ( 51290 226270 ) ( * 235110 )
NEW met2 ( 51290 134300 ) ( * 226270 )
NEW met3 ( 50830 94180 ) ( 212290 * )
NEW met2 ( 50830 94180 ) M2M3_PR_M
NEW met2 ( 212290 94180 ) M2M3_PR_M
NEW li1 ( 212290 93670 ) L1M1_PR_MR
NEW met1 ( 212290 93670 ) M1M2_PR
NEW li1 ( 50830 226270 ) L1M1_PR_MR
NEW met1 ( 51290 226270 ) M1M2_PR
NEW li1 ( 49910 235110 ) L1M1_PR_MR
NEW met1 ( 51290 235110 ) M1M2_PR
NEW met1 ( 212290 93670 ) RECT ( -355 -70 0 70 ) ;
- net208 ( ANTENNA_output208_A DIODE ) ( output208 A ) ( _306_ X ) + USE SIGNAL
+ ROUTED met1 ( 174110 203490 ) ( 175950 * )
NEW met2 ( 174110 203490 ) ( * 205530 )
NEW met1 ( 86250 205190 ) ( * 206210 )
NEW met1 ( 86250 206210 ) ( 103730 * )
NEW met1 ( 103730 205530 ) ( * 206210 )
NEW met1 ( 55430 205190 ) ( 86250 * )
NEW met1 ( 103730 205530 ) ( 174110 * )
NEW met1 ( 54970 235110 ) ( 55890 * )
NEW met2 ( 55890 232730 ) ( * 235110 )
NEW met2 ( 55430 232730 ) ( 55890 * )
NEW met2 ( 55430 223550 ) ( * 232730 )
NEW met2 ( 55430 205190 ) ( * 223550 )
NEW li1 ( 175950 203490 ) L1M1_PR_MR
NEW met1 ( 174110 203490 ) M1M2_PR
NEW met1 ( 174110 205530 ) M1M2_PR
NEW met1 ( 55430 205190 ) M1M2_PR
NEW li1 ( 55430 223550 ) L1M1_PR_MR
NEW met1 ( 55430 223550 ) M1M2_PR
NEW li1 ( 54970 235110 ) L1M1_PR_MR
NEW met1 ( 55890 235110 ) M1M2_PR
NEW met1 ( 55430 223550 ) RECT ( -355 -70 0 70 ) ;
- net209 ( ANTENNA__338__A DIODE ) ( ANTENNA__182__A1 DIODE ) ( ANTENNA_output209_A DIODE ) ( output209 A ) ( _182_ A1 ) ( _338_ A ) ( _443_ Q ) + USE SIGNAL
+ ROUTED met2 ( 221030 188190 ) ( * 189550 )
NEW met2 ( 8970 168130 ) ( * 220830 )
NEW met1 ( 8510 229670 ) ( 8970 * )
NEW met2 ( 8970 220830 ) ( * 229670 )
NEW met2 ( 221030 172210 ) ( * 188190 )
NEW met1 ( 228850 189210 ) ( * 189550 )
NEW met1 ( 221030 189550 ) ( 228850 * )
NEW met2 ( 109710 167450 ) ( * 171870 )
NEW met1 ( 97750 45730 ) ( 105570 * )
NEW met1 ( 105570 142630 ) ( 106950 * )
NEW met2 ( 106950 142630 ) ( * 167450 )
NEW met1 ( 20930 167110 ) ( * 168130 )
NEW met1 ( 20930 167110 ) ( 62100 * )
NEW met1 ( 62100 167110 ) ( * 167450 )
NEW met1 ( 8970 168130 ) ( 20930 * )
NEW met1 ( 109710 171870 ) ( 110400 * )
NEW met1 ( 110400 171870 ) ( * 172210 )
NEW met1 ( 62100 167450 ) ( 113390 * )
NEW met1 ( 110400 172210 ) ( 221030 * )
NEW met2 ( 105570 45730 ) ( * 142630 )
NEW li1 ( 8970 220830 ) L1M1_PR_MR
NEW met1 ( 8970 220830 ) M1M2_PR
NEW li1 ( 221030 188190 ) L1M1_PR_MR
NEW met1 ( 221030 188190 ) M1M2_PR
NEW met1 ( 221030 189550 ) M1M2_PR
NEW met1 ( 8970 168130 ) M1M2_PR
NEW met1 ( 8970 229670 ) M1M2_PR
NEW li1 ( 8510 229670 ) L1M1_PR_MR
NEW met1 ( 221030 172210 ) M1M2_PR
NEW li1 ( 228850 189210 ) L1M1_PR_MR
NEW li1 ( 109250 167450 ) L1M1_PR_MR
NEW met1 ( 109710 171870 ) M1M2_PR
NEW met1 ( 109710 167450 ) M1M2_PR
NEW met1 ( 106950 167450 ) M1M2_PR
NEW met1 ( 105570 45730 ) M1M2_PR
NEW li1 ( 97750 45730 ) L1M1_PR_MR
NEW met1 ( 105570 142630 ) M1M2_PR
NEW met1 ( 106950 142630 ) M1M2_PR
NEW li1 ( 113390 167450 ) L1M1_PR_MR
NEW met1 ( 8970 220830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 221030 188190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 167450 ) RECT ( -595 -70 0 70 )
NEW met1 ( 109710 167450 ) RECT ( -595 -70 0 70 )
NEW met1 ( 106950 167450 ) RECT ( -595 -70 0 70 ) ;
- net21 ( ANTENNA__231__B1 DIODE ) ( input21 X ) ( _231_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 238970 145180 ) ( 239430 * )
NEW met2 ( 239430 145180 ) ( * 153340 )
NEW met2 ( 238970 153340 ) ( 239430 * )
NEW met2 ( 142370 94350 ) ( * 96050 )
NEW met1 ( 135930 93330 ) ( 142370 * )
NEW met2 ( 142370 93330 ) ( * 94350 )
NEW met1 ( 142370 96050 ) ( 238970 * )
NEW met2 ( 238970 96050 ) ( * 145180 )
NEW met1 ( 238510 234770 ) ( 238970 * )
NEW met2 ( 238970 153340 ) ( * 234770 )
NEW li1 ( 142370 94350 ) L1M1_PR_MR
NEW met1 ( 142370 94350 ) M1M2_PR
NEW met1 ( 142370 96050 ) M1M2_PR
NEW li1 ( 135930 93330 ) L1M1_PR_MR
NEW met1 ( 142370 93330 ) M1M2_PR
NEW met1 ( 238970 96050 ) M1M2_PR
NEW met1 ( 238970 234770 ) M1M2_PR
NEW li1 ( 238510 234770 ) L1M1_PR_MR
NEW met1 ( 142370 94350 ) RECT ( -355 -70 0 70 ) ;
- net210 ( ANTENNA__348__A DIODE ) ( ANTENNA__169__A1 DIODE ) ( ANTENNA_output210_A DIODE ) ( output210 A ) ( _169_ A1 ) ( _348_ A ) ( _453_ Q ) + USE SIGNAL
+ ROUTED met1 ( 63710 218110 ) ( 67850 * )
NEW met2 ( 62790 218110 ) ( * 232730 )
NEW met1 ( 62790 218110 ) ( 63710 * )
NEW met2 ( 67850 56610 ) ( * 218110 )
NEW met1 ( 62100 202810 ) ( 67850 * )
NEW met1 ( 45310 203490 ) ( 59570 * )
NEW met1 ( 59570 203150 ) ( * 203490 )
NEW met1 ( 59570 203150 ) ( 62100 * )
NEW met1 ( 62100 202810 ) ( * 203150 )
NEW met1 ( 40250 202470 ) ( 45310 * )
NEW met1 ( 45310 202470 ) ( * 203490 )
NEW met1 ( 21850 55590 ) ( 28290 * )
NEW met2 ( 28290 55590 ) ( * 56610 )
NEW met1 ( 27370 56610 ) ( 67850 * )
NEW met2 ( 88550 91630 ) ( * 93330 )
NEW met1 ( 67850 93330 ) ( 88550 * )
NEW met1 ( 67850 93330 ) M1M2_PR
NEW met1 ( 67850 202810 ) M1M2_PR
NEW met1 ( 67850 56610 ) M1M2_PR
NEW li1 ( 63710 218110 ) L1M1_PR_MR
NEW met1 ( 67850 218110 ) M1M2_PR
NEW li1 ( 62790 232730 ) L1M1_PR_MR
NEW met1 ( 62790 232730 ) M1M2_PR
NEW met1 ( 62790 218110 ) M1M2_PR
NEW li1 ( 45310 203490 ) L1M1_PR_MR
NEW li1 ( 40250 202470 ) L1M1_PR_MR
NEW li1 ( 27370 56610 ) L1M1_PR_MR
NEW li1 ( 21850 55590 ) L1M1_PR_MR
NEW met1 ( 28290 55590 ) M1M2_PR
NEW met1 ( 28290 56610 ) M1M2_PR
NEW met1 ( 88550 93330 ) M1M2_PR
NEW li1 ( 88550 91630 ) L1M1_PR_MR
NEW met1 ( 88550 91630 ) M1M2_PR
NEW met2 ( 67850 93330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 67850 202810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 62790 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28290 56610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 88550 91630 ) RECT ( -355 -70 0 70 ) ;
- net211 ( ANTENNA__349__A DIODE ) ( ANTENNA__168__A1 DIODE ) ( ANTENNA_output211_A DIODE ) ( output211 A ) ( _168_ A1 ) ( _349_ A ) ( _454_ Q ) + USE SIGNAL
+ ROUTED met1 ( 70150 200770 ) ( 73370 * )
NEW met1 ( 62790 203150 ) ( 70150 * )
NEW met2 ( 70150 200770 ) ( * 203150 )
NEW met2 ( 104190 202810 ) ( * 206210 )
NEW met1 ( 73370 202810 ) ( 104190 * )
NEW met2 ( 70150 157250 ) ( * 200770 )
NEW met1 ( 70150 232730 ) ( 73370 * )
NEW met2 ( 73370 223550 ) ( * 232730 )
NEW met2 ( 73370 200770 ) ( * 223550 )
NEW met1 ( 104190 206210 ) ( 171810 * )
NEW met2 ( 28290 154190 ) ( * 157250 )
NEW met1 ( 22770 153510 ) ( 28290 * )
NEW met1 ( 28290 153510 ) ( * 154190 )
NEW met1 ( 28290 157250 ) ( 70150 * )
NEW met1 ( 170430 29410 ) ( 171810 * )
NEW met1 ( 171810 30430 ) ( * 31450 )
NEW met2 ( 171810 29410 ) ( * 206210 )
NEW met1 ( 171810 206210 ) M1M2_PR
NEW met1 ( 73370 200770 ) M1M2_PR
NEW met1 ( 70150 200770 ) M1M2_PR
NEW li1 ( 62790 203150 ) L1M1_PR_MR
NEW met1 ( 70150 203150 ) M1M2_PR
NEW met1 ( 104190 206210 ) M1M2_PR
NEW met1 ( 104190 202810 ) M1M2_PR
NEW met1 ( 73370 202810 ) M1M2_PR
NEW met1 ( 70150 157250 ) M1M2_PR
NEW li1 ( 73370 223550 ) L1M1_PR_MR
NEW met1 ( 73370 223550 ) M1M2_PR
NEW li1 ( 70150 232730 ) L1M1_PR_MR
NEW met1 ( 73370 232730 ) M1M2_PR
NEW li1 ( 28290 154190 ) L1M1_PR_MR
NEW met1 ( 28290 154190 ) M1M2_PR
NEW met1 ( 28290 157250 ) M1M2_PR
NEW li1 ( 22770 153510 ) L1M1_PR_MR
NEW li1 ( 170430 29410 ) L1M1_PR_MR
NEW met1 ( 171810 29410 ) M1M2_PR
NEW li1 ( 171810 31450 ) L1M1_PR_MR
NEW met1 ( 171810 30430 ) M1M2_PR
NEW met2 ( 73370 202810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 73370 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28290 154190 ) RECT ( -355 -70 0 70 )
NEW met2 ( 171810 30430 ) RECT ( -70 -485 70 0 ) ;
- net212 ( ANTENNA__350__A DIODE ) ( ANTENNA__166__A1 DIODE ) ( ANTENNA_output212_A DIODE ) ( output212 A ) ( _166_ A1 ) ( _350_ A ) ( _455_ Q ) + USE SIGNAL
+ ROUTED met1 ( 101890 20570 ) ( 102350 * )
NEW met2 ( 101890 20570 ) ( * 44710 )
NEW met1 ( 77050 44710 ) ( 101890 * )
NEW met1 ( 101890 19890 ) ( 105570 * )
NEW met2 ( 101890 19890 ) ( * 20570 )
NEW met1 ( 71530 224230 ) ( 76130 * )
NEW met2 ( 71530 220830 ) ( * 224230 )
NEW met2 ( 74290 224230 ) ( * 232730 )
NEW met2 ( 71530 139570 ) ( * 220830 )
NEW met1 ( 25530 139570 ) ( * 140250 )
NEW met1 ( 25530 139570 ) ( 32430 * )
NEW met1 ( 32430 139570 ) ( 77050 * )
NEW met2 ( 77050 44710 ) ( * 139570 )
NEW li1 ( 102350 20570 ) L1M1_PR_MR
NEW met1 ( 101890 20570 ) M1M2_PR
NEW met1 ( 101890 44710 ) M1M2_PR
NEW met1 ( 77050 44710 ) M1M2_PR
NEW li1 ( 105570 19890 ) L1M1_PR_MR
NEW met1 ( 101890 19890 ) M1M2_PR
NEW met1 ( 77050 139570 ) M1M2_PR
NEW met1 ( 71530 139570 ) M1M2_PR
NEW li1 ( 71530 220830 ) L1M1_PR_MR
NEW met1 ( 71530 220830 ) M1M2_PR
NEW li1 ( 76130 224230 ) L1M1_PR_MR
NEW met1 ( 71530 224230 ) M1M2_PR
NEW li1 ( 74290 232730 ) L1M1_PR_MR
NEW met1 ( 74290 232730 ) M1M2_PR
NEW met1 ( 74290 224230 ) M1M2_PR
NEW li1 ( 32430 139570 ) L1M1_PR_MR
NEW li1 ( 25530 140250 ) L1M1_PR_MR
NEW met1 ( 71530 139570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 71530 220830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 74290 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 74290 224230 ) RECT ( -595 -70 0 70 ) ;
- net213 ( ANTENNA__351__A DIODE ) ( ANTENNA__163__A1 DIODE ) ( ANTENNA_output213_A DIODE ) ( output213 A ) ( _163_ A1 ) ( _351_ A ) ( _456_ Q ) + USE SIGNAL
+ ROUTED met1 ( 79810 232730 ) ( 80270 * )
NEW met2 ( 80270 220830 ) ( * 232730 )
NEW met1 ( 80270 189550 ) ( 110400 * )
NEW met1 ( 110400 189550 ) ( * 189890 )
NEW met1 ( 110400 189890 ) ( 123050 * )
NEW met2 ( 32430 146370 ) ( * 147730 )
NEW met1 ( 25070 145690 ) ( * 146370 )
NEW met1 ( 25070 146370 ) ( 32430 * )
NEW met1 ( 32430 147730 ) ( 80270 * )
NEW met1 ( 147890 118490 ) ( 152030 * )
NEW li1 ( 147890 118490 ) ( * 119170 )
NEW met1 ( 80270 121550 ) ( 101430 * )
NEW met2 ( 101430 119170 ) ( * 121550 )
NEW met2 ( 80270 121550 ) ( * 220830 )
NEW met1 ( 101430 119170 ) ( 148350 * )
NEW met1 ( 80270 189550 ) M1M2_PR
NEW met1 ( 80270 147730 ) M1M2_PR
NEW li1 ( 80270 220830 ) L1M1_PR_MR
NEW met1 ( 80270 220830 ) M1M2_PR
NEW li1 ( 79810 232730 ) L1M1_PR_MR
NEW met1 ( 80270 232730 ) M1M2_PR
NEW li1 ( 123050 189890 ) L1M1_PR_MR
NEW li1 ( 32430 146370 ) L1M1_PR_MR
NEW met1 ( 32430 146370 ) M1M2_PR
NEW met1 ( 32430 147730 ) M1M2_PR
NEW li1 ( 25070 145690 ) L1M1_PR_MR
NEW li1 ( 148350 119170 ) L1M1_PR_MR
NEW li1 ( 152030 118490 ) L1M1_PR_MR
NEW li1 ( 147890 118490 ) L1M1_PR_MR
NEW li1 ( 147890 119170 ) L1M1_PR_MR
NEW met1 ( 80270 121550 ) M1M2_PR
NEW met1 ( 101430 121550 ) M1M2_PR
NEW met1 ( 101430 119170 ) M1M2_PR
NEW met2 ( 80270 189550 ) RECT ( -70 -485 70 0 )
NEW met2 ( 80270 147730 ) RECT ( -70 -485 70 0 )
NEW met1 ( 80270 220830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 32430 146370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 119170 ) RECT ( -595 -70 0 70 ) ;
- net214 ( ANTENNA__352__A DIODE ) ( ANTENNA__162__A1 DIODE ) ( ANTENNA_output214_A DIODE ) ( output214 A ) ( _162_ A1 ) ( _352_ A ) ( _457_ Q ) + USE SIGNAL
+ ROUTED met1 ( 229770 170510 ) ( 237130 * )
NEW met1 ( 237130 170170 ) ( * 170510 )
NEW met1 ( 71530 178330 ) ( 71990 * )
NEW met2 ( 71990 170510 ) ( * 178330 )
NEW met1 ( 69230 178330 ) ( 71530 * )
NEW met1 ( 86250 232730 ) ( 88550 * )
NEW met2 ( 86250 223550 ) ( * 232730 )
NEW met2 ( 86250 170510 ) ( * 223550 )
NEW met2 ( 54510 117810 ) ( * 123250 )
NEW met1 ( 54510 123250 ) ( 74750 * )
NEW met1 ( 41170 117810 ) ( 54510 * )
NEW met2 ( 74750 123250 ) ( * 170510 )
NEW met1 ( 71990 170510 ) ( 229770 * )
NEW li1 ( 229770 170510 ) L1M1_PR_MR
NEW li1 ( 237130 170170 ) L1M1_PR_MR
NEW li1 ( 71530 178330 ) L1M1_PR_MR
NEW met1 ( 71990 178330 ) M1M2_PR
NEW met1 ( 71990 170510 ) M1M2_PR
NEW li1 ( 69230 178330 ) L1M1_PR_MR
NEW met1 ( 74750 170510 ) M1M2_PR
NEW met1 ( 86250 170510 ) M1M2_PR
NEW li1 ( 86250 223550 ) L1M1_PR_MR
NEW met1 ( 86250 223550 ) M1M2_PR
NEW li1 ( 88550 232730 ) L1M1_PR_MR
NEW met1 ( 86250 232730 ) M1M2_PR
NEW li1 ( 41170 117810 ) L1M1_PR_MR
NEW met1 ( 54510 117810 ) M1M2_PR
NEW met1 ( 54510 123250 ) M1M2_PR
NEW met1 ( 74750 123250 ) M1M2_PR
NEW met1 ( 74750 170510 ) RECT ( -595 -70 0 70 )
NEW met1 ( 86250 170510 ) RECT ( -595 -70 0 70 )
NEW met1 ( 86250 223550 ) RECT ( -355 -70 0 70 ) ;
- net215 ( ANTENNA__353__A DIODE ) ( ANTENNA__161__A1 DIODE ) ( ANTENNA_output215_A DIODE ) ( output215 A ) ( _161_ A1 ) ( _353_ A ) ( _458_ Q ) + USE SIGNAL
+ ROUTED met2 ( 158930 165410 ) ( * 167450 )
NEW met1 ( 158930 165410 ) ( 163070 * )
NEW met2 ( 109710 69530 ) ( * 74290 )
NEW met1 ( 103270 69530 ) ( 109710 * )
NEW met1 ( 92690 232730 ) ( 93150 * )
NEW met2 ( 93150 226270 ) ( * 232730 )
NEW met2 ( 93150 167790 ) ( * 226270 )
NEW met1 ( 159390 147390 ) ( 163070 * )
NEW met2 ( 163070 147390 ) ( * 165410 )
NEW met1 ( 142370 167450 ) ( * 167790 )
NEW met1 ( 93150 167790 ) ( 142370 * )
NEW met1 ( 142370 167450 ) ( 158930 * )
NEW met2 ( 153410 74290 ) ( * 77010 )
NEW met1 ( 153410 77010 ) ( 159390 * )
NEW met1 ( 109710 74290 ) ( 153410 * )
NEW met2 ( 159390 77010 ) ( * 147390 )
NEW li1 ( 158930 165410 ) L1M1_PR_MR
NEW met1 ( 158930 165410 ) M1M2_PR
NEW met1 ( 158930 167450 ) M1M2_PR
NEW met1 ( 163070 165410 ) M1M2_PR
NEW li1 ( 109710 69530 ) L1M1_PR_MR
NEW met1 ( 109710 69530 ) M1M2_PR
NEW met1 ( 109710 74290 ) M1M2_PR
NEW li1 ( 103270 69530 ) L1M1_PR_MR
NEW met1 ( 93150 167790 ) M1M2_PR
NEW li1 ( 93150 226270 ) L1M1_PR_MR
NEW met1 ( 93150 226270 ) M1M2_PR
NEW li1 ( 92690 232730 ) L1M1_PR_MR
NEW met1 ( 93150 232730 ) M1M2_PR
NEW li1 ( 159390 147390 ) L1M1_PR_MR
NEW met1 ( 159390 147390 ) M1M2_PR
NEW met1 ( 163070 147390 ) M1M2_PR
NEW li1 ( 158010 167450 ) L1M1_PR_MR
NEW met1 ( 153410 74290 ) M1M2_PR
NEW met1 ( 153410 77010 ) M1M2_PR
NEW met1 ( 159390 77010 ) M1M2_PR
NEW met1 ( 158930 165410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109710 69530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 93150 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 159390 147390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 158010 167450 ) RECT ( -595 -70 0 70 ) ;
- net216 ( ANTENNA__354__A DIODE ) ( ANTENNA__160__A1 DIODE ) ( ANTENNA_output216_A DIODE ) ( output216 A ) ( _160_ A1 ) ( _354_ A ) ( _459_ Q ) + USE SIGNAL
+ ROUTED met1 ( 95910 232730 ) ( 96830 * )
NEW met2 ( 95910 226270 ) ( * 232730 )
NEW met2 ( 95910 192610 ) ( * 226270 )
NEW met2 ( 178250 31620 ) ( * 86020 )
NEW met1 ( 31970 191590 ) ( * 191930 )
NEW met1 ( 31970 191930 ) ( 32430 * )
NEW met1 ( 32430 191930 ) ( * 192610 )
NEW met1 ( 28750 191590 ) ( 31970 * )
NEW met1 ( 14490 167110 ) ( 14950 * )
NEW met2 ( 14950 167110 ) ( * 191590 )
NEW met1 ( 14950 191590 ) ( 28750 * )
NEW met1 ( 14950 167110 ) ( 16790 * )
NEW met1 ( 32430 192610 ) ( 95910 * )
NEW met2 ( 16790 86020 ) ( * 167110 )
NEW met2 ( 212290 31450 ) ( * 31620 )
NEW met1 ( 212290 31450 ) ( 220110 * )
NEW met3 ( 178250 31620 ) ( 212290 * )
NEW met3 ( 16790 86020 ) ( 178250 * )
NEW met1 ( 95910 192610 ) M1M2_PR
NEW met2 ( 178250 86020 ) M2M3_PR_M
NEW li1 ( 95910 226270 ) L1M1_PR_MR
NEW met1 ( 95910 226270 ) M1M2_PR
NEW li1 ( 96830 232730 ) L1M1_PR_MR
NEW met1 ( 95910 232730 ) M1M2_PR
NEW met2 ( 178250 31620 ) M2M3_PR_M
NEW met2 ( 16790 86020 ) M2M3_PR_M
NEW li1 ( 31970 191590 ) L1M1_PR_MR
NEW li1 ( 28750 191590 ) L1M1_PR_MR
NEW li1 ( 14490 167110 ) L1M1_PR_MR
NEW met1 ( 14950 167110 ) M1M2_PR
NEW met1 ( 14950 191590 ) M1M2_PR
NEW met1 ( 16790 167110 ) M1M2_PR
NEW li1 ( 212290 31450 ) L1M1_PR_MR
NEW met1 ( 212290 31450 ) M1M2_PR
NEW met2 ( 212290 31620 ) M2M3_PR_M
NEW li1 ( 220110 31450 ) L1M1_PR_MR
NEW met1 ( 95910 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 212290 31450 ) RECT ( -355 -70 0 70 ) ;
- net217 ( ANTENNA__355__A DIODE ) ( ANTENNA__158__A1 DIODE ) ( ANTENNA_output217_A DIODE ) ( output217 A ) ( _158_ A1 ) ( _355_ A ) ( _460_ Q ) + USE SIGNAL
+ ROUTED met1 ( 228390 157250 ) ( 240350 * )
NEW met2 ( 240350 157250 ) ( * 159460 )
NEW met2 ( 240350 159460 ) ( 240810 * )
NEW met2 ( 240810 159460 ) ( * 172210 )
NEW met1 ( 236210 172210 ) ( 240810 * )
NEW met2 ( 228850 149090 ) ( * 157250 )
NEW met1 ( 223790 149090 ) ( 228850 * )
NEW met2 ( 106950 230350 ) ( * 233070 )
NEW met1 ( 102350 232730 ) ( * 233070 )
NEW met1 ( 102350 233070 ) ( 106950 * )
NEW met2 ( 223790 62100 ) ( * 149090 )
NEW met2 ( 223790 62100 ) ( 224250 * )
NEW met1 ( 234830 227630 ) ( 235290 * )
NEW met2 ( 235290 227630 ) ( * 228990 )
NEW met1 ( 227470 228990 ) ( 235290 * )
NEW met2 ( 227470 228990 ) ( * 232730 )
NEW met1 ( 220110 232730 ) ( 227470 * )
NEW met1 ( 220110 232730 ) ( * 233070 )
NEW met1 ( 239890 229670 ) ( 240350 * )
NEW met2 ( 240350 227970 ) ( * 229670 )
NEW met1 ( 238970 227970 ) ( 240350 * )
NEW met1 ( 238970 227630 ) ( * 227970 )
NEW met1 ( 235290 227630 ) ( 238970 * )
NEW met1 ( 106950 233070 ) ( 220110 * )
NEW met2 ( 236210 172210 ) ( * 227630 )
NEW met1 ( 224710 17170 ) ( 226550 * )
NEW met2 ( 224710 17170 ) ( * 28730 )
NEW met2 ( 224250 28730 ) ( 224710 * )
NEW met1 ( 209530 25670 ) ( 224710 * )
NEW met2 ( 224250 28730 ) ( * 62100 )
NEW met1 ( 223790 149090 ) M1M2_PR
NEW li1 ( 228390 157250 ) L1M1_PR_MR
NEW met1 ( 240350 157250 ) M1M2_PR
NEW met1 ( 240810 172210 ) M1M2_PR
NEW met1 ( 236210 172210 ) M1M2_PR
NEW met1 ( 228850 149090 ) M1M2_PR
NEW met1 ( 228850 157250 ) M1M2_PR
NEW li1 ( 106950 230350 ) L1M1_PR_MR
NEW met1 ( 106950 230350 ) M1M2_PR
NEW met1 ( 106950 233070 ) M1M2_PR
NEW li1 ( 102350 232730 ) L1M1_PR_MR
NEW li1 ( 234830 227630 ) L1M1_PR_MR
NEW met1 ( 235290 227630 ) M1M2_PR
NEW met1 ( 235290 228990 ) M1M2_PR
NEW met1 ( 227470 228990 ) M1M2_PR
NEW met1 ( 227470 232730 ) M1M2_PR
NEW li1 ( 239890 229670 ) L1M1_PR_MR
NEW met1 ( 240350 229670 ) M1M2_PR
NEW met1 ( 240350 227970 ) M1M2_PR
NEW met1 ( 236210 227630 ) M1M2_PR
NEW li1 ( 226550 17170 ) L1M1_PR_MR
NEW met1 ( 224710 17170 ) M1M2_PR
NEW li1 ( 209530 25670 ) L1M1_PR_MR
NEW met1 ( 224710 25670 ) M1M2_PR
NEW met1 ( 228850 157250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 106950 230350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 236210 227630 ) RECT ( -595 -70 0 70 )
NEW met2 ( 224710 25670 ) RECT ( -70 -485 70 0 ) ;
- net218 ( ANTENNA__356__A DIODE ) ( ANTENNA__155__A1 DIODE ) ( ANTENNA_output218_A DIODE ) ( output218 A ) ( _155_ A1 ) ( _356_ A ) ( _461_ Q ) + USE SIGNAL
+ ROUTED met1 ( 199410 224230 ) ( 202630 * )
NEW met2 ( 112470 221850 ) ( * 228990 )
NEW met1 ( 112470 221850 ) ( 152950 * )
NEW met2 ( 152950 221850 ) ( * 224230 )
NEW met1 ( 110630 232730 ) ( 112470 * )
NEW met2 ( 112470 228990 ) ( * 232730 )
NEW met1 ( 152950 224230 ) ( 199410 * )
NEW met1 ( 110630 53210 ) ( 111550 * )
NEW met1 ( 111550 53210 ) ( 114310 * )
NEW met1 ( 111550 79730 ) ( 113850 * )
NEW met1 ( 83950 110330 ) ( 99590 * )
NEW met1 ( 99590 109990 ) ( * 110330 )
NEW met1 ( 99590 109990 ) ( 113850 * )
NEW met2 ( 111550 53210 ) ( * 79730 )
NEW met2 ( 113850 79730 ) ( * 221850 )
NEW li1 ( 199410 224230 ) L1M1_PR_MR
NEW li1 ( 202630 224230 ) L1M1_PR_MR
NEW li1 ( 112470 228990 ) L1M1_PR_MR
NEW met1 ( 112470 228990 ) M1M2_PR
NEW met1 ( 112470 221850 ) M1M2_PR
NEW met1 ( 152950 221850 ) M1M2_PR
NEW met1 ( 152950 224230 ) M1M2_PR
NEW li1 ( 110630 232730 ) L1M1_PR_MR
NEW met1 ( 112470 232730 ) M1M2_PR
NEW met1 ( 113850 221850 ) M1M2_PR
NEW li1 ( 110630 53210 ) L1M1_PR_MR
NEW met1 ( 111550 53210 ) M1M2_PR
NEW li1 ( 114310 53210 ) L1M1_PR_MR
NEW met1 ( 113850 79730 ) M1M2_PR
NEW met1 ( 111550 79730 ) M1M2_PR
NEW li1 ( 83950 110330 ) L1M1_PR_MR
NEW met1 ( 113850 109990 ) M1M2_PR
NEW met1 ( 112470 228990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 113850 221850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 113850 109990 ) RECT ( -70 -485 70 0 ) ;
- net219 ( ANTENNA__357__A DIODE ) ( ANTENNA__154__A1 DIODE ) ( ANTENNA_output219_A DIODE ) ( output219 A ) ( _154_ A1 ) ( _357_ A ) ( _462_ Q ) + USE SIGNAL
+ ROUTED met1 ( 212750 78370 ) ( 213210 * )
NEW met1 ( 219650 77350 ) ( 220570 * )
NEW met1 ( 220570 77350 ) ( * 77690 )
NEW met2 ( 220570 77690 ) ( * 80070 )
NEW met1 ( 212750 80070 ) ( 220570 * )
NEW met2 ( 212750 78370 ) ( * 113730 )
NEW met1 ( 115230 228990 ) ( 117070 * )
NEW met2 ( 114310 228990 ) ( * 232730 )
NEW met1 ( 114310 228990 ) ( 115230 * )
NEW met1 ( 117070 219470 ) ( 135470 * )
NEW met1 ( 135010 218790 ) ( 139610 * )
NEW li1 ( 135010 218790 ) ( * 219470 )
NEW met2 ( 117070 113730 ) ( * 228990 )
NEW met1 ( 70610 113730 ) ( 212750 * )
NEW met1 ( 212750 113730 ) M1M2_PR
NEW li1 ( 70610 113730 ) L1M1_PR_MR
NEW li1 ( 213210 78370 ) L1M1_PR_MR
NEW met1 ( 212750 78370 ) M1M2_PR
NEW li1 ( 219650 77350 ) L1M1_PR_MR
NEW met1 ( 220570 77690 ) M1M2_PR
NEW met1 ( 220570 80070 ) M1M2_PR
NEW met1 ( 212750 80070 ) M1M2_PR
NEW li1 ( 115230 228990 ) L1M1_PR_MR
NEW met1 ( 117070 228990 ) M1M2_PR
NEW li1 ( 114310 232730 ) L1M1_PR_MR
NEW met1 ( 114310 232730 ) M1M2_PR
NEW met1 ( 114310 228990 ) M1M2_PR
NEW li1 ( 135470 219470 ) L1M1_PR_MR
NEW met1 ( 117070 219470 ) M1M2_PR
NEW li1 ( 139610 218790 ) L1M1_PR_MR
NEW li1 ( 135010 218790 ) L1M1_PR_MR
NEW li1 ( 135010 219470 ) L1M1_PR_MR
NEW met1 ( 117070 113730 ) M1M2_PR
NEW met2 ( 212750 80070 ) RECT ( -70 -485 70 0 )
NEW met1 ( 114310 232730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 117070 219470 ) RECT ( -70 -485 70 0 )
NEW met1 ( 135010 219470 ) RECT ( -595 -70 0 70 )
NEW met1 ( 117070 113730 ) RECT ( -595 -70 0 70 ) ;
- net22 ( ANTENNA__232__B1 DIODE ) ( input22 X ) ( _232_ B1 ) + USE SIGNAL
+ ROUTED li1 ( 155250 7650 ) ( * 9350 )
NEW met1 ( 123510 7650 ) ( 155250 * )
NEW met1 ( 15410 140590 ) ( 17710 * )
NEW met1 ( 17710 141950 ) ( 19090 * )
NEW met2 ( 17710 140590 ) ( * 141950 )
NEW met2 ( 123050 62100 ) ( * 72590 )
NEW met2 ( 123050 62100 ) ( 123510 * )
NEW met2 ( 123510 7650 ) ( * 62100 )
NEW met2 ( 220570 9350 ) ( * 11730 )
NEW met1 ( 220570 11730 ) ( 227010 * )
NEW met1 ( 155250 9350 ) ( 220570 * )
NEW met1 ( 17710 86190 ) ( 32890 * )
NEW met2 ( 32890 72590 ) ( * 86190 )
NEW met2 ( 17710 86190 ) ( * 140590 )
NEW met1 ( 32890 72590 ) ( 123050 * )
NEW met1 ( 123510 7650 ) M1M2_PR
NEW li1 ( 155250 7650 ) L1M1_PR_MR
NEW li1 ( 155250 9350 ) L1M1_PR_MR
NEW met1 ( 123050 72590 ) M1M2_PR
NEW li1 ( 15410 140590 ) L1M1_PR_MR
NEW met1 ( 17710 140590 ) M1M2_PR
NEW li1 ( 19090 141950 ) L1M1_PR_MR
NEW met1 ( 17710 141950 ) M1M2_PR
NEW met1 ( 220570 9350 ) M1M2_PR
NEW met1 ( 220570 11730 ) M1M2_PR
NEW li1 ( 227010 11730 ) L1M1_PR_MR
NEW met1 ( 17710 86190 ) M1M2_PR
NEW met1 ( 32890 86190 ) M1M2_PR
NEW met1 ( 32890 72590 ) M1M2_PR ;
- net220 ( ANTENNA__339__A DIODE ) ( ANTENNA__181__A1 DIODE ) ( ANTENNA_output220_A DIODE ) ( output220 A ) ( _181_ A1 ) ( _339_ A ) ( _444_ Q ) + USE SIGNAL
+ ROUTED met1 ( 9430 61030 ) ( * 61370 )
NEW met2 ( 195270 119170 ) ( * 128350 )
NEW met1 ( 170430 119170 ) ( 195270 * )
NEW met1 ( 170430 118830 ) ( * 119170 )
NEW met1 ( 163530 118830 ) ( 170430 * )
NEW met1 ( 163530 118490 ) ( * 118830 )
NEW met1 ( 195270 129370 ) ( 198030 * )
NEW met2 ( 195270 128350 ) ( * 129370 )
NEW met1 ( 9430 61370 ) ( 13800 * )
NEW met1 ( 18630 62050 ) ( 19090 * )
NEW met1 ( 13800 61370 ) ( * 62050 )
NEW met1 ( 13800 62050 ) ( 18630 * )
NEW met1 ( 16330 123930 ) ( 18630 * )
NEW met2 ( 61410 117810 ) ( * 119170 )
NEW met1 ( 55430 117810 ) ( 61410 * )
NEW met1 ( 55430 117470 ) ( * 117810 )
NEW met1 ( 18630 117470 ) ( 55430 * )
NEW met2 ( 18630 62050 ) ( * 123930 )
NEW met1 ( 14030 223550 ) ( 16330 * )
NEW met2 ( 14030 223550 ) ( * 229670 )
NEW met1 ( 12190 229670 ) ( 14030 * )
NEW met2 ( 16330 123930 ) ( * 223550 )
NEW met1 ( 158700 118490 ) ( 163530 * )
NEW met1 ( 158700 118490 ) ( * 118830 )
NEW met1 ( 91770 118830 ) ( * 119170 )
NEW met1 ( 61410 119170 ) ( 91770 * )
NEW met1 ( 91770 118830 ) ( 158700 * )
NEW li1 ( 9430 61030 ) L1M1_PR_MR
NEW li1 ( 12190 229670 ) L1M1_PR_MR
NEW li1 ( 195270 128350 ) L1M1_PR_MR
NEW met1 ( 195270 128350 ) M1M2_PR
NEW met1 ( 195270 119170 ) M1M2_PR
NEW li1 ( 198030 129370 ) L1M1_PR_MR
NEW met1 ( 195270 129370 ) M1M2_PR
NEW met1 ( 18630 62050 ) M1M2_PR
NEW li1 ( 19090 62050 ) L1M1_PR_MR
NEW met1 ( 18630 123930 ) M1M2_PR
NEW met1 ( 16330 123930 ) M1M2_PR
NEW met1 ( 61410 119170 ) M1M2_PR
NEW met1 ( 61410 117810 ) M1M2_PR
NEW met1 ( 18630 117470 ) M1M2_PR
NEW li1 ( 14030 223550 ) L1M1_PR_MR
NEW met1 ( 16330 223550 ) M1M2_PR
NEW met1 ( 14030 229670 ) M1M2_PR
NEW met1 ( 14030 223550 ) M1M2_PR
NEW li1 ( 91770 118830 ) L1M1_PR_MR
NEW met1 ( 195270 128350 ) RECT ( -355 -70 0 70 )
NEW met2 ( 18630 117470 ) RECT ( -70 -485 70 0 )
NEW met1 ( 14030 223550 ) RECT ( 0 -70 595 70 ) ;
- net221 ( ANTENNA__358__A DIODE ) ( ANTENNA__153__A1 DIODE ) ( ANTENNA_output221_A DIODE ) ( output221 A ) ( _153_ A1 ) ( _358_ A ) ( _463_ Q ) + USE SIGNAL
+ ROUTED met2 ( 166750 222190 ) ( * 225250 )
NEW met1 ( 22770 113730 ) ( 28750 * )
NEW met1 ( 20010 113050 ) ( 22770 * )
NEW met1 ( 22770 113050 ) ( * 113730 )
NEW met1 ( 35650 224230 ) ( 39330 * )
NEW met1 ( 39330 224230 ) ( * 225250 )
NEW met1 ( 28750 223550 ) ( * 224230 )
NEW met1 ( 28750 223550 ) ( 35650 * )
NEW met1 ( 35650 223550 ) ( * 224230 )
NEW met2 ( 28750 113730 ) ( * 223550 )
NEW met2 ( 118450 225250 ) ( * 226270 )
NEW met1 ( 118910 232730 ) ( 119370 * )
NEW met2 ( 118910 229500 ) ( * 232730 )
NEW met2 ( 118450 229500 ) ( 118910 * )
NEW met2 ( 118450 226270 ) ( * 229500 )
NEW met1 ( 39330 225250 ) ( 118450 * )
NEW met1 ( 118450 225250 ) ( 166750 * )
NEW met1 ( 166750 225250 ) M1M2_PR
NEW li1 ( 166750 222190 ) L1M1_PR_MR
NEW met1 ( 166750 222190 ) M1M2_PR
NEW li1 ( 22770 113730 ) L1M1_PR_MR
NEW met1 ( 28750 113730 ) M1M2_PR
NEW li1 ( 20010 113050 ) L1M1_PR_MR
NEW li1 ( 35650 224230 ) L1M1_PR_MR
NEW li1 ( 28750 224230 ) L1M1_PR_MR
NEW met1 ( 28750 223550 ) M1M2_PR
NEW li1 ( 118450 226270 ) L1M1_PR_MR
NEW met1 ( 118450 226270 ) M1M2_PR
NEW met1 ( 118450 225250 ) M1M2_PR
NEW li1 ( 119370 232730 ) L1M1_PR_MR
NEW met1 ( 118910 232730 ) M1M2_PR
NEW met1 ( 166750 222190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28750 223550 ) RECT ( 0 -70 595 70 )
NEW met1 ( 118450 226270 ) RECT ( -355 -70 0 70 ) ;
- net222 ( ANTENNA__359__A DIODE ) ( ANTENNA__152__A1 DIODE ) ( ANTENNA_output222_A DIODE ) ( output222 A ) ( _152_ A1 ) ( _359_ A ) ( _464_ Q ) + USE SIGNAL
+ ROUTED met1 ( 68310 102170 ) ( 74290 * )
NEW met1 ( 64630 102170 ) ( 68310 * )
NEW met1 ( 105110 204510 ) ( 126270 * )
NEW met1 ( 124890 232730 ) ( 126270 * )
NEW met2 ( 126270 223550 ) ( * 232730 )
NEW met2 ( 126270 204510 ) ( * 223550 )
NEW met1 ( 77970 102170 ) ( 105110 * )
NEW met1 ( 74290 102170 ) ( 77970 * )
NEW met2 ( 105110 102170 ) ( * 204510 )
NEW li1 ( 74290 102170 ) L1M1_PR_MR
NEW li1 ( 68310 102170 ) L1M1_PR_MR
NEW li1 ( 64630 102170 ) L1M1_PR_MR
NEW li1 ( 105110 204510 ) L1M1_PR_MR
NEW met1 ( 105110 204510 ) M1M2_PR
NEW met1 ( 126270 204510 ) M1M2_PR
NEW li1 ( 126270 223550 ) L1M1_PR_MR
NEW met1 ( 126270 223550 ) M1M2_PR
NEW li1 ( 124890 232730 ) L1M1_PR_MR
NEW met1 ( 126270 232730 ) M1M2_PR
NEW li1 ( 77970 102170 ) L1M1_PR_MR
NEW met1 ( 105110 102170 ) M1M2_PR
NEW met1 ( 105110 204510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126270 223550 ) RECT ( -355 -70 0 70 ) ;
- net223 ( ANTENNA__360__A DIODE ) ( ANTENNA__150__A1 DIODE ) ( ANTENNA_output223_A DIODE ) ( output223 A ) ( _150_ A1 ) ( _360_ A ) ( _465_ Q ) + USE SIGNAL
+ ROUTED met1 ( 147430 42330 ) ( 150190 * )
NEW met1 ( 147430 41650 ) ( * 42330 )
NEW met1 ( 135470 41650 ) ( 147430 * )
NEW met2 ( 135470 33150 ) ( * 41650 )
NEW met1 ( 150190 42330 ) ( 152950 * )
NEW met1 ( 152950 41990 ) ( * 42330 )
NEW met1 ( 128570 43010 ) ( 129950 * )
NEW met2 ( 128570 33150 ) ( * 43010 )
NEW met1 ( 83030 33150 ) ( 135470 * )
NEW met1 ( 208610 55250 ) ( 209530 * )
NEW met2 ( 208610 41990 ) ( * 55250 )
NEW met1 ( 215050 58310 ) ( * 58650 )
NEW met1 ( 208610 58310 ) ( 215050 * )
NEW met2 ( 208610 55250 ) ( * 58310 )
NEW met1 ( 152950 41990 ) ( 208610 * )
NEW met2 ( 131330 235110 ) ( 132250 * )
NEW met2 ( 131330 223550 ) ( * 235110 )
NEW met1 ( 129950 223550 ) ( 131330 * )
NEW met2 ( 129950 43010 ) ( * 223550 )
NEW li1 ( 83030 33150 ) L1M1_PR_MR
NEW li1 ( 150190 42330 ) L1M1_PR_MR
NEW met1 ( 135470 41650 ) M1M2_PR
NEW met1 ( 135470 33150 ) M1M2_PR
NEW li1 ( 152950 42330 ) L1M1_PR_MR
NEW met1 ( 129950 43010 ) M1M2_PR
NEW met1 ( 128570 43010 ) M1M2_PR
NEW met1 ( 128570 33150 ) M1M2_PR
NEW li1 ( 209530 55250 ) L1M1_PR_MR
NEW met1 ( 208610 55250 ) M1M2_PR
NEW met1 ( 208610 41990 ) M1M2_PR
NEW li1 ( 215050 58650 ) L1M1_PR_MR
NEW met1 ( 208610 58310 ) M1M2_PR
NEW li1 ( 129950 223550 ) L1M1_PR_MR
NEW met1 ( 129950 223550 ) M1M2_PR
NEW li1 ( 132250 235110 ) L1M1_PR_MR
NEW met1 ( 132250 235110 ) M1M2_PR
NEW met1 ( 131330 223550 ) M1M2_PR
NEW met1 ( 128570 33150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 129950 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 132250 235110 ) RECT ( 0 -70 355 70 ) ;
- net224 ( ANTENNA__361__A DIODE ) ( ANTENNA__147__A1 DIODE ) ( ANTENNA_output224_A DIODE ) ( output224 A ) ( _147_ A1 ) ( _361_ A ) ( _466_ Q ) + USE SIGNAL
+ ROUTED met1 ( 236670 180710 ) ( 238970 * )
NEW met2 ( 236210 171700 ) ( 236670 * )
NEW met2 ( 236210 131100 ) ( * 171700 )
NEW met2 ( 235750 131100 ) ( 236210 * )
NEW met1 ( 236670 177310 ) ( 238050 * )
NEW met2 ( 236670 171700 ) ( * 186150 )
NEW met1 ( 24150 162350 ) ( 31510 * )
NEW met1 ( 31510 161670 ) ( * 162350 )
NEW met1 ( 31510 161670 ) ( 62100 * )
NEW met1 ( 62100 161330 ) ( * 161670 )
NEW met1 ( 16330 162010 ) ( * 162350 )
NEW met1 ( 16330 162350 ) ( 24150 * )
NEW met2 ( 146050 161330 ) ( * 186150 )
NEW met1 ( 62100 161330 ) ( 146050 * )
NEW met1 ( 146050 186150 ) ( 236670 * )
NEW met1 ( 235290 67490 ) ( 235750 * )
NEW met2 ( 235750 67490 ) ( * 131100 )
NEW met1 ( 143750 235110 ) ( 146050 * )
NEW met2 ( 146050 226270 ) ( * 235110 )
NEW met2 ( 146050 186150 ) ( * 226270 )
NEW met1 ( 236670 186150 ) M1M2_PR
NEW li1 ( 238970 180710 ) L1M1_PR_MR
NEW met1 ( 236670 180710 ) M1M2_PR
NEW li1 ( 238050 177310 ) L1M1_PR_MR
NEW met1 ( 236670 177310 ) M1M2_PR
NEW li1 ( 24150 162350 ) L1M1_PR_MR
NEW li1 ( 16330 162010 ) L1M1_PR_MR
NEW met1 ( 146050 186150 ) M1M2_PR
NEW met1 ( 146050 161330 ) M1M2_PR
NEW li1 ( 235290 67490 ) L1M1_PR_MR
NEW met1 ( 235750 67490 ) M1M2_PR
NEW li1 ( 146050 226270 ) L1M1_PR_MR
NEW met1 ( 146050 226270 ) M1M2_PR
NEW li1 ( 143750 235110 ) L1M1_PR_MR
NEW met1 ( 146050 235110 ) M1M2_PR
NEW met2 ( 236670 180710 ) RECT ( -70 -485 70 0 )
NEW met2 ( 236670 177310 ) RECT ( -70 -485 70 0 )
NEW met1 ( 146050 226270 ) RECT ( -355 -70 0 70 ) ;
- net225 ( ANTENNA__362__A DIODE ) ( ANTENNA__146__A1 DIODE ) ( ANTENNA_output225_A DIODE ) ( output225 A ) ( _146_ A1 ) ( _362_ A ) ( _467_ Q ) + USE SIGNAL
+ ROUTED met1 ( 50370 77350 ) ( 54050 * )
NEW met1 ( 145130 170850 ) ( 145590 * )
NEW met2 ( 145590 170850 ) ( * 172550 )
NEW met1 ( 140530 172550 ) ( 145590 * )
NEW met1 ( 145590 169830 ) ( 148810 * )
NEW met2 ( 145590 169830 ) ( * 170850 )
NEW met1 ( 104190 174590 ) ( 140530 * )
NEW met1 ( 140530 232730 ) ( 142830 * )
NEW met2 ( 140530 223550 ) ( * 232730 )
NEW met2 ( 140530 172550 ) ( * 223550 )
NEW met2 ( 104190 124200 ) ( * 174590 )
NEW met2 ( 105110 77350 ) ( * 88740 )
NEW met2 ( 104650 88740 ) ( 105110 * )
NEW met2 ( 104650 88740 ) ( * 124200 )
NEW met2 ( 104190 124200 ) ( 104650 * )
NEW met1 ( 54050 77350 ) ( 105110 * )
NEW li1 ( 104190 174590 ) L1M1_PR_MR
NEW met1 ( 104190 174590 ) M1M2_PR
NEW li1 ( 54050 77350 ) L1M1_PR_MR
NEW li1 ( 50370 77350 ) L1M1_PR_MR
NEW li1 ( 145130 170850 ) L1M1_PR_MR
NEW met1 ( 145590 170850 ) M1M2_PR
NEW met1 ( 145590 172550 ) M1M2_PR
NEW met1 ( 140530 172550 ) M1M2_PR
NEW li1 ( 148810 169830 ) L1M1_PR_MR
NEW met1 ( 145590 169830 ) M1M2_PR
NEW met1 ( 140530 174590 ) M1M2_PR
NEW li1 ( 140530 223550 ) L1M1_PR_MR
NEW met1 ( 140530 223550 ) M1M2_PR
NEW li1 ( 142830 232730 ) L1M1_PR_MR
NEW met1 ( 140530 232730 ) M1M2_PR
NEW met1 ( 105110 77350 ) M1M2_PR
NEW met1 ( 104190 174590 ) RECT ( -355 -70 0 70 )
NEW met2 ( 140530 174590 ) RECT ( -70 -485 70 0 )
NEW met1 ( 140530 223550 ) RECT ( -355 -70 0 70 ) ;
- net226 ( ANTENNA__363__A DIODE ) ( ANTENNA__145__A1 DIODE ) ( ANTENNA_output226_A DIODE ) ( output226 A ) ( _145_ A1 ) ( _363_ A ) ( _468_ Q ) + USE SIGNAL
+ ROUTED met1 ( 128570 165410 ) ( 149270 * )
NEW met2 ( 124890 164390 ) ( * 165410 )
NEW met1 ( 124890 165410 ) ( 128570 * )
NEW met1 ( 219650 96730 ) ( 224250 * )
NEW met1 ( 219650 96390 ) ( * 96730 )
NEW met1 ( 218270 96390 ) ( 219650 * )
NEW met1 ( 218270 96390 ) ( * 96730 )
NEW met1 ( 224250 96730 ) ( 226550 * )
NEW met1 ( 225630 86530 ) ( 230690 * )
NEW met2 ( 225630 86530 ) ( * 96730 )
NEW met1 ( 124890 96730 ) ( 218270 * )
NEW met2 ( 124890 96730 ) ( * 164390 )
NEW met1 ( 147430 232730 ) ( 149270 * )
NEW met2 ( 149270 226270 ) ( * 232730 )
NEW met2 ( 149270 165410 ) ( * 226270 )
NEW met1 ( 124890 96730 ) M1M2_PR
NEW li1 ( 128570 165410 ) L1M1_PR_MR
NEW met1 ( 149270 165410 ) M1M2_PR
NEW li1 ( 124890 164390 ) L1M1_PR_MR
NEW met1 ( 124890 164390 ) M1M2_PR
NEW met1 ( 124890 165410 ) M1M2_PR
NEW li1 ( 224250 96730 ) L1M1_PR_MR
NEW li1 ( 226550 96730 ) L1M1_PR_MR
NEW li1 ( 230690 86530 ) L1M1_PR_MR
NEW met1 ( 225630 86530 ) M1M2_PR
NEW met1 ( 225630 96730 ) M1M2_PR
NEW li1 ( 149270 226270 ) L1M1_PR_MR
NEW met1 ( 149270 226270 ) M1M2_PR
NEW li1 ( 147430 232730 ) L1M1_PR_MR
NEW met1 ( 149270 232730 ) M1M2_PR
NEW met1 ( 124890 164390 ) RECT ( 0 -70 355 70 )
NEW met1 ( 225630 96730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 149270 226270 ) RECT ( -355 -70 0 70 ) ;
- net227 ( ANTENNA__364__A DIODE ) ( ANTENNA__144__A1 DIODE ) ( ANTENNA_output227_A DIODE ) ( output227 A ) ( _144_ A1 ) ( _364_ A ) ( _469_ Q ) + USE SIGNAL
+ ROUTED met1 ( 99130 140930 ) ( 100050 * )
NEW met2 ( 100050 140930 ) ( * 141950 )
NEW met1 ( 100050 141950 ) ( 101890 * )
NEW met1 ( 101890 141950 ) ( * 142290 )
NEW met2 ( 101890 141950 ) ( * 216410 )
NEW met1 ( 35650 216410 ) ( 38870 * )
NEW met1 ( 38870 216410 ) ( 101890 * )
NEW met1 ( 101890 142290 ) ( 152030 * )
NEW met2 ( 129490 9010 ) ( * 142290 )
NEW met1 ( 152030 232730 ) ( 152950 * )
NEW met2 ( 152030 226270 ) ( * 232730 )
NEW met2 ( 152030 142290 ) ( * 226270 )
NEW met1 ( 212750 15470 ) ( 238510 * )
NEW met2 ( 238510 15470 ) ( * 17510 )
NEW met2 ( 209070 9010 ) ( * 15470 )
NEW met1 ( 209070 15470 ) ( 212750 * )
NEW met1 ( 129490 9010 ) ( 209070 * )
NEW met1 ( 129490 9010 ) M1M2_PR
NEW li1 ( 99130 140930 ) L1M1_PR_MR
NEW met1 ( 100050 140930 ) M1M2_PR
NEW met1 ( 100050 141950 ) M1M2_PR
NEW met1 ( 101890 141950 ) M1M2_PR
NEW met1 ( 101890 216410 ) M1M2_PR
NEW li1 ( 38870 216410 ) L1M1_PR_MR
NEW li1 ( 35650 216410 ) L1M1_PR_MR
NEW met1 ( 152030 142290 ) M1M2_PR
NEW met1 ( 129490 142290 ) M1M2_PR
NEW li1 ( 152030 226270 ) L1M1_PR_MR
NEW met1 ( 152030 226270 ) M1M2_PR
NEW li1 ( 152950 232730 ) L1M1_PR_MR
NEW met1 ( 152030 232730 ) M1M2_PR
NEW li1 ( 212750 15470 ) L1M1_PR_MR
NEW met1 ( 238510 15470 ) M1M2_PR
NEW li1 ( 238510 17510 ) L1M1_PR_MR
NEW met1 ( 238510 17510 ) M1M2_PR
NEW met1 ( 209070 9010 ) M1M2_PR
NEW met1 ( 209070 15470 ) M1M2_PR
NEW met1 ( 129490 142290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 152030 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 238510 17510 ) RECT ( -355 -70 0 70 ) ;
- net228 ( ANTENNA__365__A DIODE ) ( ANTENNA__141__A1 DIODE ) ( ANTENNA_output228_A DIODE ) ( output228 A ) ( _141_ A1 ) ( _365_ A ) ( _470_ Q ) + USE SIGNAL
+ ROUTED met2 ( 161230 62100 ) ( 161690 * )
NEW met2 ( 161230 62100 ) ( * 110400 )
NEW met2 ( 161230 110400 ) ( 161690 * )
NEW met1 ( 161690 228990 ) ( 162610 * )
NEW met1 ( 161690 232730 ) ( 162150 * )
NEW met2 ( 161690 228990 ) ( * 232730 )
NEW met2 ( 161690 110400 ) ( * 228990 )
NEW met1 ( 119830 57630 ) ( 121670 * )
NEW met2 ( 121670 36890 ) ( * 57630 )
NEW met1 ( 161690 39270 ) ( 178710 * )
NEW met2 ( 181470 34850 ) ( * 39270 )
NEW met1 ( 178710 39270 ) ( 181470 * )
NEW met1 ( 121670 36890 ) ( 161690 * )
NEW met2 ( 161690 36890 ) ( * 62100 )
NEW met1 ( 193200 33830 ) ( 193375 * )
NEW met1 ( 193200 33830 ) ( * 33840 )
NEW met1 ( 192510 33840 ) ( 193200 * )
NEW met1 ( 192510 33830 ) ( * 33840 )
NEW met1 ( 188830 33830 ) ( 192510 * )
NEW met1 ( 188830 33830 ) ( * 34850 )
NEW met1 ( 181470 34850 ) ( 188830 * )
NEW met1 ( 119830 90270 ) ( 120290 * )
NEW met1 ( 117070 91290 ) ( 119830 * )
NEW met2 ( 119830 90270 ) ( * 91290 )
NEW met2 ( 119830 57630 ) ( * 90270 )
NEW li1 ( 162610 228990 ) L1M1_PR_MR
NEW met1 ( 161690 228990 ) M1M2_PR
NEW li1 ( 162150 232730 ) L1M1_PR_MR
NEW met1 ( 161690 232730 ) M1M2_PR
NEW met1 ( 119830 57630 ) M1M2_PR
NEW met1 ( 121670 57630 ) M1M2_PR
NEW met1 ( 121670 36890 ) M1M2_PR
NEW met1 ( 161690 36890 ) M1M2_PR
NEW li1 ( 178710 39270 ) L1M1_PR_MR
NEW met1 ( 161690 39270 ) M1M2_PR
NEW met1 ( 181470 34850 ) M1M2_PR
NEW met1 ( 181470 39270 ) M1M2_PR
NEW li1 ( 188830 34850 ) L1M1_PR_MR
NEW li1 ( 193375 33830 ) L1M1_PR_MR
NEW li1 ( 120290 90270 ) L1M1_PR_MR
NEW met1 ( 119830 90270 ) M1M2_PR
NEW li1 ( 117070 91290 ) L1M1_PR_MR
NEW met1 ( 119830 91290 ) M1M2_PR
NEW met2 ( 161690 39270 ) RECT ( -70 -485 70 0 ) ;
- net229 ( ANTENNA__366__A DIODE ) ( ANTENNA__137__A1 DIODE ) ( ANTENNA_output229_A DIODE ) ( output229 A ) ( _137_ A1 ) ( _366_ A ) ( _471_ Q ) + USE SIGNAL
+ ROUTED met2 ( 89930 18530 ) ( * 27710 )
NEW met1 ( 89930 27710 ) ( 108330 * )
NEW met1 ( 88090 15130 ) ( 89930 * )
NEW met2 ( 89930 15130 ) ( * 18530 )
NEW met1 ( 103730 137870 ) ( 105570 * )
NEW met2 ( 103730 137870 ) ( * 146030 )
NEW met1 ( 165830 228990 ) ( 166290 * )
NEW met1 ( 165830 232730 ) ( 166290 * )
NEW met2 ( 166290 228990 ) ( * 232730 )
NEW met2 ( 166290 137530 ) ( * 228990 )
NEW met1 ( 54050 145690 ) ( 58190 * )
NEW met1 ( 58190 145690 ) ( * 146030 )
NEW met1 ( 58190 146030 ) ( 103730 * )
NEW met1 ( 105570 137870 ) ( 110400 * )
NEW met1 ( 110400 137530 ) ( * 137870 )
NEW met1 ( 110400 137530 ) ( 166290 * )
NEW met2 ( 108330 27710 ) ( * 137870 )
NEW li1 ( 89930 18530 ) L1M1_PR_MR
NEW met1 ( 89930 18530 ) M1M2_PR
NEW met1 ( 89930 27710 ) M1M2_PR
NEW met1 ( 108330 27710 ) M1M2_PR
NEW li1 ( 88090 15130 ) L1M1_PR_MR
NEW met1 ( 89930 15130 ) M1M2_PR
NEW li1 ( 105570 137870 ) L1M1_PR_MR
NEW met1 ( 103730 137870 ) M1M2_PR
NEW met1 ( 103730 146030 ) M1M2_PR
NEW met1 ( 108330 137870 ) M1M2_PR
NEW met1 ( 166290 137530 ) M1M2_PR
NEW li1 ( 165830 228990 ) L1M1_PR_MR
NEW met1 ( 166290 228990 ) M1M2_PR
NEW li1 ( 165830 232730 ) L1M1_PR_MR
NEW met1 ( 166290 232730 ) M1M2_PR
NEW li1 ( 58190 146030 ) L1M1_PR_MR
NEW li1 ( 54050 145690 ) L1M1_PR_MR
NEW met1 ( 89930 18530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 108330 137870 ) RECT ( -595 -70 0 70 ) ;
- net23 ( ANTENNA__195__B1 DIODE ) ( input23 X ) ( _195_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 204010 29070 ) ( * 29580 )
NEW met3 ( 204010 29580 ) ( 239430 * )
NEW met2 ( 239430 29410 ) ( * 29580 )
NEW met1 ( 94530 29070 ) ( 204010 * )
NEW met1 ( 94070 57630 ) ( 94530 * )
NEW met1 ( 86710 55250 ) ( 87170 * )
NEW met2 ( 87170 55250 ) ( * 57630 )
NEW met1 ( 87170 57630 ) ( 94070 * )
NEW met2 ( 94530 29070 ) ( * 57630 )
NEW met1 ( 94530 29070 ) M1M2_PR
NEW met1 ( 204010 29070 ) M1M2_PR
NEW met2 ( 204010 29580 ) M2M3_PR_M
NEW met2 ( 239430 29580 ) M2M3_PR_M
NEW li1 ( 239430 29410 ) L1M1_PR_MR
NEW met1 ( 239430 29410 ) M1M2_PR
NEW li1 ( 94070 57630 ) L1M1_PR_MR
NEW met1 ( 94530 57630 ) M1M2_PR
NEW li1 ( 86710 55250 ) L1M1_PR_MR
NEW met1 ( 87170 55250 ) M1M2_PR
NEW met1 ( 87170 57630 ) M1M2_PR
NEW met1 ( 239430 29410 ) RECT ( -355 -70 0 70 ) ;
- net230 ( ANTENNA__367__A DIODE ) ( ANTENNA__136__A1 DIODE ) ( ANTENNA_output230_A DIODE ) ( output230 A ) ( _136_ A1 ) ( _367_ A ) ( _472_ Q ) + USE SIGNAL
+ ROUTED met1 ( 77970 129710 ) ( 78430 * )
NEW met1 ( 78890 126990 ) ( 79350 * )
NEW met2 ( 78890 126990 ) ( * 128860 )
NEW met2 ( 78430 128860 ) ( 78890 * )
NEW met2 ( 78430 128860 ) ( * 129710 )
NEW met1 ( 138230 209950 ) ( * 210290 )
NEW met1 ( 121210 210290 ) ( 138230 * )
NEW met1 ( 121210 209950 ) ( * 210290 )
NEW met1 ( 116610 209950 ) ( 121210 * )
NEW met1 ( 116610 209950 ) ( * 211310 )
NEW met1 ( 66930 230350 ) ( 70610 * )
NEW met2 ( 66930 230350 ) ( * 235110 )
NEW met1 ( 70610 230350 ) ( 78430 * )
NEW met2 ( 78430 129710 ) ( * 230350 )
NEW met1 ( 78430 211310 ) ( 116610 * )
NEW met1 ( 168130 227970 ) ( 168590 * )
NEW met2 ( 168590 227970 ) ( * 232730 )
NEW met1 ( 146510 211310 ) ( 168590 * )
NEW met2 ( 168590 211310 ) ( * 227970 )
NEW met2 ( 145590 209950 ) ( * 211310 )
NEW met1 ( 145590 211310 ) ( 146510 * )
NEW met1 ( 138230 209950 ) ( 145590 * )
NEW li1 ( 77970 129710 ) L1M1_PR_MR
NEW met1 ( 78430 129710 ) M1M2_PR
NEW li1 ( 79350 126990 ) L1M1_PR_MR
NEW met1 ( 78890 126990 ) M1M2_PR
NEW li1 ( 70610 230350 ) L1M1_PR_MR
NEW met1 ( 66930 230350 ) M1M2_PR
NEW li1 ( 66930 235110 ) L1M1_PR_MR
NEW met1 ( 66930 235110 ) M1M2_PR
NEW met1 ( 78430 230350 ) M1M2_PR
NEW met1 ( 78430 211310 ) M1M2_PR
NEW li1 ( 168130 227970 ) L1M1_PR_MR
NEW met1 ( 168590 227970 ) M1M2_PR
NEW li1 ( 168590 232730 ) L1M1_PR_MR
NEW met1 ( 168590 232730 ) M1M2_PR
NEW li1 ( 146510 211310 ) L1M1_PR_MR
NEW met1 ( 168590 211310 ) M1M2_PR
NEW met1 ( 145590 209950 ) M1M2_PR
NEW met1 ( 145590 211310 ) M1M2_PR
NEW met1 ( 66930 235110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 78430 211310 ) RECT ( -70 -485 70 0 )
NEW met1 ( 168590 232730 ) RECT ( -355 -70 0 70 ) ;
- net231 ( ANTENNA__340__A DIODE ) ( ANTENNA__180__A1 DIODE ) ( ANTENNA_output231_A DIODE ) ( output231 A ) ( _180_ A1 ) ( _340_ A ) ( _445_ Q ) + USE SIGNAL
+ ROUTED met1 ( 159850 103870 ) ( * 104210 )
NEW met2 ( 64630 31620 ) ( * 31790 )
NEW met3 ( 64630 31620 ) ( 88550 * )
NEW met2 ( 88550 31450 ) ( * 31620 )
NEW met1 ( 63250 37230 ) ( 64630 * )
NEW met2 ( 63250 31790 ) ( * 37230 )
NEW met1 ( 63250 31790 ) ( 64630 * )
NEW met2 ( 64170 133620 ) ( * 142630 )
NEW met2 ( 64170 133620 ) ( 64630 * )
NEW met2 ( 64630 37230 ) ( * 133620 )
NEW met1 ( 149270 74970 ) ( 154790 * )
NEW met2 ( 149270 73950 ) ( * 74970 )
NEW met1 ( 149270 103870 ) ( 156170 * )
NEW met2 ( 149270 74970 ) ( * 103870 )
NEW met1 ( 156170 103870 ) ( 159850 * )
NEW met1 ( 48070 142630 ) ( 64170 * )
NEW met1 ( 22770 226610 ) ( 36570 * )
NEW met1 ( 36570 226270 ) ( * 226610 )
NEW met1 ( 36570 226270 ) ( 48070 * )
NEW met1 ( 22310 232730 ) ( 24150 * )
NEW met2 ( 24150 226610 ) ( * 232730 )
NEW met2 ( 48070 142630 ) ( * 226270 )
NEW met2 ( 149270 62100 ) ( * 73950 )
NEW met1 ( 129950 31110 ) ( * 31450 )
NEW met1 ( 129950 31110 ) ( 146050 * )
NEW met2 ( 146050 31110 ) ( * 55930 )
NEW met1 ( 146050 55930 ) ( 149730 * )
NEW met2 ( 149730 55930 ) ( * 62100 )
NEW met2 ( 149270 62100 ) ( 149730 * )
NEW met1 ( 88550 31450 ) ( 129950 * )
NEW li1 ( 159850 104210 ) L1M1_PR_MR
NEW li1 ( 64630 31790 ) L1M1_PR_MR
NEW met1 ( 64630 31790 ) M1M2_PR
NEW met2 ( 64630 31620 ) M2M3_PR_M
NEW met2 ( 88550 31620 ) M2M3_PR_M
NEW met1 ( 88550 31450 ) M1M2_PR
NEW met1 ( 64630 37230 ) M1M2_PR
NEW met1 ( 63250 37230 ) M1M2_PR
NEW met1 ( 63250 31790 ) M1M2_PR
NEW met1 ( 64170 142630 ) M1M2_PR
NEW li1 ( 149270 73950 ) L1M1_PR_MR
NEW met1 ( 149270 73950 ) M1M2_PR
NEW li1 ( 154790 74970 ) L1M1_PR_MR
NEW met1 ( 149270 74970 ) M1M2_PR
NEW li1 ( 156170 103870 ) L1M1_PR_MR
NEW met1 ( 149270 103870 ) M1M2_PR
NEW met1 ( 48070 142630 ) M1M2_PR
NEW li1 ( 22770 226610 ) L1M1_PR_MR
NEW met1 ( 48070 226270 ) M1M2_PR
NEW li1 ( 22310 232730 ) L1M1_PR_MR
NEW met1 ( 24150 232730 ) M1M2_PR
NEW met1 ( 24150 226610 ) M1M2_PR
NEW met1 ( 146050 31110 ) M1M2_PR
NEW met1 ( 146050 55930 ) M1M2_PR
NEW met1 ( 149730 55930 ) M1M2_PR
NEW met1 ( 64630 31790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 149270 73950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24150 226610 ) RECT ( -595 -70 0 70 ) ;
- net232 ( ANTENNA__368__A DIODE ) ( ANTENNA__135__A1 DIODE ) ( ANTENNA_output232_A DIODE ) ( output232 A ) ( _135_ A1 ) ( _368_ A ) ( _473_ Q ) + USE SIGNAL
+ ROUTED met2 ( 238970 146030 ) ( * 147730 )
NEW met1 ( 237590 147730 ) ( 238970 * )
NEW met2 ( 65550 99620 ) ( 66010 * )
NEW met2 ( 66010 83810 ) ( * 99620 )
NEW met2 ( 65550 178500 ) ( 66470 * )
NEW met2 ( 65550 99620 ) ( * 178500 )
NEW met1 ( 172730 147730 ) ( 237590 * )
NEW met1 ( 172730 232730 ) ( 175490 * )
NEW met2 ( 172730 226270 ) ( * 232730 )
NEW met2 ( 172730 147730 ) ( * 226270 )
NEW met2 ( 144210 221510 ) ( * 224570 )
NEW met1 ( 144210 224570 ) ( 172730 * )
NEW met1 ( 126730 88570 ) ( 129950 * )
NEW met2 ( 126730 87550 ) ( * 88570 )
NEW met2 ( 66470 178500 ) ( * 223550 )
NEW met1 ( 66470 221510 ) ( 144210 * )
NEW met2 ( 111550 83810 ) ( * 87550 )
NEW met1 ( 66010 83810 ) ( 111550 * )
NEW met1 ( 111550 87550 ) ( 126730 * )
NEW li1 ( 237590 147730 ) L1M1_PR_MR
NEW li1 ( 238970 146030 ) L1M1_PR_MR
NEW met1 ( 238970 146030 ) M1M2_PR
NEW met1 ( 238970 147730 ) M1M2_PR
NEW met1 ( 66010 83810 ) M1M2_PR
NEW met1 ( 172730 147730 ) M1M2_PR
NEW li1 ( 172730 226270 ) L1M1_PR_MR
NEW met1 ( 172730 226270 ) M1M2_PR
NEW li1 ( 175490 232730 ) L1M1_PR_MR
NEW met1 ( 172730 232730 ) M1M2_PR
NEW met1 ( 172730 224570 ) M1M2_PR
NEW met1 ( 144210 221510 ) M1M2_PR
NEW met1 ( 144210 224570 ) M1M2_PR
NEW li1 ( 129950 88570 ) L1M1_PR_MR
NEW met1 ( 126730 88570 ) M1M2_PR
NEW met1 ( 126730 87550 ) M1M2_PR
NEW li1 ( 66470 223550 ) L1M1_PR_MR
NEW met1 ( 66470 223550 ) M1M2_PR
NEW met1 ( 66470 221510 ) M1M2_PR
NEW met1 ( 111550 87550 ) M1M2_PR
NEW met1 ( 111550 83810 ) M1M2_PR
NEW li1 ( 123510 87550 ) L1M1_PR_MR
NEW met1 ( 238970 146030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 172730 226270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 172730 224570 ) RECT ( -70 -485 70 0 )
NEW met1 ( 66470 223550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 66470 221510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 123510 87550 ) RECT ( -595 -70 0 70 ) ;
- net233 ( ANTENNA__369__A DIODE ) ( ANTENNA__134__A1 DIODE ) ( ANTENNA_output233_A DIODE ) ( output233 A ) ( _134_ A1 ) ( _369_ A ) ( _474_ Q ) + USE SIGNAL
+ ROUTED met1 ( 83030 142630 ) ( 87170 * )
NEW met1 ( 83030 142290 ) ( * 142630 )
NEW met2 ( 181010 216750 ) ( * 226270 )
NEW met2 ( 181470 226780 ) ( * 235110 )
NEW met2 ( 181010 226780 ) ( 181470 * )
NEW met2 ( 181010 226270 ) ( * 226780 )
NEW met1 ( 45310 179010 ) ( 46690 * )
NEW met2 ( 46690 142290 ) ( * 179010 )
NEW met1 ( 46690 142290 ) ( 83030 * )
NEW met1 ( 35650 218110 ) ( 45310 * )
NEW met2 ( 45310 216750 ) ( * 218110 )
NEW met1 ( 34270 221850 ) ( 35650 * )
NEW met2 ( 35650 218110 ) ( * 221850 )
NEW met2 ( 45310 179010 ) ( * 216750 )
NEW met1 ( 45310 216750 ) ( 181010 * )
NEW li1 ( 83030 142290 ) L1M1_PR_MR
NEW li1 ( 87170 142630 ) L1M1_PR_MR
NEW li1 ( 181010 226270 ) L1M1_PR_MR
NEW met1 ( 181010 226270 ) M1M2_PR
NEW met1 ( 181010 216750 ) M1M2_PR
NEW li1 ( 181470 235110 ) L1M1_PR_MR
NEW met1 ( 181470 235110 ) M1M2_PR
NEW li1 ( 45310 179010 ) L1M1_PR_MR
NEW met1 ( 45310 179010 ) M1M2_PR
NEW met1 ( 46690 179010 ) M1M2_PR
NEW met1 ( 46690 142290 ) M1M2_PR
NEW met1 ( 45310 216750 ) M1M2_PR
NEW li1 ( 35650 218110 ) L1M1_PR_MR
NEW met1 ( 45310 218110 ) M1M2_PR
NEW li1 ( 34270 221850 ) L1M1_PR_MR
NEW met1 ( 35650 221850 ) M1M2_PR
NEW met1 ( 35650 218110 ) M1M2_PR
NEW met1 ( 181010 226270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 181470 235110 ) RECT ( 0 -70 355 70 )
NEW met1 ( 45310 179010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35650 218110 ) RECT ( -595 -70 0 70 ) ;
- net234 ( ANTENNA_output234_A DIODE ) ( output234 A ) ( _333_ X ) + USE SIGNAL
+ ROUTED met1 ( 172730 150450 ) ( * 151130 )
NEW met1 ( 172730 151130 ) ( 186990 * )
NEW met1 ( 186530 232730 ) ( 186990 * )
NEW met2 ( 186990 226270 ) ( * 232730 )
NEW met2 ( 186990 151130 ) ( * 226270 )
NEW met1 ( 158700 150450 ) ( 172730 * )
NEW met1 ( 158700 150450 ) ( * 150790 )
NEW met1 ( 138230 150790 ) ( 158700 * )
NEW met1 ( 186990 151130 ) M1M2_PR
NEW li1 ( 186990 226270 ) L1M1_PR_MR
NEW met1 ( 186990 226270 ) M1M2_PR
NEW li1 ( 186530 232730 ) L1M1_PR_MR
NEW met1 ( 186990 232730 ) M1M2_PR
NEW li1 ( 138230 150790 ) L1M1_PR_MR
NEW met1 ( 186990 226270 ) RECT ( -355 -70 0 70 ) ;
- net235 ( ANTENNA_output235_A DIODE ) ( output235 A ) ( _334_ X ) + USE SIGNAL
+ ROUTED met1 ( 221950 130050 ) ( 227470 * )
NEW met1 ( 224250 203150 ) ( 227470 * )
NEW met2 ( 227470 130050 ) ( * 203150 )
NEW met2 ( 192510 229330 ) ( * 232730 )
NEW met1 ( 192510 229330 ) ( 193430 * )
NEW met2 ( 224250 203150 ) ( * 207000 )
NEW met1 ( 210910 229330 ) ( * 230350 )
NEW met1 ( 210910 230350 ) ( 219190 * )
NEW met1 ( 219190 230350 ) ( * 230690 )
NEW met1 ( 219190 230690 ) ( 223790 * )
NEW met2 ( 223790 207000 ) ( * 230690 )
NEW met2 ( 223790 207000 ) ( 224250 * )
NEW met1 ( 193430 229330 ) ( 210910 * )
NEW met1 ( 227470 130050 ) M1M2_PR
NEW li1 ( 221950 130050 ) L1M1_PR_MR
NEW met1 ( 224250 203150 ) M1M2_PR
NEW met1 ( 227470 203150 ) M1M2_PR
NEW li1 ( 193430 229330 ) L1M1_PR_MR
NEW li1 ( 192510 232730 ) L1M1_PR_MR
NEW met1 ( 192510 232730 ) M1M2_PR
NEW met1 ( 192510 229330 ) M1M2_PR
NEW met1 ( 223790 230690 ) M1M2_PR
NEW met1 ( 192510 232730 ) RECT ( -355 -70 0 70 ) ;
- net236 ( ANTENNA_output236_A DIODE ) ( output236 A ) ( _335_ X ) + USE SIGNAL
+ ROUTED met1 ( 194810 228990 ) ( 195730 * )
NEW met1 ( 194810 232730 ) ( 196650 * )
NEW met2 ( 194810 228990 ) ( * 232730 )
NEW met2 ( 194810 47940 ) ( * 228990 )
NEW met2 ( 36110 47430 ) ( * 47940 )
NEW met3 ( 36110 47940 ) ( 194810 * )
NEW met2 ( 194810 47940 ) M2M3_PR_M
NEW li1 ( 195730 228990 ) L1M1_PR_MR
NEW met1 ( 194810 228990 ) M1M2_PR
NEW li1 ( 196650 232730 ) L1M1_PR_MR
NEW met1 ( 194810 232730 ) M1M2_PR
NEW met2 ( 36110 47940 ) M2M3_PR_M
NEW li1 ( 36110 47430 ) L1M1_PR_MR
NEW met1 ( 36110 47430 ) M1M2_PR
NEW met1 ( 36110 47430 ) RECT ( -355 -70 0 70 ) ;
- net237 ( ANTENNA_output237_A DIODE ) ( output237 A ) ( _336_ X ) + USE SIGNAL
+ ROUTED met1 ( 200790 227630 ) ( 205850 * )
NEW met2 ( 203550 227630 ) ( * 232730 )
NEW met2 ( 200790 96390 ) ( * 227630 )
NEW met2 ( 46230 91970 ) ( * 96390 )
NEW met1 ( 124200 96390 ) ( 200790 * )
NEW met1 ( 82570 96050 ) ( * 96390 )
NEW met1 ( 82570 96050 ) ( 124200 * )
NEW met1 ( 124200 96050 ) ( * 96390 )
NEW met1 ( 46230 96390 ) ( 82570 * )
NEW met1 ( 200790 96390 ) M1M2_PR
NEW li1 ( 205850 227630 ) L1M1_PR_MR
NEW met1 ( 200790 227630 ) M1M2_PR
NEW li1 ( 203550 232730 ) L1M1_PR_MR
NEW met1 ( 203550 232730 ) M1M2_PR
NEW met1 ( 203550 227630 ) M1M2_PR
NEW li1 ( 46230 91970 ) L1M1_PR_MR
NEW met1 ( 46230 91970 ) M1M2_PR
NEW met1 ( 46230 96390 ) M1M2_PR
NEW met1 ( 203550 232730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 203550 227630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 46230 91970 ) RECT ( -355 -70 0 70 ) ;
- net238 ( ANTENNA_output238_A DIODE ) ( output238 A ) ( _337_ X ) + USE SIGNAL
+ ROUTED met1 ( 158700 148750 ) ( 209070 * )
NEW met1 ( 158700 148750 ) ( * 149090 )
NEW met1 ( 71530 149090 ) ( 158700 * )
NEW met1 ( 209070 232730 ) ( 212290 * )
NEW met2 ( 209070 226270 ) ( * 232730 )
NEW met2 ( 209070 148750 ) ( * 226270 )
NEW met1 ( 209070 148750 ) M1M2_PR
NEW li1 ( 71530 149090 ) L1M1_PR_MR
NEW li1 ( 209070 226270 ) L1M1_PR_MR
NEW met1 ( 209070 226270 ) M1M2_PR
NEW li1 ( 212290 232730 ) L1M1_PR_MR
NEW met1 ( 209070 232730 ) M1M2_PR
NEW met1 ( 209070 226270 ) RECT ( -355 -70 0 70 ) ;
- net239 ( ANTENNA__375__A DIODE ) ( ANTENNA__374__A DIODE ) ( ANTENNA__373__A DIODE ) ( ANTENNA__372__A DIODE ) ( ANTENNA__371__A DIODE ) ( ANTENNA__370__A DIODE ) ( ANTENNA__337__A DIODE )
( ANTENNA__336__A DIODE ) ( ANTENNA__335__A DIODE ) ( ANTENNA__334__A DIODE ) ( ANTENNA__333__A DIODE ) ( ANTENNA__132__B1 DIODE ) ( ANTENNA_output239_A DIODE ) ( output239 A ) ( _132_ B1 )
( _333_ A ) ( _334_ A ) ( _335_ A ) ( _336_ A ) ( _337_ A ) ( _370_ A ) ( _371_ A ) ( _372_ A )
( _373_ A ) ( _374_ A ) ( _375_ A ) ( _475_ Q ) + USE SIGNAL
+ ROUTED met1 ( 220570 125630 ) ( 221030 * )
NEW met1 ( 220570 129370 ) ( 222410 * )
NEW met2 ( 220570 125630 ) ( * 129370 )
NEW met1 ( 213670 196350 ) ( 220570 * )
NEW met2 ( 215970 196350 ) ( * 200090 )
NEW met1 ( 219190 158950 ) ( 220570 * )
NEW met2 ( 219190 143650 ) ( * 158950 )
NEW met1 ( 219190 143650 ) ( 220570 * )
NEW met1 ( 216890 158950 ) ( 219190 * )
NEW met2 ( 220570 129370 ) ( * 143650 )
NEW met2 ( 220570 158950 ) ( * 196350 )
NEW met1 ( 189290 93330 ) ( 192510 * )
NEW met1 ( 203090 72250 ) ( * 72590 )
NEW met1 ( 74750 148410 ) ( 106490 * )
NEW met1 ( 70610 148070 ) ( * 148410 )
NEW met1 ( 70610 148410 ) ( 74750 * )
NEW met2 ( 105570 214030 ) ( * 219470 )
NEW met2 ( 106490 148410 ) ( * 214030 )
NEW met1 ( 42550 87550 ) ( 47150 * )
NEW met1 ( 42550 91290 ) ( 44850 * )
NEW met2 ( 42550 87550 ) ( * 91290 )
NEW met1 ( 44850 91290 ) ( 45770 * )
NEW met1 ( 47150 164050 ) ( 51290 * )
NEW met1 ( 45770 164050 ) ( 47150 * )
NEW met2 ( 208150 91970 ) ( * 93330 )
NEW met1 ( 208150 91970 ) ( 220570 * )
NEW met2 ( 207690 72590 ) ( * 88740 )
NEW met2 ( 207690 88740 ) ( 208150 * )
NEW met2 ( 208150 88740 ) ( * 91970 )
NEW met2 ( 207690 69530 ) ( * 72590 )
NEW met1 ( 197570 69530 ) ( 207690 * )
NEW met1 ( 203090 72590 ) ( 207690 * )
NEW met1 ( 192510 93330 ) ( 208150 * )
NEW met2 ( 220570 91970 ) ( * 125630 )
NEW met1 ( 39790 48110 ) ( 42550 * )
NEW met1 ( 37030 47770 ) ( 39790 * )
NEW met1 ( 39790 47770 ) ( * 48110 )
NEW met2 ( 42550 48110 ) ( * 87550 )
NEW met2 ( 45770 91290 ) ( * 164050 )
NEW met1 ( 45770 148410 ) ( 70610 * )
NEW met1 ( 135930 151130 ) ( 137310 * )
NEW met2 ( 135930 148410 ) ( * 151130 )
NEW met1 ( 135930 150110 ) ( 147890 * )
NEW met2 ( 149270 148070 ) ( * 150110 )
NEW met1 ( 147890 150110 ) ( 149270 * )
NEW met1 ( 106490 148410 ) ( 135930 * )
NEW met1 ( 207690 58990 ) ( 209070 * )
NEW met2 ( 207690 58990 ) ( * 62050 )
NEW met2 ( 207690 62050 ) ( * 69530 )
NEW met2 ( 210910 214030 ) ( * 223550 )
NEW met1 ( 214590 229670 ) ( 215050 * )
NEW met2 ( 214590 226270 ) ( * 229670 )
NEW met1 ( 210910 226270 ) ( 214590 * )
NEW met2 ( 210910 223550 ) ( * 226270 )
NEW met1 ( 105570 214030 ) ( 215970 * )
NEW met2 ( 215970 200090 ) ( * 214030 )
NEW li1 ( 221030 125630 ) L1M1_PR_MR
NEW met1 ( 220570 125630 ) M1M2_PR
NEW li1 ( 222410 129370 ) L1M1_PR_MR
NEW met1 ( 220570 129370 ) M1M2_PR
NEW li1 ( 213670 196350 ) L1M1_PR_MR
NEW met1 ( 220570 196350 ) M1M2_PR
NEW li1 ( 215970 200090 ) L1M1_PR_MR
NEW met1 ( 215970 200090 ) M1M2_PR
NEW met1 ( 215970 196350 ) M1M2_PR
NEW li1 ( 220570 158950 ) L1M1_PR_MR
NEW met1 ( 219190 158950 ) M1M2_PR
NEW met1 ( 219190 143650 ) M1M2_PR
NEW met1 ( 220570 143650 ) M1M2_PR
NEW li1 ( 216890 158950 ) L1M1_PR_MR
NEW met1 ( 220570 158950 ) M1M2_PR
NEW li1 ( 192510 93330 ) L1M1_PR_MR
NEW li1 ( 189290 93330 ) L1M1_PR_MR
NEW li1 ( 203090 72250 ) L1M1_PR_MR
NEW li1 ( 197570 69530 ) L1M1_PR_MR
NEW met1 ( 106490 148410 ) M1M2_PR
NEW li1 ( 74750 148410 ) L1M1_PR_MR
NEW li1 ( 70610 148070 ) L1M1_PR_MR
NEW met1 ( 105570 214030 ) M1M2_PR
NEW li1 ( 105570 219470 ) L1M1_PR_MR
NEW met1 ( 105570 219470 ) M1M2_PR
NEW met1 ( 106490 214030 ) M1M2_PR
NEW li1 ( 47150 87550 ) L1M1_PR_MR
NEW met1 ( 42550 87550 ) M1M2_PR
NEW li1 ( 44850 91290 ) L1M1_PR_MR
NEW met1 ( 42550 91290 ) M1M2_PR
NEW met1 ( 45770 91290 ) M1M2_PR
NEW li1 ( 47150 164050 ) L1M1_PR_MR
NEW li1 ( 51290 164050 ) L1M1_PR_MR
NEW met1 ( 45770 164050 ) M1M2_PR
NEW met1 ( 208150 93330 ) M1M2_PR
NEW met1 ( 208150 91970 ) M1M2_PR
NEW met1 ( 220570 91970 ) M1M2_PR
NEW met1 ( 207690 72590 ) M1M2_PR
NEW met1 ( 207690 69530 ) M1M2_PR
NEW li1 ( 39790 48110 ) L1M1_PR_MR
NEW met1 ( 42550 48110 ) M1M2_PR
NEW li1 ( 37030 47770 ) L1M1_PR_MR
NEW met1 ( 45770 148410 ) M1M2_PR
NEW li1 ( 135930 148410 ) L1M1_PR_MR
NEW li1 ( 137310 151130 ) L1M1_PR_MR
NEW met1 ( 135930 151130 ) M1M2_PR
NEW met1 ( 135930 148410 ) M1M2_PR
NEW li1 ( 147890 150110 ) L1M1_PR_MR
NEW met1 ( 135930 150110 ) M1M2_PR
NEW li1 ( 149270 148070 ) L1M1_PR_MR
NEW met1 ( 149270 148070 ) M1M2_PR
NEW met1 ( 149270 150110 ) M1M2_PR
NEW li1 ( 207690 62050 ) L1M1_PR_MR
NEW met1 ( 207690 62050 ) M1M2_PR
NEW li1 ( 209070 58990 ) L1M1_PR_MR
NEW met1 ( 207690 58990 ) M1M2_PR
NEW met1 ( 215970 214030 ) M1M2_PR
NEW li1 ( 210910 223550 ) L1M1_PR_MR
NEW met1 ( 210910 223550 ) M1M2_PR
NEW met1 ( 210910 214030 ) M1M2_PR
NEW li1 ( 215050 229670 ) L1M1_PR_MR
NEW met1 ( 214590 229670 ) M1M2_PR
NEW met1 ( 214590 226270 ) M1M2_PR
NEW met1 ( 210910 226270 ) M1M2_PR
NEW met1 ( 215970 200090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 215970 196350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 220570 158950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 105570 219470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 106490 214030 ) RECT ( -595 -70 0 70 )
NEW met2 ( 45770 148410 ) RECT ( -70 -485 70 0 )
NEW met1 ( 135930 148410 ) RECT ( -595 -70 0 70 )
NEW met2 ( 135930 150110 ) RECT ( -70 -485 70 0 )
NEW met1 ( 149270 148070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 207690 62050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 210910 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 210910 214030 ) RECT ( -595 -70 0 70 ) ;
- net24 ( ANTENNA__233__B1 DIODE ) ( input24 X ) ( _233_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 23230 83470 ) ( 23690 * )
NEW met2 ( 23690 83470 ) ( * 85340 )
NEW met1 ( 18630 80750 ) ( 23690 * )
NEW met2 ( 23690 80750 ) ( * 83470 )
NEW met3 ( 239890 228140 ) ( 240580 * )
NEW met2 ( 239890 228140 ) ( * 232390 )
NEW met4 ( 240580 85340 ) ( * 228140 )
NEW met3 ( 23690 85340 ) ( 240580 * )
NEW li1 ( 23230 83470 ) L1M1_PR_MR
NEW met1 ( 23690 83470 ) M1M2_PR
NEW met2 ( 23690 85340 ) M2M3_PR_M
NEW li1 ( 18630 80750 ) L1M1_PR_MR
NEW met1 ( 23690 80750 ) M1M2_PR
NEW met3 ( 240580 85340 ) M3M4_PR_M
NEW met3 ( 240580 228140 ) M3M4_PR_M
NEW met2 ( 239890 228140 ) M2M3_PR_M
NEW li1 ( 239890 232390 ) L1M1_PR_MR
NEW met1 ( 239890 232390 ) M1M2_PR
NEW met1 ( 239890 232390 ) RECT ( -355 -70 0 70 ) ;
- net240 ( ANTENNA__341__A DIODE ) ( ANTENNA__179__A1 DIODE ) ( ANTENNA_output240_A DIODE ) ( output240 A ) ( _179_ A1 ) ( _341_ A ) ( _446_ Q ) + USE SIGNAL
+ ROUTED met2 ( 167210 104550 ) ( * 117470 )
NEW met1 ( 26450 104550 ) ( 62100 * )
NEW met1 ( 62100 104210 ) ( * 104550 )
NEW met1 ( 25990 142630 ) ( 26450 * )
NEW met1 ( 23690 142630 ) ( 25990 * )
NEW met2 ( 25990 158700 ) ( 26450 * )
NEW met2 ( 26450 142630 ) ( * 158700 )
NEW met2 ( 26450 104550 ) ( * 142630 )
NEW met1 ( 25990 230010 ) ( 37490 * )
NEW met2 ( 25990 158700 ) ( * 232730 )
NEW met1 ( 124890 104550 ) ( 125810 * )
NEW met2 ( 125810 104380 ) ( * 104550 )
NEW met1 ( 125810 104550 ) ( 128110 * )
NEW met1 ( 128110 104550 ) ( 167210 * )
NEW met2 ( 92230 104210 ) ( * 104380 )
NEW met1 ( 62100 104210 ) ( 92230 * )
NEW met3 ( 92230 104380 ) ( 125810 * )
NEW met1 ( 167210 104550 ) M1M2_PR
NEW li1 ( 167210 117470 ) L1M1_PR_MR
NEW met1 ( 167210 117470 ) M1M2_PR
NEW met1 ( 26450 104550 ) M1M2_PR
NEW li1 ( 25990 142630 ) L1M1_PR_MR
NEW met1 ( 26450 142630 ) M1M2_PR
NEW li1 ( 23690 142630 ) L1M1_PR_MR
NEW li1 ( 25990 232730 ) L1M1_PR_MR
NEW met1 ( 25990 232730 ) M1M2_PR
NEW li1 ( 37490 230010 ) L1M1_PR_MR
NEW met1 ( 25990 230010 ) M1M2_PR
NEW li1 ( 124890 104550 ) L1M1_PR_MR
NEW met1 ( 125810 104550 ) M1M2_PR
NEW met2 ( 125810 104380 ) M2M3_PR_M
NEW li1 ( 128110 104550 ) L1M1_PR_MR
NEW met1 ( 92230 104210 ) M1M2_PR
NEW met2 ( 92230 104380 ) M2M3_PR_M
NEW met1 ( 167210 117470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25990 232730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 25990 230010 ) RECT ( -70 -485 70 0 ) ;
- net241 ( ANTENNA__342__A DIODE ) ( ANTENNA__178__A1 DIODE ) ( ANTENNA_output241_A DIODE ) ( output241 A ) ( _178_ A1 ) ( _342_ A ) ( _447_ Q ) + USE SIGNAL
+ ROUTED met1 ( 93150 52870 ) ( 95450 * )
NEW met2 ( 95450 29410 ) ( * 52870 )
NEW met1 ( 92230 53210 ) ( 93150 * )
NEW met1 ( 93150 52870 ) ( * 53210 )
NEW met2 ( 92230 158700 ) ( 92690 * )
NEW met1 ( 91770 229330 ) ( * 229670 )
NEW met1 ( 91770 229670 ) ( 96370 * )
NEW met2 ( 92230 158700 ) ( * 229670 )
NEW met2 ( 182850 28390 ) ( * 31790 )
NEW met1 ( 62100 229330 ) ( 91770 * )
NEW met2 ( 37950 227630 ) ( * 230010 )
NEW met1 ( 37950 230010 ) ( 53130 * )
NEW met1 ( 53130 229670 ) ( * 230010 )
NEW met1 ( 53130 229670 ) ( 62100 * )
NEW met1 ( 62100 229330 ) ( * 229670 )
NEW met1 ( 33350 232390 ) ( * 232730 )
NEW met1 ( 33350 232390 ) ( 37950 * )
NEW met2 ( 37950 230010 ) ( * 232390 )
NEW li1 ( 121210 28390 ) ( * 29410 )
NEW met1 ( 95450 29410 ) ( 121210 * )
NEW met1 ( 121210 28390 ) ( 182850 * )
NEW met2 ( 214130 31790 ) ( * 33150 )
NEW met1 ( 221490 33830 ) ( 222870 * )
NEW met2 ( 222870 33660 ) ( * 33830 )
NEW met3 ( 214130 33660 ) ( 222870 * )
NEW met2 ( 214130 33150 ) ( * 33660 )
NEW met1 ( 182850 31790 ) ( 214130 * )
NEW met2 ( 92230 100300 ) ( 92690 * )
NEW met2 ( 92230 53210 ) ( * 100300 )
NEW met2 ( 92690 100300 ) ( * 158700 )
NEW li1 ( 93150 52870 ) L1M1_PR_MR
NEW met1 ( 95450 52870 ) M1M2_PR
NEW met1 ( 95450 29410 ) M1M2_PR
NEW met1 ( 92230 53210 ) M1M2_PR
NEW li1 ( 91770 229670 ) L1M1_PR_MR
NEW li1 ( 96370 229670 ) L1M1_PR_MR
NEW met1 ( 92230 229670 ) M1M2_PR
NEW met1 ( 182850 28390 ) M1M2_PR
NEW met1 ( 182850 31790 ) M1M2_PR
NEW li1 ( 37950 227630 ) L1M1_PR_MR
NEW met1 ( 37950 227630 ) M1M2_PR
NEW met1 ( 37950 230010 ) M1M2_PR
NEW li1 ( 33350 232730 ) L1M1_PR_MR
NEW met1 ( 37950 232390 ) M1M2_PR
NEW li1 ( 121210 29410 ) L1M1_PR_MR
NEW li1 ( 121210 28390 ) L1M1_PR_MR
NEW li1 ( 214130 33150 ) L1M1_PR_MR
NEW met1 ( 214130 33150 ) M1M2_PR
NEW met1 ( 214130 31790 ) M1M2_PR
NEW li1 ( 221490 33830 ) L1M1_PR_MR
NEW met1 ( 222870 33830 ) M1M2_PR
NEW met2 ( 222870 33660 ) M2M3_PR_M
NEW met2 ( 214130 33660 ) M2M3_PR_M
NEW met1 ( 92230 229670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 37950 227630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 214130 33150 ) RECT ( -355 -70 0 70 ) ;
- net242 ( ANTENNA__343__A DIODE ) ( ANTENNA__177__A1 DIODE ) ( ANTENNA_output242_A DIODE ) ( output242 A ) ( _177_ A1 ) ( _343_ A ) ( _448_ Q ) + USE SIGNAL
+ ROUTED met1 ( 49910 127330 ) ( 50370 * )
NEW met1 ( 50370 127330 ) ( 53130 * )
NEW met2 ( 53130 104890 ) ( * 127330 )
NEW met1 ( 40710 229330 ) ( 49910 * )
NEW met2 ( 37030 229330 ) ( * 232730 )
NEW met1 ( 37030 229330 ) ( 40710 * )
NEW met2 ( 49910 127330 ) ( * 229330 )
NEW met1 ( 152950 125970 ) ( 178710 * )
NEW met2 ( 152950 107270 ) ( * 125970 )
NEW met1 ( 184230 126310 ) ( * 126650 )
NEW met1 ( 182850 126650 ) ( 184230 * )
NEW met2 ( 182850 125970 ) ( * 126650 )
NEW met1 ( 178710 125970 ) ( 182850 * )
NEW met1 ( 53130 104890 ) ( 75900 * )
NEW met1 ( 92690 104550 ) ( 93150 * )
NEW met2 ( 93150 104550 ) ( * 107270 )
NEW met1 ( 89930 104550 ) ( 92690 * )
NEW met1 ( 75900 104550 ) ( * 104890 )
NEW met1 ( 75900 104550 ) ( 89930 * )
NEW met1 ( 93150 107270 ) ( 152950 * )
NEW met1 ( 53130 104890 ) M1M2_PR
NEW li1 ( 50370 127330 ) L1M1_PR_MR
NEW met1 ( 49910 127330 ) M1M2_PR
NEW met1 ( 53130 127330 ) M1M2_PR
NEW li1 ( 40710 229330 ) L1M1_PR_MR
NEW met1 ( 49910 229330 ) M1M2_PR
NEW li1 ( 37030 232730 ) L1M1_PR_MR
NEW met1 ( 37030 232730 ) M1M2_PR
NEW met1 ( 37030 229330 ) M1M2_PR
NEW li1 ( 178710 125970 ) L1M1_PR_MR
NEW met1 ( 152950 125970 ) M1M2_PR
NEW met1 ( 152950 107270 ) M1M2_PR
NEW li1 ( 184230 126310 ) L1M1_PR_MR
NEW met1 ( 182850 126650 ) M1M2_PR
NEW met1 ( 182850 125970 ) M1M2_PR
NEW li1 ( 92690 104550 ) L1M1_PR_MR
NEW met1 ( 93150 104550 ) M1M2_PR
NEW met1 ( 93150 107270 ) M1M2_PR
NEW li1 ( 89930 104550 ) L1M1_PR_MR
NEW met1 ( 37030 232730 ) RECT ( -355 -70 0 70 ) ;
- net243 ( ANTENNA__344__A DIODE ) ( ANTENNA__176__A1 DIODE ) ( ANTENNA_output243_A DIODE ) ( output243 A ) ( _176_ A1 ) ( _344_ A ) ( _449_ Q ) + USE SIGNAL
+ ROUTED met2 ( 26910 108460 ) ( 27370 * )
NEW met2 ( 27370 87550 ) ( * 108460 )
NEW met1 ( 26450 87550 ) ( 27370 * )
NEW met1 ( 25530 107610 ) ( 27370 * )
NEW met1 ( 22770 107610 ) ( 25530 * )
NEW met2 ( 25990 54060 ) ( 26450 * )
NEW met2 ( 25990 53380 ) ( * 54060 )
NEW met2 ( 25070 53380 ) ( 25990 * )
NEW met2 ( 25070 46750 ) ( * 53380 )
NEW met1 ( 25070 46750 ) ( 26450 * )
NEW met1 ( 26450 46750 ) ( * 47090 )
NEW met2 ( 26450 54060 ) ( * 87550 )
NEW met1 ( 24150 147390 ) ( 25990 * )
NEW met2 ( 25990 140250 ) ( * 147390 )
NEW met1 ( 25990 140250 ) ( 26910 * )
NEW met1 ( 23230 147390 ) ( 24150 * )
NEW met2 ( 26910 108460 ) ( * 140250 )
NEW met1 ( 23230 226950 ) ( 40250 * )
NEW met1 ( 40250 235110 ) ( 42090 * )
NEW met2 ( 40250 226950 ) ( * 235110 )
NEW met2 ( 23230 147390 ) ( * 226950 )
NEW met1 ( 135470 42330 ) ( 142370 * )
NEW met1 ( 135470 42330 ) ( * 42670 )
NEW met2 ( 56350 46580 ) ( * 47090 )
NEW met3 ( 56350 46580 ) ( 86710 * )
NEW met2 ( 86710 42670 ) ( * 46580 )
NEW met1 ( 26450 47090 ) ( 56350 * )
NEW met1 ( 86710 42670 ) ( 135470 * )
NEW met1 ( 27370 87550 ) M1M2_PR
NEW met1 ( 26450 87550 ) M1M2_PR
NEW li1 ( 25530 107610 ) L1M1_PR_MR
NEW met1 ( 27370 107610 ) M1M2_PR
NEW li1 ( 22770 107610 ) L1M1_PR_MR
NEW met1 ( 25070 46750 ) M1M2_PR
NEW li1 ( 24150 147390 ) L1M1_PR_MR
NEW met1 ( 25990 147390 ) M1M2_PR
NEW met1 ( 25990 140250 ) M1M2_PR
NEW met1 ( 26910 140250 ) M1M2_PR
NEW met1 ( 23230 147390 ) M1M2_PR
NEW li1 ( 40250 226950 ) L1M1_PR_MR
NEW met1 ( 23230 226950 ) M1M2_PR
NEW li1 ( 42090 235110 ) L1M1_PR_MR
NEW met1 ( 40250 235110 ) M1M2_PR
NEW met1 ( 40250 226950 ) M1M2_PR
NEW li1 ( 135470 42670 ) L1M1_PR_MR
NEW li1 ( 142370 42330 ) L1M1_PR_MR
NEW met1 ( 56350 47090 ) M1M2_PR
NEW met2 ( 56350 46580 ) M2M3_PR_M
NEW met2 ( 86710 46580 ) M2M3_PR_M
NEW met1 ( 86710 42670 ) M1M2_PR
NEW met2 ( 27370 107610 ) RECT ( -70 -485 70 0 )
NEW met1 ( 40250 226950 ) RECT ( -595 -70 0 70 ) ;
- net244 ( ANTENNA__345__A DIODE ) ( ANTENNA__174__A1 DIODE ) ( ANTENNA_output244_A DIODE ) ( output244 A ) ( _174_ A1 ) ( _345_ A ) ( _450_ Q ) + USE SIGNAL
+ ROUTED met1 ( 88090 231710 ) ( * 232050 )
NEW met1 ( 152490 173570 ) ( 155710 * )
NEW met2 ( 157090 169830 ) ( * 173570 )
NEW met1 ( 155710 173570 ) ( 157090 * )
NEW met1 ( 154790 169830 ) ( 157090 * )
NEW met1 ( 62100 231710 ) ( 88090 * )
NEW met1 ( 45770 232050 ) ( * 232730 )
NEW met1 ( 45770 232050 ) ( 62100 * )
NEW met1 ( 62100 231710 ) ( * 232050 )
NEW met2 ( 46690 227970 ) ( * 232050 )
NEW met1 ( 138690 44370 ) ( 154790 * )
NEW met1 ( 138690 44030 ) ( * 44370 )
NEW met1 ( 127650 44030 ) ( 138690 * )
NEW met2 ( 154790 44370 ) ( * 169830 )
NEW met2 ( 138230 224230 ) ( * 226270 )
NEW met1 ( 138230 224230 ) ( 152490 * )
NEW met1 ( 138230 232730 ) ( 138690 * )
NEW met2 ( 138230 226270 ) ( * 232730 )
NEW met1 ( 88090 232050 ) ( 138230 * )
NEW met2 ( 152490 173570 ) ( * 224230 )
NEW li1 ( 155710 173570 ) L1M1_PR_MR
NEW met1 ( 152490 173570 ) M1M2_PR
NEW li1 ( 157090 169830 ) L1M1_PR_MR
NEW met1 ( 157090 169830 ) M1M2_PR
NEW met1 ( 157090 173570 ) M1M2_PR
NEW met1 ( 154790 169830 ) M1M2_PR
NEW li1 ( 45770 232730 ) L1M1_PR_MR
NEW li1 ( 46690 227970 ) L1M1_PR_MR
NEW met1 ( 46690 227970 ) M1M2_PR
NEW met1 ( 46690 232050 ) M1M2_PR
NEW met1 ( 154790 44370 ) M1M2_PR
NEW li1 ( 127650 44030 ) L1M1_PR_MR
NEW li1 ( 138230 226270 ) L1M1_PR_MR
NEW met1 ( 138230 226270 ) M1M2_PR
NEW met1 ( 138230 224230 ) M1M2_PR
NEW met1 ( 152490 224230 ) M1M2_PR
NEW li1 ( 138690 232730 ) L1M1_PR_MR
NEW met1 ( 138230 232730 ) M1M2_PR
NEW met1 ( 138230 232050 ) M1M2_PR
NEW met1 ( 157090 169830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 46690 227970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 46690 232050 ) RECT ( -595 -70 0 70 )
NEW met1 ( 138230 226270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 138230 232050 ) RECT ( -70 -485 70 0 ) ;
- net245 ( ANTENNA__346__A DIODE ) ( ANTENNA__171__A1 DIODE ) ( ANTENNA_output245_A DIODE ) ( output245 A ) ( _171_ A1 ) ( _346_ A ) ( _451_ Q ) + USE SIGNAL
+ ROUTED met2 ( 85790 203150 ) ( * 205870 )
NEW met1 ( 197110 67490 ) ( 200330 * )
NEW met1 ( 200330 66470 ) ( 203550 * )
NEW met1 ( 200330 66470 ) ( * 67490 )
NEW met1 ( 195270 209950 ) ( 197110 * )
NEW met2 ( 197110 67490 ) ( * 209950 )
NEW met1 ( 52670 205870 ) ( 85790 * )
NEW met1 ( 147890 202470 ) ( 197110 * )
NEW met1 ( 51750 229670 ) ( 52670 * )
NEW met2 ( 52670 223550 ) ( * 229670 )
NEW met2 ( 52670 205870 ) ( * 223550 )
NEW met1 ( 144900 202470 ) ( 147890 * )
NEW met1 ( 144900 201790 ) ( * 202470 )
NEW met1 ( 144670 201790 ) ( 144900 * )
NEW met2 ( 144670 201790 ) ( * 202300 )
NEW met3 ( 139610 202300 ) ( 144670 * )
NEW met2 ( 139610 202300 ) ( * 203150 )
NEW met1 ( 143750 202470 ) ( 143805 * )
NEW met1 ( 143750 201790 ) ( * 202470 )
NEW met1 ( 143750 201790 ) ( 144670 * )
NEW met1 ( 85790 203150 ) ( 139610 * )
NEW met1 ( 197110 202470 ) M1M2_PR
NEW met1 ( 85790 205870 ) M1M2_PR
NEW met1 ( 85790 203150 ) M1M2_PR
NEW li1 ( 200330 67490 ) L1M1_PR_MR
NEW met1 ( 197110 67490 ) M1M2_PR
NEW li1 ( 203550 66470 ) L1M1_PR_MR
NEW met1 ( 197110 209950 ) M1M2_PR
NEW li1 ( 195270 209950 ) L1M1_PR_MR
NEW met1 ( 52670 205870 ) M1M2_PR
NEW li1 ( 147890 202470 ) L1M1_PR_MR
NEW li1 ( 52670 223550 ) L1M1_PR_MR
NEW met1 ( 52670 223550 ) M1M2_PR
NEW li1 ( 51750 229670 ) L1M1_PR_MR
NEW met1 ( 52670 229670 ) M1M2_PR
NEW met1 ( 144670 201790 ) M1M2_PR
NEW met2 ( 144670 202300 ) M2M3_PR_M
NEW met2 ( 139610 202300 ) M2M3_PR_M
NEW met1 ( 139610 203150 ) M1M2_PR
NEW li1 ( 143805 202470 ) L1M1_PR_MR
NEW met2 ( 197110 202470 ) RECT ( -70 -485 70 0 )
NEW met1 ( 52670 223550 ) RECT ( -355 -70 0 70 ) ;
- net246 ( ANTENNA__347__A DIODE ) ( ANTENNA__170__A1 DIODE ) ( ANTENNA_output246_A DIODE ) ( output246 A ) ( _170_ A1 ) ( _347_ A ) ( _452_ Q ) + USE SIGNAL
+ ROUTED met2 ( 11270 91970 ) ( * 94010 )
NEW met2 ( 83030 218790 ) ( * 219810 )
NEW met2 ( 173650 218790 ) ( * 228990 )
NEW met1 ( 158930 218790 ) ( 173650 * )
NEW met2 ( 158930 218790 ) ( * 219810 )
NEW met1 ( 181010 228990 ) ( * 229670 )
NEW met1 ( 173650 228990 ) ( 181010 * )
NEW met1 ( 11270 94010 ) ( 52670 * )
NEW met2 ( 52210 158700 ) ( 52670 * )
NEW met2 ( 52670 94010 ) ( * 158700 )
NEW met1 ( 52210 220830 ) ( 54510 * )
NEW met1 ( 54510 232730 ) ( 59110 * )
NEW met2 ( 54510 220830 ) ( * 232730 )
NEW met2 ( 52210 158700 ) ( * 220830 )
NEW met1 ( 52210 219810 ) ( 80730 * )
NEW met1 ( 80730 219810 ) ( 158930 * )
NEW li1 ( 11270 91970 ) L1M1_PR_MR
NEW met1 ( 11270 91970 ) M1M2_PR
NEW met1 ( 11270 94010 ) M1M2_PR
NEW li1 ( 80730 219810 ) L1M1_PR_MR
NEW li1 ( 83030 218790 ) L1M1_PR_MR
NEW met1 ( 83030 218790 ) M1M2_PR
NEW met1 ( 83030 219810 ) M1M2_PR
NEW li1 ( 173650 228990 ) L1M1_PR_MR
NEW met1 ( 173650 228990 ) M1M2_PR
NEW met1 ( 173650 218790 ) M1M2_PR
NEW met1 ( 158930 218790 ) M1M2_PR
NEW met1 ( 158930 219810 ) M1M2_PR
NEW li1 ( 181010 229670 ) L1M1_PR_MR
NEW met1 ( 52670 94010 ) M1M2_PR
NEW li1 ( 54510 220830 ) L1M1_PR_MR
NEW met1 ( 52210 220830 ) M1M2_PR
NEW met1 ( 52210 219810 ) M1M2_PR
NEW li1 ( 59110 232730 ) L1M1_PR_MR
NEW met1 ( 54510 232730 ) M1M2_PR
NEW met1 ( 54510 220830 ) M1M2_PR
NEW met1 ( 11270 91970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83030 218790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83030 219810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 173650 228990 ) RECT ( -355 -70 0 70 )
NEW met2 ( 52210 219810 ) RECT ( -70 -485 70 0 )
NEW met1 ( 54510 220830 ) RECT ( -595 -70 0 70 ) ;
- net247 ( output247 A ) ( _412_ X ) + USE SIGNAL
+ ROUTED met1 ( 200790 12070 ) ( * 12410 )
NEW li1 ( 211370 12410 ) ( * 13090 )
NEW met1 ( 211370 13090 ) ( 215970 * )
NEW met1 ( 200790 12410 ) ( 211370 * )
NEW met1 ( 215970 36890 ) ( 217810 * )
NEW met2 ( 217810 36890 ) ( * 38930 )
NEW met1 ( 217810 38930 ) ( 227470 * )
NEW met1 ( 227470 38590 ) ( * 38930 )
NEW met2 ( 215970 13090 ) ( * 36890 )
NEW li1 ( 200790 12070 ) L1M1_PR_MR
NEW li1 ( 211370 12410 ) L1M1_PR_MR
NEW li1 ( 211370 13090 ) L1M1_PR_MR
NEW met1 ( 215970 13090 ) M1M2_PR
NEW met1 ( 215970 36890 ) M1M2_PR
NEW met1 ( 217810 36890 ) M1M2_PR
NEW met1 ( 217810 38930 ) M1M2_PR
NEW li1 ( 227470 38590 ) L1M1_PR_MR ;
- net248 ( ANTENNA_output248_A DIODE ) ( output248 A ) ( _413_ X ) + USE SIGNAL
+ ROUTED met2 ( 62330 49470 ) ( * 51170 )
NEW met1 ( 62330 49470 ) ( 96830 * )
NEW met2 ( 198950 15130 ) ( * 28730 )
NEW met1 ( 196190 28730 ) ( 198950 * )
NEW met2 ( 50830 51170 ) ( * 52190 )
NEW met1 ( 50830 51170 ) ( 62330 * )
NEW met3 ( 96830 35020 ) ( 121670 * )
NEW met2 ( 121670 28730 ) ( * 35020 )
NEW met2 ( 96830 35020 ) ( * 49470 )
NEW met1 ( 121670 28730 ) ( 196190 * )
NEW met1 ( 62330 51170 ) M1M2_PR
NEW met1 ( 62330 49470 ) M1M2_PR
NEW met1 ( 96830 49470 ) M1M2_PR
NEW li1 ( 196190 28730 ) L1M1_PR_MR
NEW li1 ( 198950 15130 ) L1M1_PR_MR
NEW met1 ( 198950 15130 ) M1M2_PR
NEW met1 ( 198950 28730 ) M1M2_PR
NEW met1 ( 50830 51170 ) M1M2_PR
NEW li1 ( 50830 52190 ) L1M1_PR_MR
NEW met1 ( 50830 52190 ) M1M2_PR
NEW met2 ( 96830 35020 ) M2M3_PR_M
NEW met2 ( 121670 35020 ) M2M3_PR_M
NEW met1 ( 121670 28730 ) M1M2_PR
NEW met1 ( 198950 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50830 52190 ) RECT ( -355 -70 0 70 ) ;
- net249 ( ANTENNA_output249_A DIODE ) ( output249 A ) ( _414_ X ) + USE SIGNAL
+ ROUTED met1 ( 201710 12070 ) ( 203090 * )
NEW met2 ( 70150 28900 ) ( * 79390 )
NEW met2 ( 200790 28900 ) ( * 30430 )
NEW met2 ( 200790 28900 ) ( 201710 * )
NEW met2 ( 201710 12070 ) ( * 28900 )
NEW met3 ( 70150 28900 ) ( 200790 * )
NEW li1 ( 203090 12070 ) L1M1_PR_MR
NEW met1 ( 201710 12070 ) M1M2_PR
NEW li1 ( 70150 79390 ) L1M1_PR_MR
NEW met1 ( 70150 79390 ) M1M2_PR
NEW met2 ( 70150 28900 ) M2M3_PR_M
NEW li1 ( 200790 30430 ) L1M1_PR_MR
NEW met1 ( 200790 30430 ) M1M2_PR
NEW met2 ( 200790 28900 ) M2M3_PR_M
NEW met1 ( 70150 79390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 200790 30430 ) RECT ( 0 -70 355 70 ) ;
- net25 ( ANTENNA__234__B1 DIODE ) ( input25 X ) ( _234_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 81650 230350 ) ( * 232390 )
NEW met1 ( 75670 227290 ) ( 81650 * )
NEW met2 ( 81650 227290 ) ( * 230350 )
NEW met2 ( 233910 230690 ) ( * 232390 )
NEW met1 ( 81650 232390 ) ( 233910 * )
NEW li1 ( 81650 230350 ) L1M1_PR_MR
NEW met1 ( 81650 230350 ) M1M2_PR
NEW met1 ( 81650 232390 ) M1M2_PR
NEW li1 ( 75670 227290 ) L1M1_PR_MR
NEW met1 ( 81650 227290 ) M1M2_PR
NEW li1 ( 233910 230690 ) L1M1_PR_MR
NEW met1 ( 233910 230690 ) M1M2_PR
NEW met1 ( 233910 232390 ) M1M2_PR
NEW met1 ( 81650 230350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 233910 230690 ) RECT ( -355 -70 0 70 ) ;
- net250 ( ANTENNA_output250_A DIODE ) ( output250 A ) ( _415_ X ) + USE SIGNAL
+ ROUTED met2 ( 183770 20570 ) ( * 32300 )
NEW met2 ( 183310 32300 ) ( 183770 * )
NEW met2 ( 183310 32300 ) ( * 34500 )
NEW met2 ( 182850 34500 ) ( 183310 * )
NEW met2 ( 182850 34500 ) ( * 42330 )
NEW met1 ( 163990 42330 ) ( 182850 * )
NEW met2 ( 183770 14110 ) ( * 20570 )
NEW met2 ( 163990 42330 ) ( * 93330 )
NEW met1 ( 153870 93330 ) ( 163990 * )
NEW met1 ( 183770 14110 ) ( 193200 * )
NEW met1 ( 193200 14110 ) ( * 14450 )
NEW met1 ( 193200 14450 ) ( 202630 * )
NEW met2 ( 202630 14450 ) ( * 15130 )
NEW met1 ( 163990 93330 ) M1M2_PR
NEW li1 ( 183770 20570 ) L1M1_PR_MR
NEW met1 ( 183770 20570 ) M1M2_PR
NEW met1 ( 182850 42330 ) M1M2_PR
NEW met1 ( 163990 42330 ) M1M2_PR
NEW met1 ( 183770 14110 ) M1M2_PR
NEW li1 ( 153870 93330 ) L1M1_PR_MR
NEW met1 ( 202630 14450 ) M1M2_PR
NEW li1 ( 202630 15130 ) L1M1_PR_MR
NEW met1 ( 202630 15130 ) M1M2_PR
NEW met1 ( 183770 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 202630 15130 ) RECT ( 0 -70 355 70 ) ;
- net251 ( ANTENNA_output251_A DIODE ) ( output251 A ) ( _416_ X ) + USE SIGNAL
+ ROUTED met2 ( 66930 86020 ) ( 67390 * )
NEW met2 ( 67390 49300 ) ( 67850 * )
NEW met2 ( 67850 37060 ) ( * 49300 )
NEW met2 ( 67390 49300 ) ( * 86020 )
NEW met2 ( 66930 86020 ) ( * 202130 )
NEW met2 ( 205850 36380 ) ( * 36890 )
NEW met2 ( 206310 27710 ) ( 206770 * )
NEW met2 ( 206310 27710 ) ( * 28220 )
NEW met2 ( 205850 28220 ) ( 206310 * )
NEW met2 ( 205850 28220 ) ( * 36380 )
NEW met2 ( 206770 12070 ) ( * 27710 )
NEW met3 ( 67850 37060 ) ( 110400 * )
NEW met3 ( 110400 36380 ) ( * 37060 )
NEW met3 ( 110400 36380 ) ( 205850 * )
NEW li1 ( 206770 12070 ) L1M1_PR_MR
NEW met1 ( 206770 12070 ) M1M2_PR
NEW li1 ( 66930 202130 ) L1M1_PR_MR
NEW met1 ( 66930 202130 ) M1M2_PR
NEW met2 ( 67850 37060 ) M2M3_PR_M
NEW li1 ( 205850 36890 ) L1M1_PR_MR
NEW met1 ( 205850 36890 ) M1M2_PR
NEW met2 ( 205850 36380 ) M2M3_PR_M
NEW met1 ( 206770 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 66930 202130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 205850 36890 ) RECT ( -355 -70 0 70 ) ;
- net252 ( output252 A ) ( _417_ X ) + USE SIGNAL
+ ROUTED met2 ( 200330 15470 ) ( * 30430 )
NEW met1 ( 200330 15470 ) ( 206310 * )
NEW met1 ( 206310 15130 ) ( * 15470 )
NEW met2 ( 172270 30430 ) ( * 31620 )
NEW met3 ( 145130 31620 ) ( 172270 * )
NEW met2 ( 145130 31620 ) ( * 33490 )
NEW met1 ( 172270 30430 ) ( 200330 * )
NEW met1 ( 200330 30430 ) M1M2_PR
NEW met1 ( 200330 15470 ) M1M2_PR
NEW li1 ( 206310 15130 ) L1M1_PR_MR
NEW met1 ( 172270 30430 ) M1M2_PR
NEW met2 ( 172270 31620 ) M2M3_PR_M
NEW met2 ( 145130 31620 ) M2M3_PR_M
NEW li1 ( 145130 33490 ) L1M1_PR_MR
NEW met1 ( 145130 33490 ) M1M2_PR
NEW met1 ( 145130 33490 ) RECT ( 0 -70 355 70 ) ;
- net253 ( ANTENNA_output253_A DIODE ) ( output253 A ) ( _418_ X ) + USE SIGNAL
+ ROUTED met2 ( 140070 33150 ) ( * 228990 )
NEW met2 ( 203090 17510 ) ( * 33150 )
NEW met1 ( 203090 17510 ) ( 205850 * )
NEW met2 ( 193430 32980 ) ( * 33150 )
NEW met3 ( 193430 32980 ) ( 203090 * )
NEW met2 ( 179170 30260 ) ( * 33150 )
NEW met3 ( 179170 30260 ) ( 192970 * )
NEW met2 ( 192970 30260 ) ( * 33150 )
NEW met1 ( 140070 33150 ) ( 179170 * )
NEW met1 ( 192970 33150 ) ( 193430 * )
NEW met1 ( 140070 33150 ) M1M2_PR
NEW li1 ( 140070 228990 ) L1M1_PR_MR
NEW met1 ( 140070 228990 ) M1M2_PR
NEW li1 ( 203090 33150 ) L1M1_PR_MR
NEW met1 ( 203090 33150 ) M1M2_PR
NEW met1 ( 203090 17510 ) M1M2_PR
NEW li1 ( 205850 17510 ) L1M1_PR_MR
NEW met1 ( 193430 33150 ) M1M2_PR
NEW met2 ( 193430 32980 ) M2M3_PR_M
NEW met2 ( 203090 32980 ) M2M3_PR_M
NEW met1 ( 179170 33150 ) M1M2_PR
NEW met2 ( 179170 30260 ) M2M3_PR_M
NEW met2 ( 192970 30260 ) M2M3_PR_M
NEW met1 ( 192970 33150 ) M1M2_PR
NEW met1 ( 140070 228990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 203090 33150 ) RECT ( -355 -70 0 70 )
NEW met2 ( 203090 32980 ) RECT ( -70 -485 70 0 ) ;
- net254 ( ANTENNA_output254_A DIODE ) ( output254 A ) ( _419_ X ) + USE SIGNAL
+ ROUTED met2 ( 150650 132770 ) ( * 133790 )
NEW met1 ( 150650 132770 ) ( 208610 * )
NEW met1 ( 209070 37570 ) ( 210450 * )
NEW met2 ( 210450 37570 ) ( * 48620 )
NEW met2 ( 210450 48620 ) ( 210910 * )
NEW met2 ( 210910 48620 ) ( * 62050 )
NEW met1 ( 208610 62050 ) ( 210910 * )
NEW met1 ( 209070 17510 ) ( 209530 * )
NEW met2 ( 209070 17510 ) ( * 20060 )
NEW met2 ( 209070 20060 ) ( 209530 * )
NEW met2 ( 209530 20060 ) ( * 37570 )
NEW met2 ( 208610 62050 ) ( * 132770 )
NEW met1 ( 208610 132770 ) M1M2_PR
NEW met1 ( 150650 132770 ) M1M2_PR
NEW li1 ( 150650 133790 ) L1M1_PR_MR
NEW met1 ( 150650 133790 ) M1M2_PR
NEW li1 ( 209070 37570 ) L1M1_PR_MR
NEW met1 ( 210450 37570 ) M1M2_PR
NEW met1 ( 210910 62050 ) M1M2_PR
NEW met1 ( 208610 62050 ) M1M2_PR
NEW li1 ( 209530 17510 ) L1M1_PR_MR
NEW met1 ( 209070 17510 ) M1M2_PR
NEW met1 ( 209530 37570 ) M1M2_PR
NEW met1 ( 150650 133790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 209530 37570 ) RECT ( -595 -70 0 70 ) ;
- net255 ( ANTENNA_output255_A DIODE ) ( output255 A ) ( _420_ X ) + USE SIGNAL
+ ROUTED met2 ( 170890 127330 ) ( * 128350 )
NEW met1 ( 163530 128350 ) ( 170890 * )
NEW met1 ( 170890 127330 ) ( 209070 * )
NEW met1 ( 209070 86190 ) ( 212290 * )
NEW met2 ( 209070 86190 ) ( * 127330 )
NEW met1 ( 212750 38930 ) ( 213210 * )
NEW met2 ( 213210 17510 ) ( * 38930 )
NEW met1 ( 212290 38930 ) ( 212750 * )
NEW met2 ( 212290 38930 ) ( * 86190 )
NEW met1 ( 209070 127330 ) M1M2_PR
NEW met1 ( 170890 127330 ) M1M2_PR
NEW met1 ( 170890 128350 ) M1M2_PR
NEW li1 ( 163530 128350 ) L1M1_PR_MR
NEW met1 ( 209070 86190 ) M1M2_PR
NEW met1 ( 212290 86190 ) M1M2_PR
NEW li1 ( 212750 38930 ) L1M1_PR_MR
NEW met1 ( 213210 38930 ) M1M2_PR
NEW li1 ( 213210 17510 ) L1M1_PR_MR
NEW met1 ( 213210 17510 ) M1M2_PR
NEW met1 ( 212290 38930 ) M1M2_PR
NEW met1 ( 213210 17510 ) RECT ( -355 -70 0 70 ) ;
- net256 ( ANTENNA_output256_A DIODE ) ( output256 A ) ( _421_ X ) + USE SIGNAL
+ ROUTED met2 ( 86710 30430 ) ( * 41650 )
NEW met1 ( 114310 30430 ) ( * 30770 )
NEW met1 ( 114310 30770 ) ( 141450 * )
NEW met2 ( 141450 29410 ) ( * 30770 )
NEW met1 ( 86710 30430 ) ( 114310 * )
NEW met1 ( 198490 31450 ) ( 209070 * )
NEW met2 ( 209070 20570 ) ( * 31450 )
NEW met1 ( 209070 20570 ) ( 212290 * )
NEW met2 ( 169050 27710 ) ( * 29410 )
NEW met1 ( 169050 27710 ) ( 177330 * )
NEW met2 ( 177330 27710 ) ( * 31450 )
NEW met1 ( 141450 29410 ) ( 169050 * )
NEW met1 ( 177330 31450 ) ( 198490 * )
NEW met1 ( 86710 30430 ) M1M2_PR
NEW li1 ( 86710 41650 ) L1M1_PR_MR
NEW met1 ( 86710 41650 ) M1M2_PR
NEW met1 ( 141450 30770 ) M1M2_PR
NEW met1 ( 141450 29410 ) M1M2_PR
NEW li1 ( 198490 31450 ) L1M1_PR_MR
NEW met1 ( 209070 31450 ) M1M2_PR
NEW met1 ( 209070 20570 ) M1M2_PR
NEW li1 ( 212290 20570 ) L1M1_PR_MR
NEW met1 ( 169050 29410 ) M1M2_PR
NEW met1 ( 169050 27710 ) M1M2_PR
NEW met1 ( 177330 27710 ) M1M2_PR
NEW met1 ( 177330 31450 ) M1M2_PR
NEW met1 ( 86710 41650 ) RECT ( -355 -70 0 70 ) ;
- net257 ( ANTENNA_output257_A DIODE ) ( output257 A ) ( _422_ X ) + USE SIGNAL
+ ROUTED met1 ( 189750 28050 ) ( 193430 * )
NEW met2 ( 205850 22950 ) ( * 27710 )
NEW met1 ( 193430 27710 ) ( 205850 * )
NEW met1 ( 193430 27710 ) ( * 28050 )
NEW met2 ( 189750 28050 ) ( * 139570 )
NEW met1 ( 205850 22950 ) ( 211370 * )
NEW li1 ( 193430 28050 ) L1M1_PR_MR
NEW met1 ( 189750 28050 ) M1M2_PR
NEW met1 ( 205850 22950 ) M1M2_PR
NEW met1 ( 205850 27710 ) M1M2_PR
NEW li1 ( 189750 139570 ) L1M1_PR_MR
NEW met1 ( 189750 139570 ) M1M2_PR
NEW li1 ( 211370 22950 ) L1M1_PR_MR
NEW met1 ( 189750 139570 ) RECT ( -355 -70 0 70 ) ;
- net258 ( ANTENNA_output258_A DIODE ) ( output258 A ) ( _423_ X ) + USE SIGNAL
+ ROUTED met1 ( 201250 24990 ) ( * 25330 )
NEW met1 ( 188830 25330 ) ( 201250 * )
NEW met2 ( 213210 62100 ) ( * 141950 )
NEW met2 ( 211370 24990 ) ( * 34170 )
NEW met1 ( 211370 34170 ) ( 213670 * )
NEW met2 ( 213670 34170 ) ( * 62100 )
NEW met2 ( 213210 62100 ) ( 213670 * )
NEW met1 ( 213670 20570 ) ( 215970 * )
NEW met2 ( 213670 20570 ) ( * 24140 )
NEW met3 ( 211370 24140 ) ( 213670 * )
NEW met2 ( 211370 24140 ) ( * 24990 )
NEW met1 ( 201250 24990 ) ( 211370 * )
NEW li1 ( 213210 141950 ) L1M1_PR_MR
NEW met1 ( 213210 141950 ) M1M2_PR
NEW li1 ( 188830 25330 ) L1M1_PR_MR
NEW met1 ( 211370 24990 ) M1M2_PR
NEW met1 ( 211370 34170 ) M1M2_PR
NEW met1 ( 213670 34170 ) M1M2_PR
NEW li1 ( 215970 20570 ) L1M1_PR_MR
NEW met1 ( 213670 20570 ) M1M2_PR
NEW met2 ( 213670 24140 ) M2M3_PR_M
NEW met2 ( 211370 24140 ) M2M3_PR_M
NEW met1 ( 213210 141950 ) RECT ( -355 -70 0 70 ) ;
- net259 ( ANTENNA_output259_A DIODE ) ( output259 A ) ( _424_ X ) + USE SIGNAL
+ ROUTED met2 ( 182850 222190 ) ( * 223890 )
NEW met1 ( 112930 223890 ) ( 182850 * )
NEW met1 ( 209990 39950 ) ( 211370 * )
NEW met2 ( 215050 22950 ) ( * 39610 )
NEW met1 ( 211370 39610 ) ( 215050 * )
NEW met1 ( 211370 39610 ) ( * 39950 )
NEW met1 ( 182850 222190 ) ( 211370 * )
NEW met2 ( 211370 39950 ) ( * 222190 )
NEW met1 ( 182850 223890 ) M1M2_PR
NEW met1 ( 182850 222190 ) M1M2_PR
NEW li1 ( 112930 223890 ) L1M1_PR_MR
NEW li1 ( 209990 39950 ) L1M1_PR_MR
NEW met1 ( 211370 39950 ) M1M2_PR
NEW li1 ( 215050 22950 ) L1M1_PR_MR
NEW met1 ( 215050 22950 ) M1M2_PR
NEW met1 ( 215050 39610 ) M1M2_PR
NEW met1 ( 211370 222190 ) M1M2_PR
NEW met1 ( 215050 22950 ) RECT ( -355 -70 0 70 ) ;
- net26 ( input26 X ) ( _196_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 197570 50150 ) ( 239430 * )
NEW met1 ( 195270 19890 ) ( * 20570 )
NEW met1 ( 195270 19890 ) ( 197110 * )
NEW met2 ( 197110 19890 ) ( * 28050 )
NEW met2 ( 197110 28050 ) ( 197570 * )
NEW met2 ( 197570 28050 ) ( * 50150 )
NEW met1 ( 197570 50150 ) M1M2_PR
NEW li1 ( 239430 50150 ) L1M1_PR_MR
NEW li1 ( 195270 20570 ) L1M1_PR_MR
NEW met1 ( 197110 19890 ) M1M2_PR ;
- net260 ( ANTENNA_output260_A DIODE ) ( output260 A ) ( _425_ X ) + USE SIGNAL
+ ROUTED met2 ( 170890 44710 ) ( * 55250 )
NEW met1 ( 154790 55250 ) ( 170890 * )
NEW met1 ( 170890 44710 ) ( 207000 * )
NEW met1 ( 222410 44030 ) ( 223790 * )
NEW met2 ( 223790 20570 ) ( * 44030 )
NEW met1 ( 221030 20570 ) ( 223790 * )
NEW met1 ( 207000 44030 ) ( * 44710 )
NEW met1 ( 207000 44030 ) ( 222410 * )
NEW met1 ( 170890 55250 ) M1M2_PR
NEW met1 ( 170890 44710 ) M1M2_PR
NEW li1 ( 154790 55250 ) L1M1_PR_MR
NEW li1 ( 222410 44030 ) L1M1_PR_MR
NEW met1 ( 223790 44030 ) M1M2_PR
NEW met1 ( 223790 20570 ) M1M2_PR
NEW li1 ( 221030 20570 ) L1M1_PR_MR ;
- net261 ( ANTENNA_output261_A DIODE ) ( output261 A ) ( _426_ X ) + USE SIGNAL
+ ROUTED met1 ( 185150 23970 ) ( 185610 * )
NEW met2 ( 185150 23970 ) ( * 32980 )
NEW met2 ( 185150 32980 ) ( 185610 * )
NEW met2 ( 185610 32980 ) ( * 62050 )
NEW met2 ( 198030 21250 ) ( * 22610 )
NEW met1 ( 185150 22610 ) ( 198030 * )
NEW met2 ( 185150 22610 ) ( * 23970 )
NEW met1 ( 158470 62050 ) ( 185610 * )
NEW met1 ( 100050 125630 ) ( 158470 * )
NEW met2 ( 158470 62050 ) ( * 125630 )
NEW met2 ( 218730 21250 ) ( * 22950 )
NEW met1 ( 198030 21250 ) ( 218730 * )
NEW li1 ( 100050 125630 ) L1M1_PR_MR
NEW li1 ( 185610 23970 ) L1M1_PR_MR
NEW met1 ( 185150 23970 ) M1M2_PR
NEW met1 ( 185610 62050 ) M1M2_PR
NEW met1 ( 198030 21250 ) M1M2_PR
NEW met1 ( 198030 22610 ) M1M2_PR
NEW met1 ( 185150 22610 ) M1M2_PR
NEW met1 ( 158470 62050 ) M1M2_PR
NEW met1 ( 158470 125630 ) M1M2_PR
NEW met1 ( 218730 21250 ) M1M2_PR
NEW li1 ( 218730 22950 ) L1M1_PR_MR
NEW met1 ( 218730 22950 ) M1M2_PR
NEW met1 ( 218730 22950 ) RECT ( -355 -70 0 70 ) ;
- net262 ( ANTENNA_output262_A DIODE ) ( output262 A ) ( _427_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 82800 ) ( * 84830 )
NEW met2 ( 9430 82800 ) ( 9890 * )
NEW met2 ( 9430 35700 ) ( * 82800 )
NEW met3 ( 9430 35700 ) ( 11500 * )
NEW met3 ( 11500 34340 ) ( * 35700 )
NEW met2 ( 195270 30940 ) ( * 31110 )
NEW met3 ( 11500 34340 ) ( 13800 * )
NEW met3 ( 13800 30940 ) ( * 34340 )
NEW met3 ( 13800 30940 ) ( 195270 * )
NEW met2 ( 212750 26010 ) ( * 31110 )
NEW met1 ( 212750 26010 ) ( 218730 * )
NEW met1 ( 195270 31110 ) ( 212750 * )
NEW li1 ( 9890 84830 ) L1M1_PR_MR
NEW met1 ( 9890 84830 ) M1M2_PR
NEW met2 ( 9430 35700 ) M2M3_PR_M
NEW li1 ( 195270 31110 ) L1M1_PR_MR
NEW met1 ( 195270 31110 ) M1M2_PR
NEW met2 ( 195270 30940 ) M2M3_PR_M
NEW met1 ( 212750 31110 ) M1M2_PR
NEW met1 ( 212750 26010 ) M1M2_PR
NEW li1 ( 218730 26010 ) L1M1_PR_MR
NEW met1 ( 9890 84830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 195270 31110 ) RECT ( -355 -70 0 70 ) ;
- net263 ( ANTENNA_output263_A DIODE ) ( output263 A ) ( _428_ X ) + USE SIGNAL
+ ROUTED met1 ( 214590 177310 ) ( 215050 * )
NEW met2 ( 215050 54740 ) ( 215970 * )
NEW met2 ( 215050 54740 ) ( * 177310 )
NEW met1 ( 221950 46750 ) ( 222410 * )
NEW met2 ( 222410 26010 ) ( * 46750 )
NEW met1 ( 215970 47770 ) ( 222410 * )
NEW met2 ( 222410 46750 ) ( * 47770 )
NEW met2 ( 215970 47770 ) ( * 54740 )
NEW met1 ( 215050 177310 ) M1M2_PR
NEW li1 ( 214590 177310 ) L1M1_PR_MR
NEW li1 ( 221950 46750 ) L1M1_PR_MR
NEW met1 ( 222410 46750 ) M1M2_PR
NEW li1 ( 222410 26010 ) L1M1_PR_MR
NEW met1 ( 222410 26010 ) M1M2_PR
NEW met1 ( 215970 47770 ) M1M2_PR
NEW met1 ( 222410 47770 ) M1M2_PR
NEW met1 ( 222410 26010 ) RECT ( 0 -70 355 70 ) ;
- net264 ( ANTENNA_output264_A DIODE ) ( output264 A ) ( _429_ X ) + USE SIGNAL
+ ROUTED met1 ( 67390 200430 ) ( 89470 * )
NEW met1 ( 89470 200090 ) ( * 200430 )
NEW met1 ( 89470 200090 ) ( 90390 * )
NEW met1 ( 90390 200090 ) ( * 200430 )
NEW met1 ( 90390 200430 ) ( 224710 * )
NEW met1 ( 225630 46750 ) ( 226090 * )
NEW met2 ( 226090 26010 ) ( * 46750 )
NEW met1 ( 224710 46750 ) ( 225630 * )
NEW met2 ( 224710 46750 ) ( * 200430 )
NEW met1 ( 224710 200430 ) M1M2_PR
NEW li1 ( 67390 200430 ) L1M1_PR_MR
NEW li1 ( 225630 46750 ) L1M1_PR_MR
NEW met1 ( 226090 46750 ) M1M2_PR
NEW li1 ( 226090 26010 ) L1M1_PR_MR
NEW met1 ( 226090 26010 ) M1M2_PR
NEW met1 ( 224710 46750 ) M1M2_PR
NEW met1 ( 226090 26010 ) RECT ( -355 -70 0 70 ) ;
- net265 ( output265 A ) ( _430_ X ) + USE SIGNAL
+ ROUTED met2 ( 225170 29070 ) ( * 30770 )
NEW met1 ( 224710 29070 ) ( 225170 * )
NEW met1 ( 224710 28390 ) ( * 29070 )
NEW met1 ( 224710 28390 ) ( 225110 * )
NEW met2 ( 172730 29580 ) ( * 30770 )
NEW met3 ( 147430 29580 ) ( 172730 * )
NEW met2 ( 147430 29580 ) ( * 30770 )
NEW met1 ( 172730 30770 ) ( 225170 * )
NEW met1 ( 225170 30770 ) M1M2_PR
NEW met1 ( 225170 29070 ) M1M2_PR
NEW li1 ( 225110 28390 ) L1M1_PR_MR
NEW met1 ( 172730 30770 ) M1M2_PR
NEW met2 ( 172730 29580 ) M2M3_PR_M
NEW met2 ( 147430 29580 ) M2M3_PR_M
NEW li1 ( 147430 30770 ) L1M1_PR_MR
NEW met1 ( 147430 30770 ) M1M2_PR
NEW met1 ( 147430 30770 ) RECT ( -355 -70 0 70 ) ;
- net266 ( output266 A ) ( _431_ X ) + USE SIGNAL
+ ROUTED met2 ( 230230 28390 ) ( * 52190 )
NEW met1 ( 228850 28390 ) ( 230230 * )
NEW met1 ( 189290 52190 ) ( 230230 * )
NEW li1 ( 189290 52190 ) L1M1_PR_MR
NEW met1 ( 230230 52190 ) M1M2_PR
NEW met1 ( 230230 28390 ) M1M2_PR
NEW li1 ( 228850 28390 ) L1M1_PR_MR ;
- net267 ( ANTENNA_output267_A DIODE ) ( output267 A ) ( _432_ X ) + USE SIGNAL
+ ROUTED met2 ( 141910 8330 ) ( * 12410 )
NEW met1 ( 141910 8330 ) ( 155710 * )
NEW met1 ( 155710 8330 ) ( * 8670 )
NEW met1 ( 79810 12410 ) ( 141910 * )
NEW met1 ( 155710 8670 ) ( 192050 * )
NEW met2 ( 79810 12410 ) ( * 17170 )
NEW met2 ( 192050 8670 ) ( * 28390 )
NEW met1 ( 227470 31110 ) ( * 31450 )
NEW met1 ( 222870 31110 ) ( 227470 * )
NEW met2 ( 222870 29070 ) ( * 31110 )
NEW met1 ( 206310 29070 ) ( 222870 * )
NEW met1 ( 206310 28390 ) ( * 29070 )
NEW met1 ( 228390 46750 ) ( 228850 * )
NEW met2 ( 228850 31450 ) ( * 46750 )
NEW met1 ( 227470 31450 ) ( 228850 * )
NEW met1 ( 192050 28390 ) ( 206310 * )
NEW met1 ( 192050 8670 ) M1M2_PR
NEW met1 ( 79810 12410 ) M1M2_PR
NEW met1 ( 141910 12410 ) M1M2_PR
NEW met1 ( 141910 8330 ) M1M2_PR
NEW li1 ( 79810 17170 ) L1M1_PR_MR
NEW met1 ( 79810 17170 ) M1M2_PR
NEW met1 ( 192050 28390 ) M1M2_PR
NEW li1 ( 227470 31450 ) L1M1_PR_MR
NEW met1 ( 222870 31110 ) M1M2_PR
NEW met1 ( 222870 29070 ) M1M2_PR
NEW li1 ( 228390 46750 ) L1M1_PR_MR
NEW met1 ( 228850 46750 ) M1M2_PR
NEW met1 ( 228850 31450 ) M1M2_PR
NEW met1 ( 79810 17170 ) RECT ( -355 -70 0 70 ) ;
- net268 ( ANTENNA_output268_A DIODE ) ( output268 A ) ( _433_ X ) + USE SIGNAL
+ ROUTED met1 ( 227700 184110 ) ( * 184450 )
NEW met1 ( 227700 184450 ) ( 245410 * )
NEW met2 ( 100050 181390 ) ( * 184450 )
NEW met1 ( 100050 184450 ) ( 110400 * )
NEW met1 ( 110400 184110 ) ( * 184450 )
NEW met1 ( 110400 184110 ) ( 227700 * )
NEW met1 ( 229310 51170 ) ( 245410 * )
NEW met2 ( 229770 31450 ) ( * 51170 )
NEW li1 ( 245410 51170 ) ( * 184450 )
NEW li1 ( 245410 184450 ) L1M1_PR_MR
NEW li1 ( 100050 181390 ) L1M1_PR_MR
NEW met1 ( 100050 181390 ) M1M2_PR
NEW met1 ( 100050 184450 ) M1M2_PR
NEW li1 ( 229310 51170 ) L1M1_PR_MR
NEW li1 ( 245410 51170 ) L1M1_PR_MR
NEW li1 ( 229770 31450 ) L1M1_PR_MR
NEW met1 ( 229770 31450 ) M1M2_PR
NEW met1 ( 229770 51170 ) M1M2_PR
NEW met1 ( 100050 181390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 229770 31450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 229770 51170 ) RECT ( -595 -70 0 70 ) ;
- net269 ( ANTENNA_output269_A DIODE ) ( output269 A ) ( _434_ X ) + USE SIGNAL
+ ROUTED met1 ( 72910 153170 ) ( * 153510 )
NEW met1 ( 48070 153170 ) ( 72910 * )
NEW met1 ( 72910 153510 ) ( 110400 * )
NEW met1 ( 110400 152830 ) ( * 153510 )
NEW met1 ( 226550 49470 ) ( 227930 * )
NEW met2 ( 227930 33830 ) ( * 49470 )
NEW met1 ( 227930 33830 ) ( 228850 * )
NEW met2 ( 227010 49470 ) ( * 153170 )
NEW met1 ( 169050 152830 ) ( * 153170 )
NEW met1 ( 110400 152830 ) ( 169050 * )
NEW met1 ( 169050 153170 ) ( 227010 * )
NEW met1 ( 227010 153170 ) M1M2_PR
NEW li1 ( 48070 153170 ) L1M1_PR_MR
NEW li1 ( 226550 49470 ) L1M1_PR_MR
NEW met1 ( 227930 49470 ) M1M2_PR
NEW met1 ( 227930 33830 ) M1M2_PR
NEW li1 ( 228850 33830 ) L1M1_PR_MR
NEW met1 ( 227010 49470 ) M1M2_PR
NEW met1 ( 227010 49470 ) RECT ( -595 -70 0 70 ) ;
- net27 ( ANTENNA__197__B1 DIODE ) ( input27 X ) ( _197_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 117070 210970 ) ( 117990 * )
NEW met1 ( 117990 210290 ) ( * 210970 )
NEW met1 ( 117990 210290 ) ( 118910 * )
NEW met1 ( 118910 210290 ) ( * 210630 )
NEW met1 ( 118910 210630 ) ( 125350 * )
NEW met1 ( 125350 210630 ) ( * 211650 )
NEW met2 ( 221490 211650 ) ( * 231710 )
NEW met1 ( 125350 211650 ) ( 221490 * )
NEW li1 ( 125350 211650 ) L1M1_PR_MR
NEW li1 ( 117070 210970 ) L1M1_PR_MR
NEW met1 ( 221490 211650 ) M1M2_PR
NEW li1 ( 221490 231710 ) L1M1_PR_MR
NEW met1 ( 221490 231710 ) M1M2_PR
NEW met1 ( 221490 231710 ) RECT ( -355 -70 0 70 ) ;
- net270 ( ANTENNA_output270_A DIODE ) ( output270 A ) ( _435_ X ) + USE SIGNAL
+ ROUTED met2 ( 102350 67660 ) ( 102810 * )
NEW met2 ( 102350 52700 ) ( * 67660 )
NEW met2 ( 38870 134470 ) ( * 174930 )
NEW met1 ( 38870 134470 ) ( 103270 * )
NEW met1 ( 232070 52530 ) ( 232530 * )
NEW met2 ( 232070 52530 ) ( * 52700 )
NEW met1 ( 233450 31450 ) ( 234370 * )
NEW met2 ( 234370 31450 ) ( * 33660 )
NEW met2 ( 233910 33660 ) ( 234370 * )
NEW met2 ( 233910 33660 ) ( * 52530 )
NEW met1 ( 232530 52530 ) ( 233910 * )
NEW met3 ( 102350 52700 ) ( 232070 * )
NEW met2 ( 102810 100300 ) ( 103270 * )
NEW met2 ( 102810 67660 ) ( * 100300 )
NEW met2 ( 103270 100300 ) ( * 134470 )
NEW met2 ( 102350 52700 ) M2M3_PR_M
NEW met1 ( 103270 134470 ) M1M2_PR
NEW li1 ( 38870 174930 ) L1M1_PR_MR
NEW met1 ( 38870 174930 ) M1M2_PR
NEW met1 ( 38870 134470 ) M1M2_PR
NEW li1 ( 232530 52530 ) L1M1_PR_MR
NEW met1 ( 232070 52530 ) M1M2_PR
NEW met2 ( 232070 52700 ) M2M3_PR_M
NEW li1 ( 233450 31450 ) L1M1_PR_MR
NEW met1 ( 234370 31450 ) M1M2_PR
NEW met1 ( 233910 52530 ) M1M2_PR
NEW met1 ( 38870 174930 ) RECT ( -355 -70 0 70 ) ;
- net271 ( ANTENNA_output271_A DIODE ) ( output271 A ) ( _436_ X ) + USE SIGNAL
+ ROUTED met1 ( 175030 131410 ) ( 178710 * )
NEW met1 ( 175030 131070 ) ( * 131410 )
NEW met2 ( 178710 53550 ) ( * 131410 )
NEW met2 ( 232530 33830 ) ( * 53550 )
NEW met1 ( 229770 53550 ) ( 232530 * )
NEW met1 ( 178710 53550 ) ( 229770 * )
NEW met1 ( 178710 53550 ) M1M2_PR
NEW met1 ( 178710 131410 ) M1M2_PR
NEW li1 ( 175030 131070 ) L1M1_PR_MR
NEW li1 ( 229770 53550 ) L1M1_PR_MR
NEW li1 ( 232530 33830 ) L1M1_PR_MR
NEW met1 ( 232530 33830 ) M1M2_PR
NEW met1 ( 232530 53550 ) M1M2_PR
NEW met1 ( 232530 33830 ) RECT ( -355 -70 0 70 ) ;
- net272 ( ANTENNA_output272_A DIODE ) ( output272 A ) ( _437_ X ) + USE SIGNAL
+ ROUTED met1 ( 234830 55250 ) ( 235290 * )
NEW met1 ( 236210 33830 ) ( * 34170 )
NEW met2 ( 234830 34170 ) ( * 55250 )
NEW met1 ( 169050 33490 ) ( * 34170 )
NEW met1 ( 117990 19890 ) ( * 20490 )
NEW met1 ( 117990 20490 ) ( 118450 * )
NEW met1 ( 118450 20490 ) ( * 20570 )
NEW met1 ( 118450 20570 ) ( 119830 * )
NEW met2 ( 119830 20570 ) ( * 34170 )
NEW met1 ( 119830 34170 ) ( 169050 * )
NEW met2 ( 192050 33490 ) ( * 37740 )
NEW met3 ( 192050 37740 ) ( 227010 * )
NEW met2 ( 227010 34170 ) ( * 37740 )
NEW met1 ( 169050 33490 ) ( 192050 * )
NEW met1 ( 227010 34170 ) ( 236210 * )
NEW li1 ( 235290 55250 ) L1M1_PR_MR
NEW met1 ( 234830 55250 ) M1M2_PR
NEW li1 ( 236210 33830 ) L1M1_PR_MR
NEW met1 ( 234830 34170 ) M1M2_PR
NEW li1 ( 117990 19890 ) L1M1_PR_MR
NEW met1 ( 119830 20570 ) M1M2_PR
NEW met1 ( 119830 34170 ) M1M2_PR
NEW met1 ( 192050 33490 ) M1M2_PR
NEW met2 ( 192050 37740 ) M2M3_PR_M
NEW met2 ( 227010 37740 ) M2M3_PR_M
NEW met1 ( 227010 34170 ) M1M2_PR
NEW met1 ( 234830 34170 ) RECT ( -595 -70 0 70 ) ;
- net273 ( ANTENNA_output273_A DIODE ) ( output273 A ) ( _438_ X ) + USE SIGNAL
+ ROUTED met2 ( 210450 23290 ) ( * 28220 )
NEW met2 ( 209990 28220 ) ( 210450 * )
NEW met1 ( 208150 20570 ) ( 208610 * )
NEW met2 ( 208610 20570 ) ( * 23290 )
NEW met1 ( 183310 23290 ) ( 210450 * )
NEW met1 ( 208150 231710 ) ( 209990 * )
NEW met2 ( 209990 28220 ) ( * 231710 )
NEW li1 ( 183310 23290 ) L1M1_PR_MR
NEW met1 ( 210450 23290 ) M1M2_PR
NEW li1 ( 208150 20570 ) L1M1_PR_MR
NEW met1 ( 208610 20570 ) M1M2_PR
NEW met1 ( 208610 23290 ) M1M2_PR
NEW met1 ( 209990 231710 ) M1M2_PR
NEW li1 ( 208150 231710 ) L1M1_PR_MR
NEW met1 ( 208610 23290 ) RECT ( -595 -70 0 70 ) ;
- net274 ( ANTENNA_output274_A DIODE ) ( output274 A ) ( _439_ X ) + USE SIGNAL
+ ROUTED met1 ( 194810 12070 ) ( 195270 * )
NEW met1 ( 176870 99450 ) ( 198490 * )
NEW met1 ( 191130 29410 ) ( 198490 * )
NEW met2 ( 193890 16830 ) ( 194810 * )
NEW met2 ( 193890 16830 ) ( * 29410 )
NEW met2 ( 194810 12070 ) ( * 16830 )
NEW met2 ( 198490 29410 ) ( * 99450 )
NEW li1 ( 195270 12070 ) L1M1_PR_MR
NEW met1 ( 194810 12070 ) M1M2_PR
NEW met1 ( 198490 99450 ) M1M2_PR
NEW li1 ( 176870 99450 ) L1M1_PR_MR
NEW li1 ( 191130 29410 ) L1M1_PR_MR
NEW met1 ( 198490 29410 ) M1M2_PR
NEW met1 ( 193890 29410 ) M1M2_PR
NEW met1 ( 193890 29410 ) RECT ( -595 -70 0 70 ) ;
- net275 ( ANTENNA_output275_A DIODE ) ( output275 A ) ( _338_ X ) + USE SIGNAL
+ ROUTED met1 ( 90390 12070 ) ( 91310 * )
NEW met1 ( 90390 18530 ) ( 92230 * )
NEW met2 ( 90390 12070 ) ( * 48300 )
NEW met2 ( 89470 48300 ) ( 90390 * )
NEW met2 ( 89470 48300 ) ( * 61370 )
NEW met1 ( 89470 61370 ) ( 90390 * )
NEW met2 ( 90390 61370 ) ( * 71910 )
NEW met1 ( 90390 71910 ) ( 112470 * )
NEW met2 ( 112470 71910 ) ( * 166430 )
NEW li1 ( 91310 12070 ) L1M1_PR_MR
NEW met1 ( 90390 12070 ) M1M2_PR
NEW li1 ( 92230 18530 ) L1M1_PR_MR
NEW met1 ( 90390 18530 ) M1M2_PR
NEW met1 ( 112470 71910 ) M1M2_PR
NEW li1 ( 112470 166430 ) L1M1_PR_MR
NEW met1 ( 112470 166430 ) M1M2_PR
NEW met1 ( 89470 61370 ) M1M2_PR
NEW met1 ( 90390 61370 ) M1M2_PR
NEW met1 ( 90390 71910 ) M1M2_PR
NEW met2 ( 90390 18530 ) RECT ( -70 -485 70 0 )
NEW met1 ( 112470 166430 ) RECT ( -355 -70 0 70 ) ;
- net276 ( ANTENNA_output276_A DIODE ) ( output276 A ) ( _339_ X ) + USE SIGNAL
+ ROUTED met1 ( 101430 68510 ) ( 103270 * )
NEW met1 ( 96830 18530 ) ( 102350 * )
NEW met2 ( 102350 18530 ) ( * 41820 )
NEW met2 ( 102350 41820 ) ( 102810 * )
NEW met2 ( 102810 41820 ) ( * 47090 )
NEW met1 ( 101430 47090 ) ( 102810 * )
NEW met2 ( 92690 15130 ) ( * 18530 )
NEW met1 ( 92690 18530 ) ( 96830 * )
NEW met2 ( 101430 47090 ) ( * 68510 )
NEW met2 ( 198950 126990 ) ( * 128350 )
NEW met1 ( 119830 126990 ) ( 198950 * )
NEW met1 ( 103270 98770 ) ( 119830 * )
NEW met2 ( 103270 68510 ) ( * 98770 )
NEW met2 ( 119830 98770 ) ( * 126990 )
NEW met1 ( 101430 68510 ) M1M2_PR
NEW met1 ( 103270 68510 ) M1M2_PR
NEW li1 ( 96830 18530 ) L1M1_PR_MR
NEW met1 ( 102350 18530 ) M1M2_PR
NEW met1 ( 102810 47090 ) M1M2_PR
NEW met1 ( 101430 47090 ) M1M2_PR
NEW li1 ( 92690 15130 ) L1M1_PR_MR
NEW met1 ( 92690 15130 ) M1M2_PR
NEW met1 ( 92690 18530 ) M1M2_PR
NEW met1 ( 198950 126990 ) M1M2_PR
NEW li1 ( 198950 128350 ) L1M1_PR_MR
NEW met1 ( 198950 128350 ) M1M2_PR
NEW met1 ( 119830 126990 ) M1M2_PR
NEW met1 ( 103270 98770 ) M1M2_PR
NEW met1 ( 119830 98770 ) M1M2_PR
NEW met1 ( 92690 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 198950 128350 ) RECT ( -355 -70 0 70 ) ;
- net277 ( ANTENNA_output277_A DIODE ) ( output277 A ) ( _340_ X ) + USE SIGNAL
+ ROUTED met1 ( 97750 12070 ) ( 100050 * )
NEW met1 ( 99590 17510 ) ( 102810 * )
NEW met2 ( 102810 17510 ) ( * 41310 )
NEW met1 ( 102810 41310 ) ( 107870 * )
NEW met1 ( 107870 41310 ) ( * 41650 )
NEW met2 ( 100050 12070 ) ( * 17510 )
NEW met2 ( 158930 45390 ) ( * 104210 )
NEW met2 ( 135010 41650 ) ( * 45390 )
NEW met1 ( 107870 41650 ) ( 135010 * )
NEW met1 ( 135010 45390 ) ( 158930 * )
NEW li1 ( 97750 12070 ) L1M1_PR_MR
NEW met1 ( 100050 12070 ) M1M2_PR
NEW li1 ( 158930 104210 ) L1M1_PR_MR
NEW met1 ( 158930 104210 ) M1M2_PR
NEW li1 ( 99590 17510 ) L1M1_PR_MR
NEW met1 ( 102810 17510 ) M1M2_PR
NEW met1 ( 102810 41310 ) M1M2_PR
NEW met1 ( 100050 17510 ) M1M2_PR
NEW met1 ( 158930 45390 ) M1M2_PR
NEW met1 ( 135010 41650 ) M1M2_PR
NEW met1 ( 135010 45390 ) M1M2_PR
NEW met1 ( 158930 104210 ) RECT ( 0 -70 355 70 )
NEW met1 ( 100050 17510 ) RECT ( -595 -70 0 70 ) ;
- net278 ( ANTENNA_output278_A DIODE ) ( output278 A ) ( _341_ X ) + USE SIGNAL
+ ROUTED met2 ( 94070 20230 ) ( * 41820 )
NEW met3 ( 84870 41820 ) ( 94070 * )
NEW met1 ( 94070 15130 ) ( 94990 * )
NEW met2 ( 94070 15130 ) ( * 20230 )
NEW met2 ( 26910 140930 ) ( * 141950 )
NEW met1 ( 26910 140930 ) ( 85790 * )
NEW met2 ( 85790 124200 ) ( * 140930 )
NEW met2 ( 84870 41820 ) ( * 75900 )
NEW met2 ( 84870 75900 ) ( 85330 * )
NEW met2 ( 85330 75900 ) ( * 124200 )
NEW met2 ( 85330 124200 ) ( 85790 * )
NEW li1 ( 94070 20230 ) L1M1_PR_MR
NEW met1 ( 94070 20230 ) M1M2_PR
NEW met2 ( 94070 41820 ) M2M3_PR_M
NEW met2 ( 84870 41820 ) M2M3_PR_M
NEW li1 ( 94990 15130 ) L1M1_PR_MR
NEW met1 ( 94070 15130 ) M1M2_PR
NEW met1 ( 85790 140930 ) M1M2_PR
NEW met1 ( 26910 140930 ) M1M2_PR
NEW li1 ( 26910 141950 ) L1M1_PR_MR
NEW met1 ( 26910 141950 ) M1M2_PR
NEW met1 ( 94070 20230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 26910 141950 ) RECT ( -355 -70 0 70 ) ;
- net279 ( ANTENNA_output279_A DIODE ) ( output279 A ) ( _342_ X ) + USE SIGNAL
+ ROUTED met2 ( 101430 12070 ) ( * 13090 )
NEW met1 ( 101430 13090 ) ( 106030 * )
NEW met1 ( 92690 230350 ) ( 106030 * )
NEW met2 ( 106030 13090 ) ( * 230350 )
NEW li1 ( 101430 12070 ) L1M1_PR_MR
NEW met1 ( 101430 12070 ) M1M2_PR
NEW met1 ( 101430 13090 ) M1M2_PR
NEW met1 ( 106030 13090 ) M1M2_PR
NEW li1 ( 106030 15810 ) L1M1_PR_MR
NEW met1 ( 106030 15810 ) M1M2_PR
NEW met1 ( 106030 230350 ) M1M2_PR
NEW li1 ( 92690 230350 ) L1M1_PR_MR
NEW met1 ( 101430 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 106030 15810 ) RECT ( -355 -70 0 70 )
NEW met2 ( 106030 15810 ) RECT ( -70 -485 70 0 ) ;
- net28 ( ANTENNA__200__B1 DIODE ) ( input28 X ) ( _200_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 196650 189210 ) ( * 189550 )
NEW met1 ( 196650 189550 ) ( 204470 * )
NEW met2 ( 204470 188190 ) ( * 189550 )
NEW met1 ( 204470 14450 ) ( 226550 * )
NEW met2 ( 204470 96600 ) ( * 188190 )
NEW met2 ( 204470 83130 ) ( 204930 * )
NEW met2 ( 204930 83130 ) ( * 96600 )
NEW met2 ( 204470 96600 ) ( 204930 * )
NEW met2 ( 204470 14450 ) ( * 83130 )
NEW li1 ( 204470 188190 ) L1M1_PR_MR
NEW met1 ( 204470 188190 ) M1M2_PR
NEW li1 ( 196650 189210 ) L1M1_PR_MR
NEW met1 ( 204470 189550 ) M1M2_PR
NEW met1 ( 204470 14450 ) M1M2_PR
NEW li1 ( 226550 14450 ) L1M1_PR_MR
NEW met1 ( 204470 188190 ) RECT ( -355 -70 0 70 ) ;
- net280 ( ANTENNA_output280_A DIODE ) ( output280 A ) ( _343_ X ) + USE SIGNAL
+ ROUTED met1 ( 93150 20570 ) ( 97750 * )
NEW met1 ( 96370 15130 ) ( 98670 * )
NEW met2 ( 96370 15130 ) ( * 20570 )
NEW met2 ( 93150 20570 ) ( * 103870 )
NEW li1 ( 97750 20570 ) L1M1_PR_MR
NEW met1 ( 93150 20570 ) M1M2_PR
NEW li1 ( 98670 15130 ) L1M1_PR_MR
NEW met1 ( 96370 15130 ) M1M2_PR
NEW met1 ( 96370 20570 ) M1M2_PR
NEW li1 ( 93150 103870 ) L1M1_PR_MR
NEW met1 ( 93150 103870 ) M1M2_PR
NEW met1 ( 96370 20570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 93150 103870 ) RECT ( -355 -70 0 70 ) ;
- net281 ( ANTENNA_output281_A DIODE ) ( output281 A ) ( _344_ X ) + USE SIGNAL
+ ROUTED met1 ( 102810 12070 ) ( 103730 * )
NEW met2 ( 102810 12070 ) ( * 13800 )
NEW met1 ( 100050 23970 ) ( 102810 * )
NEW met2 ( 100050 23970 ) ( * 49470 )
NEW met2 ( 99130 49470 ) ( 100050 * )
NEW met2 ( 99130 49470 ) ( * 52870 )
NEW met1 ( 98210 52870 ) ( 99130 * )
NEW met1 ( 98210 52870 ) ( * 53210 )
NEW met1 ( 95450 53210 ) ( 98210 * )
NEW met1 ( 95450 53210 ) ( * 53550 )
NEW met1 ( 83490 53550 ) ( 95450 * )
NEW met2 ( 83490 53550 ) ( * 54910 )
NEW met2 ( 102810 13800 ) ( 103270 * )
NEW met2 ( 103270 13800 ) ( * 23970 )
NEW met1 ( 102810 23970 ) ( 103270 * )
NEW met1 ( 25990 54910 ) ( 59110 * )
NEW met1 ( 59110 54910 ) ( * 55250 )
NEW met1 ( 59110 55250 ) ( 60490 * )
NEW met1 ( 60490 54910 ) ( * 55250 )
NEW met2 ( 25990 54910 ) ( * 106590 )
NEW met1 ( 60490 54910 ) ( 83490 * )
NEW li1 ( 103730 12070 ) L1M1_PR_MR
NEW met1 ( 102810 12070 ) M1M2_PR
NEW li1 ( 102810 23970 ) L1M1_PR_MR
NEW met1 ( 100050 23970 ) M1M2_PR
NEW met1 ( 99130 52870 ) M1M2_PR
NEW met1 ( 83490 53550 ) M1M2_PR
NEW met1 ( 83490 54910 ) M1M2_PR
NEW met1 ( 103270 23970 ) M1M2_PR
NEW li1 ( 25990 106590 ) L1M1_PR_MR
NEW met1 ( 25990 106590 ) M1M2_PR
NEW met1 ( 25990 54910 ) M1M2_PR
NEW met1 ( 25990 106590 ) RECT ( -355 -70 0 70 ) ;
- net282 ( ANTENNA_output282_A DIODE ) ( output282 A ) ( _345_ X ) + USE SIGNAL
+ ROUTED met1 ( 106030 23970 ) ( 107870 * )
NEW met2 ( 107870 23970 ) ( * 42330 )
NEW met2 ( 103730 15130 ) ( * 23970 )
NEW met1 ( 103730 23970 ) ( 106030 * )
NEW met2 ( 131790 42330 ) ( * 43010 )
NEW met1 ( 131790 43010 ) ( 137310 * )
NEW met1 ( 107870 42330 ) ( 131790 * )
NEW met2 ( 137310 43010 ) ( * 231710 )
NEW li1 ( 106030 23970 ) L1M1_PR_MR
NEW met1 ( 107870 23970 ) M1M2_PR
NEW met1 ( 107870 42330 ) M1M2_PR
NEW li1 ( 103730 15130 ) L1M1_PR_MR
NEW met1 ( 103730 15130 ) M1M2_PR
NEW met1 ( 103730 23970 ) M1M2_PR
NEW met1 ( 131790 42330 ) M1M2_PR
NEW met1 ( 131790 43010 ) M1M2_PR
NEW met1 ( 137310 43010 ) M1M2_PR
NEW li1 ( 137310 231710 ) L1M1_PR_MR
NEW met1 ( 137310 231710 ) M1M2_PR
NEW met1 ( 103730 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 137310 231710 ) RECT ( -355 -70 0 70 ) ;
- net283 ( ANTENNA_output283_A DIODE ) ( output283 A ) ( _346_ X ) + USE SIGNAL
+ ROUTED met2 ( 203090 64770 ) ( * 65790 )
NEW met1 ( 113390 64770 ) ( 203090 * )
NEW met1 ( 113390 18530 ) ( 115230 * )
NEW met1 ( 110630 18530 ) ( 113390 * )
NEW met2 ( 110630 12070 ) ( * 18530 )
NEW met2 ( 113390 18530 ) ( * 64770 )
NEW li1 ( 110630 12070 ) L1M1_PR_MR
NEW met1 ( 110630 12070 ) M1M2_PR
NEW met1 ( 203090 64770 ) M1M2_PR
NEW li1 ( 203090 65790 ) L1M1_PR_MR
NEW met1 ( 203090 65790 ) M1M2_PR
NEW met1 ( 113390 64770 ) M1M2_PR
NEW li1 ( 115230 18530 ) L1M1_PR_MR
NEW met1 ( 113390 18530 ) M1M2_PR
NEW met1 ( 110630 18530 ) M1M2_PR
NEW met1 ( 110630 12070 ) RECT ( 0 -70 355 70 )
NEW met1 ( 203090 65790 ) RECT ( -355 -70 0 70 ) ;
- net284 ( ANTENNA_output284_A DIODE ) ( output284 A ) ( _347_ X ) + USE SIGNAL
+ ROUTED met2 ( 104190 17510 ) ( * 28050 )
NEW met1 ( 83030 28050 ) ( 104190 * )
NEW met1 ( 108330 19890 ) ( 109250 * )
NEW li1 ( 108330 19890 ) ( * 20570 )
NEW met1 ( 104190 20570 ) ( 108330 * )
NEW met1 ( 83030 218110 ) ( 83950 * )
NEW met2 ( 83030 28050 ) ( * 218110 )
NEW li1 ( 104190 17510 ) L1M1_PR_MR
NEW met1 ( 104190 17510 ) M1M2_PR
NEW met1 ( 104190 28050 ) M1M2_PR
NEW met1 ( 83030 28050 ) M1M2_PR
NEW li1 ( 109250 19890 ) L1M1_PR_MR
NEW li1 ( 108330 19890 ) L1M1_PR_MR
NEW li1 ( 108330 20570 ) L1M1_PR_MR
NEW met1 ( 104190 20570 ) M1M2_PR
NEW met1 ( 83030 218110 ) M1M2_PR
NEW li1 ( 83950 218110 ) L1M1_PR_MR
NEW met1 ( 104190 17510 ) RECT ( -355 -70 0 70 )
NEW met2 ( 104190 20570 ) RECT ( -70 -485 70 0 ) ;
- net285 ( ANTENNA_output285_A DIODE ) ( output285 A ) ( _348_ X ) + USE SIGNAL
+ ROUTED met1 ( 114310 11730 ) ( * 12070 )
NEW met1 ( 114310 11730 ) ( 118910 * )
NEW met1 ( 41630 201790 ) ( 60490 * )
NEW met2 ( 60490 201790 ) ( * 203490 )
NEW met1 ( 60490 203490 ) ( 80730 * )
NEW met1 ( 80730 74630 ) ( 118450 * )
NEW met2 ( 117990 18530 ) ( * 60860 )
NEW met2 ( 117990 60860 ) ( 118450 * )
NEW met1 ( 117990 18530 ) ( 118910 * )
NEW met2 ( 118450 60860 ) ( * 74630 )
NEW met2 ( 118910 11730 ) ( * 18530 )
NEW met2 ( 80730 74630 ) ( * 203490 )
NEW li1 ( 114310 12070 ) L1M1_PR_MR
NEW met1 ( 118910 11730 ) M1M2_PR
NEW met1 ( 80730 74630 ) M1M2_PR
NEW met1 ( 80730 203490 ) M1M2_PR
NEW li1 ( 41630 201790 ) L1M1_PR_MR
NEW met1 ( 60490 201790 ) M1M2_PR
NEW met1 ( 60490 203490 ) M1M2_PR
NEW met1 ( 118450 74630 ) M1M2_PR
NEW li1 ( 117990 18530 ) L1M1_PR_MR
NEW met1 ( 117990 18530 ) M1M2_PR
NEW met1 ( 118910 18530 ) M1M2_PR
NEW met1 ( 117990 18530 ) RECT ( -355 -70 0 70 ) ;
- net286 ( output286 A ) ( _349_ X ) + USE SIGNAL
+ ROUTED met2 ( 113850 15130 ) ( * 17850 )
NEW met1 ( 110630 15130 ) ( 113850 * )
NEW met2 ( 145130 17850 ) ( * 20060 )
NEW met3 ( 145130 20060 ) ( 164910 * )
NEW met2 ( 164910 20060 ) ( * 30430 )
NEW met1 ( 164910 30430 ) ( 171350 * )
NEW met1 ( 113850 17850 ) ( 145130 * )
NEW met1 ( 113850 17850 ) M1M2_PR
NEW met1 ( 113850 15130 ) M1M2_PR
NEW li1 ( 110630 15130 ) L1M1_PR_MR
NEW met1 ( 145130 17850 ) M1M2_PR
NEW met2 ( 145130 20060 ) M2M3_PR_M
NEW met2 ( 164910 20060 ) M2M3_PR_M
NEW met1 ( 164910 30430 ) M1M2_PR
NEW li1 ( 171350 30430 ) L1M1_PR_MR ;
- net287 ( output287 A ) ( _350_ X ) + USE SIGNAL
+ ROUTED met1 ( 105110 17510 ) ( 107410 * )
NEW met2 ( 105110 17510 ) ( * 19550 )
NEW met1 ( 103270 19550 ) ( 105110 * )
NEW li1 ( 107410 17510 ) L1M1_PR_MR
NEW met1 ( 105110 17510 ) M1M2_PR
NEW met1 ( 105110 19550 ) M1M2_PR
NEW li1 ( 103270 19550 ) L1M1_PR_MR ;
- net288 ( ANTENNA_output288_A DIODE ) ( output288 A ) ( _351_ X ) + USE SIGNAL
+ ROUTED met2 ( 125810 86530 ) ( * 96220 )
NEW met2 ( 125810 96220 ) ( 126270 * )
NEW met1 ( 114310 15130 ) ( 115230 * )
NEW met2 ( 115230 15130 ) ( * 23630 )
NEW met1 ( 126270 117810 ) ( 151110 * )
NEW met2 ( 126270 96220 ) ( * 117810 )
NEW met2 ( 115230 23630 ) ( * 86530 )
NEW met1 ( 115230 86530 ) ( 125810 * )
NEW met1 ( 125810 86530 ) M1M2_PR
NEW li1 ( 115230 23630 ) L1M1_PR_MR
NEW met1 ( 115230 23630 ) M1M2_PR
NEW li1 ( 114310 15130 ) L1M1_PR_MR
NEW met1 ( 115230 15130 ) M1M2_PR
NEW met1 ( 126270 117810 ) M1M2_PR
NEW li1 ( 151110 117810 ) L1M1_PR_MR
NEW met1 ( 115230 86530 ) M1M2_PR
NEW met1 ( 115230 23630 ) RECT ( -355 -70 0 70 ) ;
- net289 ( ANTENNA_output289_A DIODE ) ( output289 A ) ( _352_ X ) + USE SIGNAL
+ ROUTED met1 ( 117530 12070 ) ( 117990 * )
NEW met3 ( 72450 109820 ) ( 73140 * )
NEW met3 ( 73140 109140 ) ( * 109820 )
NEW met3 ( 73140 109140 ) ( 73830 * )
NEW met2 ( 73830 68510 ) ( * 109140 )
NEW met1 ( 73830 68510 ) ( 100970 * )
NEW met2 ( 100970 67490 ) ( * 68510 )
NEW met1 ( 100970 67490 ) ( 103730 * )
NEW met2 ( 103730 67490 ) ( * 68510 )
NEW met2 ( 72450 109820 ) ( * 177310 )
NEW met1 ( 103730 68510 ) ( 117530 * )
NEW met2 ( 117530 12070 ) ( * 23970 )
NEW met2 ( 117530 23970 ) ( * 68510 )
NEW li1 ( 117990 12070 ) L1M1_PR_MR
NEW met1 ( 117530 12070 ) M1M2_PR
NEW met2 ( 72450 109820 ) M2M3_PR_M
NEW met2 ( 73830 109140 ) M2M3_PR_M
NEW met1 ( 73830 68510 ) M1M2_PR
NEW met1 ( 100970 68510 ) M1M2_PR
NEW met1 ( 100970 67490 ) M1M2_PR
NEW met1 ( 103730 67490 ) M1M2_PR
NEW met1 ( 103730 68510 ) M1M2_PR
NEW li1 ( 72450 177310 ) L1M1_PR_MR
NEW met1 ( 72450 177310 ) M1M2_PR
NEW met1 ( 117530 68510 ) M1M2_PR
NEW li1 ( 117530 23970 ) L1M1_PR_MR
NEW met1 ( 117530 23970 ) M1M2_PR
NEW met1 ( 72450 177310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117530 23970 ) RECT ( -355 -70 0 70 ) ;
- net29 ( ANTENNA__201__B1 DIODE ) ( input29 X ) ( _201_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 55430 120190 ) ( * 120530 )
NEW met1 ( 55430 120190 ) ( 56350 * )
NEW met1 ( 56350 121890 ) ( 61410 * )
NEW met2 ( 56350 120190 ) ( * 238170 )
NEW met2 ( 227930 236130 ) ( * 238170 )
NEW met1 ( 56350 238170 ) ( 227930 * )
NEW li1 ( 55430 120530 ) L1M1_PR_MR
NEW met1 ( 56350 120190 ) M1M2_PR
NEW li1 ( 61410 121890 ) L1M1_PR_MR
NEW met1 ( 56350 121890 ) M1M2_PR
NEW met1 ( 56350 238170 ) M1M2_PR
NEW met1 ( 227930 238170 ) M1M2_PR
NEW li1 ( 227930 236130 ) L1M1_PR_MR
NEW met1 ( 227930 236130 ) M1M2_PR
NEW met2 ( 56350 121890 ) RECT ( -70 -485 70 0 )
NEW met1 ( 227930 236130 ) RECT ( -355 -70 0 70 ) ;
- net290 ( ANTENNA_output290_A DIODE ) ( output290 A ) ( _353_ X ) + USE SIGNAL
+ ROUTED met1 ( 155250 86190 ) ( 157090 * )
NEW met1 ( 120750 19550 ) ( 122590 * )
NEW met2 ( 122590 19550 ) ( * 35870 )
NEW met1 ( 122590 35870 ) ( 155250 * )
NEW met2 ( 112930 17510 ) ( * 19550 )
NEW met1 ( 112930 19550 ) ( 120750 * )
NEW met2 ( 155250 35870 ) ( * 86190 )
NEW met2 ( 157090 86190 ) ( * 166430 )
NEW met1 ( 155250 86190 ) M1M2_PR
NEW met1 ( 157090 86190 ) M1M2_PR
NEW li1 ( 157090 166430 ) L1M1_PR_MR
NEW met1 ( 157090 166430 ) M1M2_PR
NEW li1 ( 120750 19550 ) L1M1_PR_MR
NEW met1 ( 122590 19550 ) M1M2_PR
NEW met1 ( 122590 35870 ) M1M2_PR
NEW met1 ( 155250 35870 ) M1M2_PR
NEW li1 ( 112930 17510 ) L1M1_PR_MR
NEW met1 ( 112930 17510 ) M1M2_PR
NEW met1 ( 112930 19550 ) M1M2_PR
NEW met1 ( 157090 166430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 112930 17510 ) RECT ( -355 -70 0 70 ) ;
- net291 ( ANTENNA_output291_A DIODE ) ( output291 A ) ( _354_ X ) + USE SIGNAL
+ ROUTED met2 ( 131790 14450 ) ( * 14620 )
NEW met1 ( 117990 15130 ) ( 120290 * )
NEW met1 ( 120290 15130 ) ( * 15470 )
NEW met1 ( 120290 15470 ) ( 131790 * )
NEW met2 ( 131790 14620 ) ( * 15470 )
NEW met2 ( 219650 14620 ) ( * 30430 )
NEW met3 ( 131790 14620 ) ( 219650 * )
NEW li1 ( 131790 14450 ) L1M1_PR_MR
NEW met1 ( 131790 14450 ) M1M2_PR
NEW met2 ( 131790 14620 ) M2M3_PR_M
NEW li1 ( 117990 15130 ) L1M1_PR_MR
NEW met1 ( 131790 15470 ) M1M2_PR
NEW met2 ( 219650 14620 ) M2M3_PR_M
NEW li1 ( 219650 30430 ) L1M1_PR_MR
NEW met1 ( 219650 30430 ) M1M2_PR
NEW met1 ( 131790 14450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 219650 30430 ) RECT ( -355 -70 0 70 ) ;
- net292 ( ANTENNA_output292_A DIODE ) ( output292 A ) ( _355_ X ) + USE SIGNAL
+ ROUTED met1 ( 123510 12070 ) ( 124430 * )
NEW met2 ( 124430 18020 ) ( * 19550 )
NEW met2 ( 124430 12070 ) ( * 18020 )
NEW met2 ( 213670 17850 ) ( * 18020 )
NEW met1 ( 213670 17850 ) ( 225630 * )
NEW met3 ( 124430 18020 ) ( 213670 * )
NEW li1 ( 123510 12070 ) L1M1_PR_MR
NEW met1 ( 124430 12070 ) M1M2_PR
NEW met2 ( 124430 18020 ) M2M3_PR_M
NEW li1 ( 124430 19550 ) L1M1_PR_MR
NEW met1 ( 124430 19550 ) M1M2_PR
NEW met2 ( 213670 18020 ) M2M3_PR_M
NEW met1 ( 213670 17850 ) M1M2_PR
NEW li1 ( 225630 17850 ) L1M1_PR_MR
NEW met1 ( 124430 19550 ) RECT ( -355 -70 0 70 ) ;
- net293 ( ANTENNA_output293_A DIODE ) ( output293 A ) ( _356_ X ) + USE SIGNAL
+ ROUTED met2 ( 203090 158700 ) ( 203550 * )
NEW met2 ( 203550 54060 ) ( * 158700 )
NEW met2 ( 203090 158700 ) ( * 223550 )
NEW met1 ( 122590 23970 ) ( 123970 * )
NEW met2 ( 123970 23970 ) ( * 54060 )
NEW met2 ( 121670 15130 ) ( * 23970 )
NEW met1 ( 121670 23970 ) ( 122590 * )
NEW met3 ( 123970 54060 ) ( 203550 * )
NEW met2 ( 203550 54060 ) M2M3_PR_M
NEW li1 ( 203090 223550 ) L1M1_PR_MR
NEW met1 ( 203090 223550 ) M1M2_PR
NEW li1 ( 122590 23970 ) L1M1_PR_MR
NEW met1 ( 123970 23970 ) M1M2_PR
NEW met2 ( 123970 54060 ) M2M3_PR_M
NEW li1 ( 121670 15130 ) L1M1_PR_MR
NEW met1 ( 121670 15130 ) M1M2_PR
NEW met1 ( 121670 23970 ) M1M2_PR
NEW met1 ( 203090 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 121670 15130 ) RECT ( -355 -70 0 70 ) ;
- net294 ( ANTENNA_output294_A DIODE ) ( output294 A ) ( _357_ X ) + USE SIGNAL
+ ROUTED met1 ( 127190 11730 ) ( * 12070 )
NEW met1 ( 127190 11730 ) ( 132250 * )
NEW met1 ( 132250 202470 ) ( 138690 * )
NEW met1 ( 128110 20570 ) ( 132250 * )
NEW met2 ( 132250 11730 ) ( * 20570 )
NEW met2 ( 132250 20570 ) ( * 202470 )
NEW met2 ( 138690 202470 ) ( * 218110 )
NEW li1 ( 127190 12070 ) L1M1_PR_MR
NEW met1 ( 132250 11730 ) M1M2_PR
NEW met1 ( 132250 202470 ) M1M2_PR
NEW met1 ( 138690 202470 ) M1M2_PR
NEW li1 ( 128110 20570 ) L1M1_PR_MR
NEW met1 ( 132250 20570 ) M1M2_PR
NEW li1 ( 138690 218110 ) L1M1_PR_MR
NEW met1 ( 138690 218110 ) M1M2_PR
NEW met1 ( 138690 218110 ) RECT ( -355 -70 0 70 ) ;
- net295 ( ANTENNA_output295_A DIODE ) ( output295 A ) ( _358_ X ) + USE SIGNAL
+ ROUTED met1 ( 18170 91970 ) ( 20010 * )
NEW met2 ( 18170 72250 ) ( * 91970 )
NEW met2 ( 125350 68850 ) ( * 72250 )
NEW met1 ( 125350 68850 ) ( 128570 * )
NEW met1 ( 18170 72250 ) ( 125350 * )
NEW met1 ( 19090 112030 ) ( 20010 * )
NEW met2 ( 20010 91970 ) ( * 112030 )
NEW met1 ( 125350 23970 ) ( 126730 * )
NEW met2 ( 126730 23970 ) ( * 59330 )
NEW met1 ( 126730 59330 ) ( 128570 * )
NEW met1 ( 125350 15130 ) ( 126730 * )
NEW met2 ( 126730 15130 ) ( * 23970 )
NEW met2 ( 128570 59330 ) ( * 68850 )
NEW met1 ( 20010 91970 ) M1M2_PR
NEW met1 ( 18170 91970 ) M1M2_PR
NEW met1 ( 18170 72250 ) M1M2_PR
NEW met1 ( 125350 72250 ) M1M2_PR
NEW met1 ( 125350 68850 ) M1M2_PR
NEW met1 ( 128570 68850 ) M1M2_PR
NEW met1 ( 20010 112030 ) M1M2_PR
NEW li1 ( 19090 112030 ) L1M1_PR_MR
NEW li1 ( 125350 23970 ) L1M1_PR_MR
NEW met1 ( 126730 23970 ) M1M2_PR
NEW met1 ( 126730 59330 ) M1M2_PR
NEW met1 ( 128570 59330 ) M1M2_PR
NEW li1 ( 125350 15130 ) L1M1_PR_MR
NEW met1 ( 126730 15130 ) M1M2_PR ;
- net296 ( ANTENNA_output296_A DIODE ) ( output296 A ) ( _359_ X ) + USE SIGNAL
+ ROUTED met2 ( 107410 45900 ) ( 107870 * )
NEW met2 ( 107870 43860 ) ( * 45900 )
NEW met3 ( 105570 43860 ) ( 107870 * )
NEW met2 ( 105570 28050 ) ( * 43860 )
NEW met1 ( 105570 28050 ) ( 108790 * )
NEW met1 ( 108790 27710 ) ( * 28050 )
NEW met2 ( 121210 26690 ) ( * 27710 )
NEW met1 ( 121210 17510 ) ( 122130 * )
NEW met2 ( 121210 17510 ) ( * 26690 )
NEW met1 ( 108790 27710 ) ( 121210 * )
NEW met1 ( 74750 101150 ) ( 107410 * )
NEW met2 ( 107410 45900 ) ( * 101150 )
NEW li1 ( 74750 101150 ) L1M1_PR_MR
NEW met2 ( 107870 43860 ) M2M3_PR_M
NEW met2 ( 105570 43860 ) M2M3_PR_M
NEW met1 ( 105570 28050 ) M1M2_PR
NEW li1 ( 121210 26690 ) L1M1_PR_MR
NEW met1 ( 121210 26690 ) M1M2_PR
NEW met1 ( 121210 27710 ) M1M2_PR
NEW li1 ( 122130 17510 ) L1M1_PR_MR
NEW met1 ( 121210 17510 ) M1M2_PR
NEW met1 ( 107410 101150 ) M1M2_PR
NEW met1 ( 121210 26690 ) RECT ( -355 -70 0 70 ) ;
- net297 ( output297 A ) ( _360_ X ) + USE SIGNAL
+ ROUTED met2 ( 130870 7310 ) ( * 12070 )
NEW li1 ( 131790 7310 ) ( * 7990 )
NEW li1 ( 131790 7990 ) ( 132710 * )
NEW met1 ( 132710 7990 ) ( 148350 * )
NEW met1 ( 130870 7310 ) ( 131790 * )
NEW met1 ( 148350 41650 ) ( 149270 * )
NEW met2 ( 148350 7990 ) ( * 41650 )
NEW met1 ( 130870 7310 ) M1M2_PR
NEW li1 ( 130870 12070 ) L1M1_PR_MR
NEW met1 ( 130870 12070 ) M1M2_PR
NEW li1 ( 131790 7310 ) L1M1_PR_MR
NEW li1 ( 132710 7990 ) L1M1_PR_MR
NEW met1 ( 148350 7990 ) M1M2_PR
NEW met1 ( 148350 41650 ) M1M2_PR
NEW li1 ( 149270 41650 ) L1M1_PR_MR
NEW met1 ( 130870 12070 ) RECT ( -355 -70 0 70 ) ;
- net298 ( ANTENNA_output298_A DIODE ) ( output298 A ) ( _361_ X ) + USE SIGNAL
+ ROUTED li1 ( 131330 9350 ) ( * 10030 )
NEW li1 ( 131330 10030 ) ( 133170 * )
NEW met1 ( 129030 9350 ) ( 131330 * )
NEW met1 ( 240810 180370 ) ( 248170 * )
NEW li1 ( 209990 8670 ) ( * 10030 )
NEW met1 ( 209990 8670 ) ( 212290 * )
NEW met1 ( 212290 8670 ) ( * 9010 )
NEW met1 ( 212290 9010 ) ( 248170 * )
NEW met1 ( 133170 10030 ) ( 209990 * )
NEW met1 ( 129030 15130 ) ( 130410 * )
NEW met2 ( 130410 15130 ) ( * 19550 )
NEW met2 ( 129030 9350 ) ( * 15130 )
NEW met2 ( 248170 9010 ) ( * 180370 )
NEW met1 ( 129030 9350 ) M1M2_PR
NEW li1 ( 131330 9350 ) L1M1_PR_MR
NEW li1 ( 133170 10030 ) L1M1_PR_MR
NEW met1 ( 248170 180370 ) M1M2_PR
NEW li1 ( 240810 180370 ) L1M1_PR_MR
NEW li1 ( 209990 10030 ) L1M1_PR_MR
NEW li1 ( 209990 8670 ) L1M1_PR_MR
NEW met1 ( 248170 9010 ) M1M2_PR
NEW li1 ( 129030 15130 ) L1M1_PR_MR
NEW met1 ( 130410 15130 ) M1M2_PR
NEW li1 ( 130410 19550 ) L1M1_PR_MR
NEW met1 ( 130410 19550 ) M1M2_PR
NEW met1 ( 129030 15130 ) M1M2_PR
NEW met1 ( 130410 19550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 129030 15130 ) RECT ( -595 -70 0 70 ) ;
- net299 ( ANTENNA_output299_A DIODE ) ( output299 A ) ( _362_ X ) + USE SIGNAL
+ ROUTED met2 ( 69230 47770 ) ( * 77010 )
NEW met1 ( 51290 77010 ) ( 69230 * )
NEW met1 ( 127190 17510 ) ( 127650 * )
NEW met2 ( 127650 17510 ) ( * 23970 )
NEW met2 ( 104650 47260 ) ( * 47770 )
NEW met3 ( 104650 47260 ) ( 127650 * )
NEW met1 ( 69230 47770 ) ( 104650 * )
NEW met2 ( 127650 23970 ) ( * 47260 )
NEW met1 ( 69230 77010 ) M1M2_PR
NEW met1 ( 69230 47770 ) M1M2_PR
NEW li1 ( 51290 77010 ) L1M1_PR_MR
NEW li1 ( 127650 23970 ) L1M1_PR_MR
NEW met1 ( 127650 23970 ) M1M2_PR
NEW li1 ( 127190 17510 ) L1M1_PR_MR
NEW met1 ( 127650 17510 ) M1M2_PR
NEW met1 ( 104650 47770 ) M1M2_PR
NEW met2 ( 104650 47260 ) M2M3_PR_M
NEW met2 ( 127650 47260 ) M2M3_PR_M
NEW met1 ( 127650 23970 ) RECT ( -355 -70 0 70 ) ;
- net3 ( ANTENNA__208__B1 DIODE ) ( input3 X ) ( _208_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 234830 125630 ) ( 239890 * )
NEW met1 ( 126270 93670 ) ( * 94010 )
NEW met1 ( 126270 93670 ) ( 127650 * )
NEW met1 ( 127650 93670 ) ( * 94010 )
NEW met1 ( 127650 94010 ) ( 137310 * )
NEW met1 ( 137310 93670 ) ( * 94010 )
NEW met1 ( 137310 93670 ) ( 142830 * )
NEW met1 ( 142830 92990 ) ( * 93670 )
NEW met1 ( 142830 92990 ) ( 153410 * )
NEW met1 ( 153410 92990 ) ( * 93670 )
NEW met1 ( 211370 93670 ) ( * 94010 )
NEW met1 ( 211370 94010 ) ( 234830 * )
NEW met1 ( 153410 93670 ) ( 211370 * )
NEW met2 ( 234830 94010 ) ( * 125630 )
NEW met1 ( 79810 91630 ) ( 83490 * )
NEW met2 ( 83490 91630 ) ( * 94010 )
NEW met1 ( 83490 94010 ) ( 126270 * )
NEW met1 ( 234830 125630 ) M1M2_PR
NEW li1 ( 239890 125630 ) L1M1_PR_MR
NEW met1 ( 234830 94010 ) M1M2_PR
NEW li1 ( 83490 94010 ) L1M1_PR_MR
NEW li1 ( 79810 91630 ) L1M1_PR_MR
NEW met1 ( 83490 91630 ) M1M2_PR
NEW met1 ( 83490 94010 ) M1M2_PR
NEW met1 ( 83490 94010 ) RECT ( -595 -70 0 70 ) ;
- net30 ( input30 X ) ( _202_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 188370 121210 ) ( 206310 * )
NEW met1 ( 188370 120870 ) ( * 121210 )
NEW met2 ( 206310 84830 ) ( * 121210 )
NEW met1 ( 217810 84830 ) ( * 85170 )
NEW met1 ( 217810 85170 ) ( 218730 * )
NEW met1 ( 218730 84830 ) ( * 85170 )
NEW met1 ( 218730 84830 ) ( 228390 * )
NEW met1 ( 228390 84830 ) ( * 85170 )
NEW met1 ( 228390 85170 ) ( 239430 * )
NEW met1 ( 206310 84830 ) ( 217810 * )
NEW met1 ( 206310 84830 ) M1M2_PR
NEW met1 ( 206310 121210 ) M1M2_PR
NEW li1 ( 188370 120870 ) L1M1_PR_MR
NEW li1 ( 239430 85170 ) L1M1_PR_MR ;
- net300 ( ANTENNA_output300_A DIODE ) ( output300 A ) ( _363_ X ) + USE SIGNAL
+ ROUTED met2 ( 182850 97070 ) ( * 101830 )
NEW met1 ( 151570 101830 ) ( 182850 * )
NEW met1 ( 223330 97070 ) ( * 97410 )
NEW met1 ( 182850 97070 ) ( 223330 * )
NEW met2 ( 136390 12070 ) ( * 13800 )
NEW met2 ( 136850 20570 ) ( * 52190 )
NEW met1 ( 136850 52190 ) ( 151570 * )
NEW met2 ( 136390 13800 ) ( 136850 * )
NEW met2 ( 136850 13800 ) ( * 20570 )
NEW met2 ( 151570 52190 ) ( * 101830 )
NEW li1 ( 136390 12070 ) L1M1_PR_MR
NEW met1 ( 136390 12070 ) M1M2_PR
NEW met1 ( 182850 101830 ) M1M2_PR
NEW met1 ( 182850 97070 ) M1M2_PR
NEW met1 ( 151570 101830 ) M1M2_PR
NEW li1 ( 223330 97410 ) L1M1_PR_MR
NEW li1 ( 136850 20570 ) L1M1_PR_MR
NEW met1 ( 136850 20570 ) M1M2_PR
NEW met1 ( 136850 52190 ) M1M2_PR
NEW met1 ( 151570 52190 ) M1M2_PR
NEW met1 ( 136390 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136850 20570 ) RECT ( -355 -70 0 70 ) ;
- net301 ( ANTENNA_output301_A DIODE ) ( output301 A ) ( _364_ X ) + USE SIGNAL
+ ROUTED met2 ( 74750 40460 ) ( * 110400 )
NEW met2 ( 74290 110400 ) ( 74750 * )
NEW met2 ( 74290 110400 ) ( * 215390 )
NEW met1 ( 48070 215390 ) ( * 215730 )
NEW met1 ( 34730 215730 ) ( 48070 * )
NEW met1 ( 48070 215390 ) ( 74290 * )
NEW met2 ( 130410 23970 ) ( * 40460 )
NEW met2 ( 130870 17510 ) ( * 23970 )
NEW met2 ( 130410 23970 ) ( 130870 * )
NEW met3 ( 74750 40460 ) ( 130410 * )
NEW met2 ( 74750 40460 ) M2M3_PR_M
NEW met1 ( 74290 215390 ) M1M2_PR
NEW li1 ( 34730 215730 ) L1M1_PR_MR
NEW li1 ( 130410 23970 ) L1M1_PR_MR
NEW met1 ( 130410 23970 ) M1M2_PR
NEW met2 ( 130410 40460 ) M2M3_PR_M
NEW li1 ( 130870 17510 ) L1M1_PR_MR
NEW met1 ( 130870 17510 ) M1M2_PR
NEW met1 ( 130410 23970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 130870 17510 ) RECT ( -355 -70 0 70 ) ;
- net302 ( ANTENNA_output302_A DIODE ) ( output302 A ) ( _365_ X ) + USE SIGNAL
+ ROUTED met1 ( 129490 90270 ) ( 132710 * )
NEW met1 ( 129490 90270 ) ( * 90610 )
NEW met1 ( 132710 23970 ) ( 134090 * )
NEW met1 ( 132710 15130 ) ( 135010 * )
NEW met2 ( 132710 15130 ) ( * 23970 )
NEW met2 ( 132710 23970 ) ( * 90270 )
NEW met1 ( 117990 90610 ) ( 129490 * )
NEW met1 ( 132710 90270 ) M1M2_PR
NEW li1 ( 134090 23970 ) L1M1_PR_MR
NEW met1 ( 132710 23970 ) M1M2_PR
NEW li1 ( 135010 15130 ) L1M1_PR_MR
NEW met1 ( 132710 15130 ) M1M2_PR
NEW li1 ( 117990 90610 ) L1M1_PR_MR ;
- net303 ( output303 A ) ( _366_ X ) + USE SIGNAL
+ ROUTED met1 ( 132710 9690 ) ( * 10030 )
NEW met1 ( 132710 9690 ) ( 136850 * )
NEW met2 ( 136850 9690 ) ( * 12070 )
NEW met1 ( 136850 12070 ) ( 138690 * )
NEW met1 ( 119370 10030 ) ( 132710 * )
NEW met1 ( 89010 15470 ) ( * 15810 )
NEW met1 ( 89010 15470 ) ( 119370 * )
NEW met2 ( 119370 10030 ) ( * 15470 )
NEW met1 ( 119370 10030 ) M1M2_PR
NEW met1 ( 136850 9690 ) M1M2_PR
NEW met1 ( 136850 12070 ) M1M2_PR
NEW li1 ( 138690 12070 ) L1M1_PR_MR
NEW li1 ( 89010 15810 ) L1M1_PR_MR
NEW met1 ( 119370 15470 ) M1M2_PR ;
- net304 ( ANTENNA_output304_A DIODE ) ( output304 A ) ( _367_ X ) + USE SIGNAL
+ ROUTED met1 ( 78430 30430 ) ( 83490 * )
NEW li1 ( 83490 30430 ) ( * 31450 )
NEW met1 ( 83490 31450 ) ( 87630 * )
NEW met1 ( 87630 31450 ) ( * 31790 )
NEW met1 ( 117070 22950 ) ( 136850 * )
NEW met2 ( 117070 22950 ) ( * 31790 )
NEW met1 ( 134550 17510 ) ( 135010 * )
NEW met2 ( 135010 17510 ) ( * 22950 )
NEW met1 ( 87630 31790 ) ( 117070 * )
NEW met2 ( 78430 30430 ) ( * 128350 )
NEW met1 ( 78430 30430 ) M1M2_PR
NEW li1 ( 83490 30430 ) L1M1_PR_MR
NEW li1 ( 83490 31450 ) L1M1_PR_MR
NEW li1 ( 78430 128350 ) L1M1_PR_MR
NEW met1 ( 78430 128350 ) M1M2_PR
NEW li1 ( 136850 22950 ) L1M1_PR_MR
NEW met1 ( 117070 22950 ) M1M2_PR
NEW met1 ( 117070 31790 ) M1M2_PR
NEW li1 ( 134550 17510 ) L1M1_PR_MR
NEW met1 ( 135010 17510 ) M1M2_PR
NEW met1 ( 135010 22950 ) M1M2_PR
NEW met1 ( 78430 128350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135010 22950 ) RECT ( -595 -70 0 70 ) ;
- net305 ( ANTENNA_output305_A DIODE ) ( output305 A ) ( _368_ X ) + USE SIGNAL
+ ROUTED met1 ( 240350 145010 ) ( 247250 * )
NEW met1 ( 140990 24990 ) ( 144670 * )
NEW met2 ( 144670 24990 ) ( * 53210 )
NEW met1 ( 139610 15130 ) ( 140070 * )
NEW met2 ( 139610 15130 ) ( * 24990 )
NEW met1 ( 139610 24990 ) ( 140990 * )
NEW li1 ( 247250 53890 ) ( * 145010 )
NEW met1 ( 160770 53210 ) ( * 53890 )
NEW met1 ( 144670 53210 ) ( 160770 * )
NEW met1 ( 160770 53890 ) ( 247250 * )
NEW li1 ( 247250 145010 ) L1M1_PR_MR
NEW li1 ( 240350 145010 ) L1M1_PR_MR
NEW li1 ( 140990 24990 ) L1M1_PR_MR
NEW met1 ( 144670 24990 ) M1M2_PR
NEW met1 ( 144670 53210 ) M1M2_PR
NEW li1 ( 140070 15130 ) L1M1_PR_MR
NEW met1 ( 139610 15130 ) M1M2_PR
NEW met1 ( 139610 24990 ) M1M2_PR
NEW li1 ( 247250 53890 ) L1M1_PR_MR ;
- net306 ( ANTENNA_output306_A DIODE ) ( output306 A ) ( _369_ X ) + USE SIGNAL
+ ROUTED met1 ( 33810 66470 ) ( 136390 * )
NEW met1 ( 33350 221510 ) ( 33810 * )
NEW met2 ( 33810 66470 ) ( * 221510 )
NEW met1 ( 136390 17510 ) ( 136850 * )
NEW met2 ( 136390 17510 ) ( * 26690 )
NEW met2 ( 136390 26690 ) ( * 66470 )
NEW met1 ( 33810 66470 ) M1M2_PR
NEW met1 ( 136390 66470 ) M1M2_PR
NEW met1 ( 33810 221510 ) M1M2_PR
NEW li1 ( 33350 221510 ) L1M1_PR_MR
NEW li1 ( 136390 26690 ) L1M1_PR_MR
NEW met1 ( 136390 26690 ) M1M2_PR
NEW li1 ( 136850 17510 ) L1M1_PR_MR
NEW met1 ( 136390 17510 ) M1M2_PR
NEW met1 ( 136390 26690 ) RECT ( -355 -70 0 70 ) ;
- net307 ( ANTENNA_output307_A DIODE ) ( output307 A ) ( _370_ X ) + USE SIGNAL
+ ROUTED met1 ( 201250 199070 ) ( 214590 * )
NEW met1 ( 204010 66130 ) ( * 66810 )
NEW met1 ( 203090 66810 ) ( 204010 * )
NEW met2 ( 203090 66810 ) ( * 88910 )
NEW met1 ( 201250 88910 ) ( 203090 * )
NEW met2 ( 201250 88910 ) ( * 199070 )
NEW met1 ( 154330 66130 ) ( 204010 * )
NEW met1 ( 152030 23290 ) ( 154330 * )
NEW met1 ( 143750 15130 ) ( 144210 * )
NEW met2 ( 144210 15130 ) ( * 23290 )
NEW met1 ( 144210 23290 ) ( 152030 * )
NEW met2 ( 154330 23290 ) ( * 66130 )
NEW met1 ( 201250 199070 ) M1M2_PR
NEW li1 ( 214590 199070 ) L1M1_PR_MR
NEW met1 ( 203090 66810 ) M1M2_PR
NEW met1 ( 203090 88910 ) M1M2_PR
NEW met1 ( 201250 88910 ) M1M2_PR
NEW met1 ( 154330 66130 ) M1M2_PR
NEW li1 ( 152030 23290 ) L1M1_PR_MR
NEW met1 ( 154330 23290 ) M1M2_PR
NEW li1 ( 143750 15130 ) L1M1_PR_MR
NEW met1 ( 144210 15130 ) M1M2_PR
NEW met1 ( 144210 23290 ) M1M2_PR ;
- net308 ( ANTENNA_output308_A DIODE ) ( output308 A ) ( _371_ X ) + USE SIGNAL
+ ROUTED met1 ( 219190 159630 ) ( 219650 * )
NEW met2 ( 219190 159630 ) ( * 161670 )
NEW met1 ( 138690 88570 ) ( 155710 * )
NEW met1 ( 155710 161670 ) ( 219190 * )
NEW met1 ( 138690 26690 ) ( 143290 * )
NEW met2 ( 141910 17510 ) ( * 26690 )
NEW met2 ( 138690 26690 ) ( * 88570 )
NEW met2 ( 155710 88570 ) ( * 161670 )
NEW li1 ( 219650 159630 ) L1M1_PR_MR
NEW met1 ( 219190 159630 ) M1M2_PR
NEW met1 ( 219190 161670 ) M1M2_PR
NEW met1 ( 138690 88570 ) M1M2_PR
NEW met1 ( 155710 88570 ) M1M2_PR
NEW met1 ( 155710 161670 ) M1M2_PR
NEW li1 ( 143290 26690 ) L1M1_PR_MR
NEW met1 ( 138690 26690 ) M1M2_PR
NEW li1 ( 141910 17510 ) L1M1_PR_MR
NEW met1 ( 141910 17510 ) M1M2_PR
NEW met1 ( 141910 26690 ) M1M2_PR
NEW met1 ( 141910 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 141910 26690 ) RECT ( -595 -70 0 70 ) ;
- net309 ( ANTENNA_output309_A DIODE ) ( output309 A ) ( _372_ X ) + USE SIGNAL
+ ROUTED met2 ( 170890 63070 ) ( * 92990 )
NEW met1 ( 170890 92990 ) ( 192050 * )
NEW met1 ( 140990 63070 ) ( 170890 * )
NEW met1 ( 140530 20570 ) ( 140990 * )
NEW met2 ( 140990 20570 ) ( * 29410 )
NEW met2 ( 140990 29410 ) ( * 63070 )
NEW met1 ( 170890 63070 ) M1M2_PR
NEW met1 ( 170890 92990 ) M1M2_PR
NEW li1 ( 192050 92990 ) L1M1_PR_MR
NEW met1 ( 140990 63070 ) M1M2_PR
NEW li1 ( 140990 29410 ) L1M1_PR_MR
NEW met1 ( 140990 29410 ) M1M2_PR
NEW li1 ( 140530 20570 ) L1M1_PR_MR
NEW met1 ( 140990 20570 ) M1M2_PR
NEW met1 ( 140990 29410 ) RECT ( -355 -70 0 70 ) ;
- net31 ( ANTENNA__203__B1 DIODE ) ( input31 X ) ( _203_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 217810 67490 ) ( 223330 * )
NEW met1 ( 209530 66470 ) ( * 66810 )
NEW met1 ( 209530 66810 ) ( 217810 * )
NEW met1 ( 217810 66810 ) ( * 67490 )
NEW met1 ( 223330 228990 ) ( 226090 * )
NEW met2 ( 223330 67490 ) ( * 228990 )
NEW li1 ( 217810 67490 ) L1M1_PR_MR
NEW met1 ( 223330 67490 ) M1M2_PR
NEW li1 ( 209530 66470 ) L1M1_PR_MR
NEW met1 ( 223330 228990 ) M1M2_PR
NEW li1 ( 226090 228990 ) L1M1_PR_MR ;
- net310 ( ANTENNA_output310_A DIODE ) ( output310 A ) ( _373_ X ) + USE SIGNAL
+ ROUTED met2 ( 149270 26690 ) ( * 61030 )
NEW met1 ( 144210 20570 ) ( 147430 * )
NEW met1 ( 147430 20570 ) ( * 20910 )
NEW met1 ( 147430 20910 ) ( 148810 * )
NEW met2 ( 148810 20910 ) ( * 22780 )
NEW met2 ( 148810 22780 ) ( 149270 * )
NEW met2 ( 149270 22780 ) ( * 26690 )
NEW met2 ( 208610 59330 ) ( * 61030 )
NEW met1 ( 149270 61030 ) ( 208610 * )
NEW li1 ( 149270 26690 ) L1M1_PR_MR
NEW met1 ( 149270 26690 ) M1M2_PR
NEW met1 ( 149270 61030 ) M1M2_PR
NEW li1 ( 144210 20570 ) L1M1_PR_MR
NEW met1 ( 148810 20910 ) M1M2_PR
NEW li1 ( 208610 59330 ) L1M1_PR_MR
NEW met1 ( 208610 59330 ) M1M2_PR
NEW met1 ( 208610 61030 ) M1M2_PR
NEW met1 ( 149270 26690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 208610 59330 ) RECT ( -355 -70 0 70 ) ;
- net311 ( ANTENNA_output311_A DIODE ) ( output311 A ) ( _374_ X ) + USE SIGNAL
+ ROUTED met1 ( 48530 163710 ) ( 60950 * )
NEW met3 ( 60950 74460 ) ( 157550 * )
NEW met2 ( 60950 74460 ) ( * 163710 )
NEW met2 ( 157550 62100 ) ( * 74460 )
NEW met1 ( 154790 22610 ) ( 158470 * )
NEW met2 ( 158470 22610 ) ( * 38420 )
NEW met2 ( 158010 38420 ) ( 158470 * )
NEW met2 ( 158010 38420 ) ( * 62100 )
NEW met2 ( 157550 62100 ) ( 158010 * )
NEW met1 ( 149270 17510 ) ( 152030 * )
NEW met2 ( 152030 17510 ) ( * 22610 )
NEW met1 ( 152030 22610 ) ( 154790 * )
NEW met2 ( 60950 74460 ) M2M3_PR_M
NEW met1 ( 60950 163710 ) M1M2_PR
NEW li1 ( 48530 163710 ) L1M1_PR_MR
NEW met2 ( 157550 74460 ) M2M3_PR_M
NEW li1 ( 154790 22610 ) L1M1_PR_MR
NEW met1 ( 158470 22610 ) M1M2_PR
NEW li1 ( 149270 17510 ) L1M1_PR_MR
NEW met1 ( 152030 17510 ) M1M2_PR
NEW met1 ( 152030 22610 ) M1M2_PR ;
- net312 ( ANTENNA_output312_A DIODE ) ( output312 A ) ( _375_ X ) + USE SIGNAL
+ ROUTED met1 ( 152030 26690 ) ( 152490 * )
NEW met2 ( 152490 26690 ) ( * 43010 )
NEW met1 ( 145590 43010 ) ( 152490 * )
NEW met2 ( 151570 15130 ) ( * 26690 )
NEW met1 ( 151570 26690 ) ( 152030 * )
NEW met1 ( 145590 147390 ) ( 149730 * )
NEW met2 ( 145590 43010 ) ( * 147390 )
NEW li1 ( 152030 26690 ) L1M1_PR_MR
NEW met1 ( 152490 26690 ) M1M2_PR
NEW met1 ( 152490 43010 ) M1M2_PR
NEW met1 ( 145590 43010 ) M1M2_PR
NEW li1 ( 151570 15130 ) L1M1_PR_MR
NEW met1 ( 151570 15130 ) M1M2_PR
NEW met1 ( 151570 26690 ) M1M2_PR
NEW met1 ( 145590 147390 ) M1M2_PR
NEW li1 ( 149730 147390 ) L1M1_PR_MR
NEW met1 ( 151570 15130 ) RECT ( -355 -70 0 70 ) ;
- net313 ( ANTENNA_output313_A DIODE ) ( output313 A ) ( _376_ X ) + USE SIGNAL
+ ROUTED met1 ( 162150 12070 ) ( 163070 * )
NEW met1 ( 163070 12070 ) ( * 12410 )
NEW met1 ( 232070 160990 ) ( 244030 * )
NEW met2 ( 163070 12410 ) ( * 13800 )
NEW met1 ( 163070 19550 ) ( 163990 * )
NEW met2 ( 163990 19550 ) ( * 26860 )
NEW met2 ( 163070 13800 ) ( 163990 * )
NEW met2 ( 163990 13800 ) ( * 19550 )
NEW met4 ( 207460 25500 ) ( * 26860 )
NEW met4 ( 207460 25500 ) ( 208380 * )
NEW met3 ( 208380 25500 ) ( 244030 * )
NEW met3 ( 163990 26860 ) ( 207460 * )
NEW met2 ( 244030 25500 ) ( * 160990 )
NEW li1 ( 162150 12070 ) L1M1_PR_MR
NEW met1 ( 163070 12410 ) M1M2_PR
NEW met1 ( 244030 160990 ) M1M2_PR
NEW li1 ( 232070 160990 ) L1M1_PR_MR
NEW li1 ( 163070 19550 ) L1M1_PR_MR
NEW met1 ( 163990 19550 ) M1M2_PR
NEW met2 ( 163990 26860 ) M2M3_PR_M
NEW met3 ( 207460 26860 ) M3M4_PR_M
NEW met3 ( 208380 25500 ) M3M4_PR_M
NEW met2 ( 244030 25500 ) M2M3_PR_M ;
- net314 ( output314 A ) ( _377_ X ) + USE SIGNAL
+ ROUTED met2 ( 201710 32300 ) ( * 44030 )
NEW met2 ( 150190 20570 ) ( * 32300 )
NEW met1 ( 147890 20570 ) ( 150190 * )
NEW met3 ( 150190 32300 ) ( 201710 * )
NEW met2 ( 201710 32300 ) M2M3_PR_M
NEW li1 ( 201710 44030 ) L1M1_PR_MR
NEW met1 ( 201710 44030 ) M1M2_PR
NEW met2 ( 150190 32300 ) M2M3_PR_M
NEW met1 ( 150190 20570 ) M1M2_PR
NEW li1 ( 147890 20570 ) L1M1_PR_MR
NEW met1 ( 201710 44030 ) RECT ( -355 -70 0 70 ) ;
- net315 ( ANTENNA_output315_A DIODE ) ( output315 A ) ( _378_ X ) + USE SIGNAL
+ ROUTED met2 ( 109250 23630 ) ( * 24990 )
NEW met1 ( 73370 61710 ) ( 73830 * )
NEW met1 ( 114310 26010 ) ( 154330 * )
NEW met2 ( 114310 23630 ) ( * 26010 )
NEW met2 ( 152950 17510 ) ( * 26010 )
NEW met1 ( 109250 23630 ) ( 114310 * )
NEW met1 ( 73370 36550 ) ( 85330 * )
NEW met2 ( 85330 24990 ) ( * 36550 )
NEW met2 ( 73370 36550 ) ( * 61710 )
NEW met1 ( 85330 24990 ) ( 109250 * )
NEW met1 ( 109250 23630 ) M1M2_PR
NEW met1 ( 109250 24990 ) M1M2_PR
NEW met1 ( 73370 61710 ) M1M2_PR
NEW li1 ( 73830 61710 ) L1M1_PR_MR
NEW li1 ( 154330 26010 ) L1M1_PR_MR
NEW met1 ( 114310 26010 ) M1M2_PR
NEW met1 ( 114310 23630 ) M1M2_PR
NEW li1 ( 152950 17510 ) L1M1_PR_MR
NEW met1 ( 152950 17510 ) M1M2_PR
NEW met1 ( 152950 26010 ) M1M2_PR
NEW met1 ( 73370 36550 ) M1M2_PR
NEW met1 ( 85330 36550 ) M1M2_PR
NEW met1 ( 85330 24990 ) M1M2_PR
NEW met1 ( 152950 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 152950 26010 ) RECT ( -595 -70 0 70 ) ;
- net316 ( ANTENNA_output316_A DIODE ) ( output316 A ) ( _379_ X ) + USE SIGNAL
+ ROUTED met1 ( 68310 15130 ) ( 68770 * )
NEW met2 ( 68770 15130 ) ( * 22270 )
NEW met1 ( 68770 22270 ) ( 72450 * )
NEW met2 ( 72450 20910 ) ( * 22270 )
NEW met2 ( 165830 12070 ) ( * 13800 )
NEW met1 ( 165830 19550 ) ( 166290 * )
NEW met2 ( 166290 13800 ) ( * 19550 )
NEW met2 ( 165830 13800 ) ( 166290 * )
NEW met2 ( 166290 19550 ) ( * 21250 )
NEW met1 ( 108330 20910 ) ( * 21250 )
NEW met1 ( 72450 20910 ) ( 108330 * )
NEW met1 ( 108330 21250 ) ( 166290 * )
NEW li1 ( 165830 12070 ) L1M1_PR_MR
NEW met1 ( 165830 12070 ) M1M2_PR
NEW li1 ( 68310 15130 ) L1M1_PR_MR
NEW met1 ( 68770 15130 ) M1M2_PR
NEW met1 ( 68770 22270 ) M1M2_PR
NEW met1 ( 72450 22270 ) M1M2_PR
NEW met1 ( 72450 20910 ) M1M2_PR
NEW li1 ( 165830 19550 ) L1M1_PR_MR
NEW met1 ( 166290 19550 ) M1M2_PR
NEW met1 ( 166290 21250 ) M1M2_PR
NEW met1 ( 165830 12070 ) RECT ( -355 -70 0 70 ) ;
- net317 ( output317 A ) ( _380_ X ) + USE SIGNAL
+ ROUTED met1 ( 124890 17170 ) ( 138230 * )
NEW met1 ( 138230 16830 ) ( * 17170 )
NEW met1 ( 138230 16830 ) ( 142370 * )
NEW met1 ( 142370 16830 ) ( * 17510 )
NEW met1 ( 142370 17510 ) ( 146970 * )
NEW met2 ( 146970 17510 ) ( * 18700 )
NEW met2 ( 146970 18700 ) ( 147430 * )
NEW met2 ( 147430 18700 ) ( * 19550 )
NEW met1 ( 147430 19550 ) ( 149730 * )
NEW met2 ( 149730 19550 ) ( * 20910 )
NEW met1 ( 149730 20910 ) ( 150650 * )
NEW met1 ( 150650 20570 ) ( * 20910 )
NEW met2 ( 124890 17170 ) ( * 65790 )
NEW li1 ( 124890 65790 ) L1M1_PR_MR
NEW met1 ( 124890 65790 ) M1M2_PR
NEW met1 ( 124890 17170 ) M1M2_PR
NEW met1 ( 146970 17510 ) M1M2_PR
NEW met1 ( 147430 19550 ) M1M2_PR
NEW met1 ( 149730 19550 ) M1M2_PR
NEW met1 ( 149730 20910 ) M1M2_PR
NEW li1 ( 150650 20570 ) L1M1_PR_MR
NEW met1 ( 124890 65790 ) RECT ( -355 -70 0 70 ) ;
- net318 ( ANTENNA_output318_A DIODE ) ( output318 A ) ( _381_ X ) + USE SIGNAL
+ ROUTED met1 ( 125350 83470 ) ( 152030 * )
NEW met1 ( 152030 23970 ) ( 157090 * )
NEW met2 ( 156630 17510 ) ( * 23970 )
NEW met2 ( 152030 23970 ) ( * 83470 )
NEW met1 ( 152030 83470 ) M1M2_PR
NEW li1 ( 125350 83470 ) L1M1_PR_MR
NEW li1 ( 157090 23970 ) L1M1_PR_MR
NEW met1 ( 152030 23970 ) M1M2_PR
NEW li1 ( 156630 17510 ) L1M1_PR_MR
NEW met1 ( 156630 17510 ) M1M2_PR
NEW met1 ( 156630 23970 ) M1M2_PR
NEW met1 ( 156630 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 156630 23970 ) RECT ( -595 -70 0 70 ) ;
- net319 ( ANTENNA_output319_A DIODE ) ( output319 A ) ( _382_ X ) + USE SIGNAL
+ ROUTED met2 ( 160770 15130 ) ( * 23970 )
NEW met2 ( 159850 23970 ) ( 160770 * )
NEW met2 ( 159850 23970 ) ( * 151470 )
NEW met1 ( 141450 199070 ) ( 156170 * )
NEW met2 ( 156170 151470 ) ( * 199070 )
NEW met1 ( 156170 151470 ) ( 159850 * )
NEW li1 ( 159850 23970 ) L1M1_PR_MR
NEW met1 ( 159850 23970 ) M1M2_PR
NEW li1 ( 160770 15130 ) L1M1_PR_MR
NEW met1 ( 160770 15130 ) M1M2_PR
NEW met1 ( 159850 151470 ) M1M2_PR
NEW met1 ( 156170 199070 ) M1M2_PR
NEW li1 ( 141450 199070 ) L1M1_PR_MR
NEW met1 ( 156170 151470 ) M1M2_PR
NEW met1 ( 159850 23970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 160770 15130 ) RECT ( -355 -70 0 70 ) ;
- net32 ( ANTENNA__204__B1 DIODE ) ( input32 X ) ( _204_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 157550 94010 ) ( 207000 * )
NEW met1 ( 207000 94010 ) ( * 94350 )
NEW met1 ( 207000 94350 ) ( 239890 * )
NEW met1 ( 156170 145690 ) ( 157090 * )
NEW met2 ( 156170 145690 ) ( * 145860 )
NEW met3 ( 149270 145860 ) ( 156170 * )
NEW met2 ( 149270 145690 ) ( * 145860 )
NEW met1 ( 157090 145690 ) ( 157550 * )
NEW met2 ( 157550 94010 ) ( * 145690 )
NEW met1 ( 157550 94010 ) M1M2_PR
NEW li1 ( 239890 94350 ) L1M1_PR_MR
NEW li1 ( 157090 145690 ) L1M1_PR_MR
NEW met1 ( 156170 145690 ) M1M2_PR
NEW met2 ( 156170 145860 ) M2M3_PR_M
NEW met2 ( 149270 145860 ) M2M3_PR_M
NEW li1 ( 149270 145690 ) L1M1_PR_MR
NEW met1 ( 149270 145690 ) M1M2_PR
NEW met1 ( 157550 145690 ) M1M2_PR
NEW met1 ( 149270 145690 ) RECT ( 0 -70 355 70 ) ;
- net320 ( ANTENNA_output320_A DIODE ) ( output320 A ) ( _383_ X ) + USE SIGNAL
+ ROUTED met1 ( 162150 23970 ) ( 162610 * )
NEW met2 ( 162150 23970 ) ( * 40290 )
NEW met1 ( 160310 17510 ) ( 161690 * )
NEW met2 ( 161690 17510 ) ( 162150 * )
NEW met2 ( 162150 17510 ) ( * 23970 )
NEW met1 ( 143290 193630 ) ( 148350 * )
NEW met2 ( 148350 62100 ) ( 148810 * )
NEW met2 ( 148810 40290 ) ( * 62100 )
NEW met1 ( 148810 40290 ) ( 162150 * )
NEW met2 ( 148350 62100 ) ( * 193630 )
NEW li1 ( 162610 23970 ) L1M1_PR_MR
NEW met1 ( 162150 23970 ) M1M2_PR
NEW met1 ( 162150 40290 ) M1M2_PR
NEW li1 ( 160310 17510 ) L1M1_PR_MR
NEW met1 ( 161690 17510 ) M1M2_PR
NEW met1 ( 148350 193630 ) M1M2_PR
NEW li1 ( 143290 193630 ) L1M1_PR_MR
NEW met1 ( 148810 40290 ) M1M2_PR ;
- net321 ( ANTENNA_output321_A DIODE ) ( output321 A ) ( _384_ X ) + USE SIGNAL
+ ROUTED met2 ( 165830 15130 ) ( * 23970 )
NEW met2 ( 165830 23970 ) ( * 134470 )
NEW met1 ( 145130 134130 ) ( * 134470 )
NEW met1 ( 123510 134130 ) ( 145130 * )
NEW met1 ( 145130 134470 ) ( 165830 * )
NEW li1 ( 165830 23970 ) L1M1_PR_MR
NEW met1 ( 165830 23970 ) M1M2_PR
NEW li1 ( 165830 15130 ) L1M1_PR_MR
NEW met1 ( 165830 15130 ) M1M2_PR
NEW met1 ( 165830 134470 ) M1M2_PR
NEW li1 ( 123510 134130 ) L1M1_PR_MR
NEW met1 ( 165830 23970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 165830 15130 ) RECT ( -355 -70 0 70 ) ;
- net322 ( ANTENNA_output322_A DIODE ) ( output322 A ) ( _385_ X ) + USE SIGNAL
+ ROUTED met1 ( 169510 15130 ) ( 169970 * )
NEW met2 ( 169970 15130 ) ( * 20570 )
NEW met1 ( 169970 225250 ) ( 202170 * )
NEW met2 ( 202170 225250 ) ( * 226270 )
NEW met2 ( 169970 20570 ) ( * 225250 )
NEW li1 ( 169970 20570 ) L1M1_PR_MR
NEW met1 ( 169970 20570 ) M1M2_PR
NEW li1 ( 169510 15130 ) L1M1_PR_MR
NEW met1 ( 169970 15130 ) M1M2_PR
NEW met1 ( 169970 225250 ) M1M2_PR
NEW met1 ( 202170 225250 ) M1M2_PR
NEW li1 ( 202170 226270 ) L1M1_PR_MR
NEW met1 ( 202170 226270 ) M1M2_PR
NEW met1 ( 169970 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 202170 226270 ) RECT ( -355 -70 0 70 ) ;
- net323 ( ANTENNA_output323_A DIODE ) ( output323 A ) ( _386_ X ) + USE SIGNAL
+ ROUTED met1 ( 108330 23630 ) ( * 23970 )
NEW met1 ( 162150 24990 ) ( 162610 * )
NEW met2 ( 162610 17510 ) ( * 24990 )
NEW met2 ( 15410 42670 ) ( * 47090 )
NEW met1 ( 11730 47090 ) ( 15410 * )
NEW met1 ( 158700 23290 ) ( 162610 * )
NEW met1 ( 116610 23630 ) ( * 23970 )
NEW met1 ( 116610 23630 ) ( 158700 * )
NEW met1 ( 158700 23290 ) ( * 23630 )
NEW met1 ( 108330 23970 ) ( 116610 * )
NEW met2 ( 80730 23630 ) ( * 42670 )
NEW met1 ( 15410 42670 ) ( 80730 * )
NEW met1 ( 80730 23630 ) ( 108330 * )
NEW li1 ( 11730 47090 ) L1M1_PR_MR
NEW li1 ( 162150 24990 ) L1M1_PR_MR
NEW met1 ( 162610 24990 ) M1M2_PR
NEW li1 ( 162610 17510 ) L1M1_PR_MR
NEW met1 ( 162610 17510 ) M1M2_PR
NEW met1 ( 162610 23290 ) M1M2_PR
NEW met1 ( 15410 47090 ) M1M2_PR
NEW met1 ( 15410 42670 ) M1M2_PR
NEW met1 ( 80730 42670 ) M1M2_PR
NEW met1 ( 80730 23630 ) M1M2_PR
NEW met1 ( 162610 17510 ) RECT ( -355 -70 0 70 )
NEW met2 ( 162610 23290 ) RECT ( -70 -485 70 0 ) ;
- net324 ( ANTENNA_output324_A DIODE ) ( output324 A ) ( _387_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 76670 ) ( * 77180 )
NEW met1 ( 173190 19550 ) ( 173650 * )
NEW met2 ( 173650 19550 ) ( * 48620 )
NEW met1 ( 173190 15130 ) ( 173650 * )
NEW met2 ( 173650 15130 ) ( * 19550 )
NEW met2 ( 143290 48620 ) ( * 77180 )
NEW met3 ( 143290 48620 ) ( 173650 * )
NEW met3 ( 9890 77180 ) ( 143290 * )
NEW met2 ( 9890 77180 ) M2M3_PR_M
NEW li1 ( 9890 76670 ) L1M1_PR_MR
NEW met1 ( 9890 76670 ) M1M2_PR
NEW li1 ( 173190 19550 ) L1M1_PR_MR
NEW met1 ( 173650 19550 ) M1M2_PR
NEW met2 ( 173650 48620 ) M2M3_PR_M
NEW li1 ( 173190 15130 ) L1M1_PR_MR
NEW met1 ( 173650 15130 ) M1M2_PR
NEW met2 ( 143290 77180 ) M2M3_PR_M
NEW met2 ( 143290 48620 ) M2M3_PR_M
NEW met1 ( 9890 76670 ) RECT ( -355 -70 0 70 ) ;
- net325 ( output325 A ) ( _388_ X ) + USE SIGNAL
+ ROUTED met2 ( 147890 17850 ) ( * 22270 )
NEW met1 ( 147890 17850 ) ( 152490 * )
NEW met1 ( 152490 17850 ) ( * 18190 )
NEW met1 ( 152490 18190 ) ( 153870 * )
NEW met1 ( 153870 17850 ) ( * 18190 )
NEW met1 ( 153870 17850 ) ( 166290 * )
NEW met1 ( 166290 17510 ) ( * 17850 )
NEW met1 ( 143290 22270 ) ( 147890 * )
NEW li1 ( 143290 22270 ) L1M1_PR_MR
NEW met1 ( 147890 22270 ) M1M2_PR
NEW met1 ( 147890 17850 ) M1M2_PR
NEW li1 ( 166290 17510 ) L1M1_PR_MR ;
- net326 ( ANTENNA_output326_A DIODE ) ( output326 A ) ( _389_ X ) + USE SIGNAL
+ ROUTED met1 ( 197570 173570 ) ( 216430 * )
NEW met1 ( 173650 12070 ) ( 175030 * )
NEW met1 ( 173190 75310 ) ( 197570 * )
NEW met2 ( 173650 12070 ) ( * 13800 )
NEW met1 ( 173190 23970 ) ( 175490 * )
NEW met2 ( 173190 13800 ) ( 173650 * )
NEW met2 ( 173190 13800 ) ( * 23970 )
NEW met2 ( 173190 23970 ) ( * 75310 )
NEW met2 ( 197570 75310 ) ( * 173570 )
NEW met1 ( 197570 173570 ) M1M2_PR
NEW li1 ( 216430 173570 ) L1M1_PR_MR
NEW li1 ( 175030 12070 ) L1M1_PR_MR
NEW met1 ( 173650 12070 ) M1M2_PR
NEW met1 ( 173190 75310 ) M1M2_PR
NEW met1 ( 197570 75310 ) M1M2_PR
NEW li1 ( 175490 23970 ) L1M1_PR_MR
NEW met1 ( 173190 23970 ) M1M2_PR ;
- net327 ( ANTENNA_output327_A DIODE ) ( output327 A ) ( _390_ X ) + USE SIGNAL
+ ROUTED met1 ( 162150 22610 ) ( 178250 * )
NEW met1 ( 162150 22270 ) ( * 22610 )
NEW met1 ( 176870 15130 ) ( 177330 * )
NEW met2 ( 177330 15130 ) ( * 22610 )
NEW met2 ( 26450 88060 ) ( * 90270 )
NEW met2 ( 138230 84660 ) ( * 88060 )
NEW met3 ( 138230 84660 ) ( 143750 * )
NEW met1 ( 143750 22610 ) ( 149730 * )
NEW met1 ( 149730 22270 ) ( * 22610 )
NEW met2 ( 143750 22610 ) ( * 84660 )
NEW met1 ( 149730 22270 ) ( 162150 * )
NEW met3 ( 26450 88060 ) ( 138230 * )
NEW li1 ( 178250 22610 ) L1M1_PR_MR
NEW li1 ( 176870 15130 ) L1M1_PR_MR
NEW met1 ( 177330 15130 ) M1M2_PR
NEW met1 ( 177330 22610 ) M1M2_PR
NEW met2 ( 26450 88060 ) M2M3_PR_M
NEW li1 ( 26450 90270 ) L1M1_PR_MR
NEW met1 ( 26450 90270 ) M1M2_PR
NEW met2 ( 138230 88060 ) M2M3_PR_M
NEW met2 ( 138230 84660 ) M2M3_PR_M
NEW met2 ( 143750 84660 ) M2M3_PR_M
NEW met1 ( 143750 22610 ) M1M2_PR
NEW met1 ( 177330 22610 ) RECT ( -595 -70 0 70 )
NEW met1 ( 26450 90270 ) RECT ( -355 -70 0 70 ) ;
- net328 ( ANTENNA_output328_A DIODE ) ( output328 A ) ( _391_ X ) + USE SIGNAL
+ ROUTED met1 ( 231150 128350 ) ( 231610 * )
NEW met2 ( 206310 24820 ) ( * 26010 )
NEW met2 ( 231610 62100 ) ( * 128350 )
NEW met2 ( 207690 24820 ) ( * 47090 )
NEW met1 ( 207690 47090 ) ( 231150 * )
NEW met1 ( 231150 47090 ) ( * 47430 )
NEW met2 ( 231150 47430 ) ( * 62100 )
NEW met2 ( 231150 62100 ) ( 231610 * )
NEW met3 ( 206310 24820 ) ( 207690 * )
NEW met1 ( 179630 24990 ) ( 186990 * )
NEW met1 ( 186990 24990 ) ( * 26010 )
NEW met1 ( 178710 12070 ) ( * 12410 )
NEW met1 ( 178710 12410 ) ( 179170 * )
NEW met2 ( 179170 12410 ) ( * 24990 )
NEW met1 ( 179170 24990 ) ( 179630 * )
NEW met1 ( 186990 26010 ) ( 206310 * )
NEW met1 ( 231610 128350 ) M1M2_PR
NEW li1 ( 231150 128350 ) L1M1_PR_MR
NEW met1 ( 206310 26010 ) M1M2_PR
NEW met2 ( 206310 24820 ) M2M3_PR_M
NEW met2 ( 207690 24820 ) M2M3_PR_M
NEW met1 ( 207690 47090 ) M1M2_PR
NEW met1 ( 231150 47430 ) M1M2_PR
NEW li1 ( 179630 24990 ) L1M1_PR_MR
NEW li1 ( 178710 12070 ) L1M1_PR_MR
NEW met1 ( 179170 12410 ) M1M2_PR
NEW met1 ( 179170 24990 ) M1M2_PR ;
- net329 ( ANTENNA_output329_A DIODE ) ( output329 A ) ( _392_ X ) + USE SIGNAL
+ ROUTED met1 ( 163530 26350 ) ( 181930 * )
NEW met1 ( 180090 15130 ) ( 180550 * )
NEW met2 ( 180090 15130 ) ( * 26350 )
NEW met2 ( 163530 26350 ) ( * 133790 )
NEW li1 ( 181930 26350 ) L1M1_PR_MR
NEW met1 ( 163530 26350 ) M1M2_PR
NEW li1 ( 180550 15130 ) L1M1_PR_MR
NEW met1 ( 180090 15130 ) M1M2_PR
NEW met1 ( 180090 26350 ) M1M2_PR
NEW li1 ( 163530 133790 ) L1M1_PR_MR
NEW met1 ( 163530 133790 ) M1M2_PR
NEW met1 ( 180090 26350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 163530 133790 ) RECT ( -355 -70 0 70 ) ;
- net33 ( ANTENNA__193__A1 DIODE ) ( input33 X ) ( _193_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 10810 50830 ) ( * 52190 )
NEW met2 ( 86250 44030 ) ( * 50830 )
NEW met1 ( 182850 45050 ) ( * 45730 )
NEW met1 ( 10810 50830 ) ( 86250 * )
NEW met1 ( 214590 44710 ) ( * 45050 )
NEW met1 ( 210910 45050 ) ( 214590 * )
NEW met1 ( 182850 45050 ) ( 210910 * )
NEW met1 ( 104190 44030 ) ( * 44370 )
NEW met1 ( 104190 44370 ) ( 125350 * )
NEW met2 ( 125350 44370 ) ( * 45730 )
NEW met1 ( 86250 44030 ) ( 104190 * )
NEW met1 ( 125350 45730 ) ( 182850 * )
NEW met1 ( 10810 50830 ) M1M2_PR
NEW li1 ( 10810 52190 ) L1M1_PR_MR
NEW met1 ( 10810 52190 ) M1M2_PR
NEW met1 ( 86250 50830 ) M1M2_PR
NEW met1 ( 86250 44030 ) M1M2_PR
NEW li1 ( 210910 45050 ) L1M1_PR_MR
NEW li1 ( 214590 44710 ) L1M1_PR_MR
NEW met1 ( 125350 44370 ) M1M2_PR
NEW met1 ( 125350 45730 ) M1M2_PR
NEW met1 ( 10810 52190 ) RECT ( -355 -70 0 70 ) ;
- net330 ( ANTENNA_output330_A DIODE ) ( output330 A ) ( _393_ X ) + USE SIGNAL
+ ROUTED met1 ( 170890 23970 ) ( 171350 * )
NEW met1 ( 172730 17510 ) ( 173650 * )
NEW met2 ( 172730 17510 ) ( * 23970 )
NEW met1 ( 171350 23970 ) ( 172730 * )
NEW met2 ( 171350 23970 ) ( * 73100 )
NEW met3 ( 31510 73100 ) ( 171350 * )
NEW met2 ( 31510 73100 ) ( * 218450 )
NEW met2 ( 171350 73100 ) M2M3_PR_M
NEW li1 ( 170890 23970 ) L1M1_PR_MR
NEW met1 ( 171350 23970 ) M1M2_PR
NEW li1 ( 173650 17510 ) L1M1_PR_MR
NEW met1 ( 172730 17510 ) M1M2_PR
NEW met1 ( 172730 23970 ) M1M2_PR
NEW met2 ( 31510 73100 ) M2M3_PR_M
NEW li1 ( 31510 218450 ) L1M1_PR_MR
NEW met1 ( 31510 218450 ) M1M2_PR
NEW met1 ( 31510 218450 ) RECT ( -355 -70 0 70 ) ;
- net331 ( ANTENNA_output331_A DIODE ) ( output331 A ) ( _394_ X ) + USE SIGNAL
+ ROUTED met1 ( 179630 29410 ) ( 180090 * )
NEW met1 ( 180090 29410 ) ( 181010 * )
NEW met2 ( 181010 12070 ) ( * 29410 )
NEW met2 ( 179630 29410 ) ( * 107950 )
NEW met2 ( 100510 124200 ) ( * 218110 )
NEW met2 ( 100510 124200 ) ( 100970 * )
NEW met2 ( 100970 107950 ) ( * 124200 )
NEW met1 ( 100970 107950 ) ( 179630 * )
NEW li1 ( 181010 12070 ) L1M1_PR_MR
NEW met1 ( 181010 12070 ) M1M2_PR
NEW li1 ( 100510 218110 ) L1M1_PR_MR
NEW met1 ( 100510 218110 ) M1M2_PR
NEW li1 ( 180090 29410 ) L1M1_PR_MR
NEW met1 ( 179630 29410 ) M1M2_PR
NEW met1 ( 181010 29410 ) M1M2_PR
NEW met1 ( 179630 107950 ) M1M2_PR
NEW met1 ( 100970 107950 ) M1M2_PR
NEW met1 ( 181010 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100510 218110 ) RECT ( -355 -70 0 70 ) ;
- net332 ( ANTENNA_output332_A DIODE ) ( output332 A ) ( _395_ X ) + USE SIGNAL
+ ROUTED met1 ( 176870 25670 ) ( 186530 * )
NEW met1 ( 186530 25670 ) ( * 26350 )
NEW met2 ( 202170 53380 ) ( 202630 * )
NEW met2 ( 180550 17510 ) ( * 25670 )
NEW met2 ( 202170 53380 ) ( * 128350 )
NEW met2 ( 194810 26350 ) ( * 31620 )
NEW met2 ( 194810 31620 ) ( 195730 * )
NEW met2 ( 195730 31620 ) ( * 33150 )
NEW met1 ( 195730 33150 ) ( 198490 * )
NEW li1 ( 198490 33150 ) ( * 34510 )
NEW met1 ( 198490 34510 ) ( 202170 * )
NEW met2 ( 202170 34510 ) ( * 38420 )
NEW met2 ( 202170 38420 ) ( 202630 * )
NEW met1 ( 186530 26350 ) ( 194810 * )
NEW met2 ( 202630 38420 ) ( * 53380 )
NEW li1 ( 176870 25670 ) L1M1_PR_MR
NEW li1 ( 180550 17510 ) L1M1_PR_MR
NEW met1 ( 180550 17510 ) M1M2_PR
NEW met1 ( 180550 25670 ) M1M2_PR
NEW li1 ( 202170 128350 ) L1M1_PR_MR
NEW met1 ( 202170 128350 ) M1M2_PR
NEW met1 ( 194810 26350 ) M1M2_PR
NEW met1 ( 195730 33150 ) M1M2_PR
NEW li1 ( 198490 33150 ) L1M1_PR_MR
NEW li1 ( 198490 34510 ) L1M1_PR_MR
NEW met1 ( 202170 34510 ) M1M2_PR
NEW met1 ( 180550 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 180550 25670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 202170 128350 ) RECT ( -355 -70 0 70 ) ;
- net333 ( output333 A ) ( _396_ X ) + USE SIGNAL
+ ROUTED met2 ( 151110 20910 ) ( * 38590 )
NEW met1 ( 151110 20910 ) ( 159390 * )
NEW met1 ( 159390 20230 ) ( * 20910 )
NEW met1 ( 159390 20230 ) ( 177330 * )
NEW met1 ( 177330 20230 ) ( * 20570 )
NEW met1 ( 141450 38590 ) ( 151110 * )
NEW li1 ( 141450 38590 ) L1M1_PR_MR
NEW met1 ( 151110 38590 ) M1M2_PR
NEW met1 ( 151110 20910 ) M1M2_PR
NEW li1 ( 177330 20570 ) L1M1_PR_MR ;
- net334 ( ANTENNA_output334_A DIODE ) ( output334 A ) ( _397_ X ) + USE SIGNAL
+ ROUTED met2 ( 186530 12070 ) ( * 32130 )
NEW met2 ( 186530 32130 ) ( * 84830 )
NEW met1 ( 153410 84830 ) ( 186530 * )
NEW met2 ( 153410 84830 ) ( * 196350 )
NEW li1 ( 186530 12070 ) L1M1_PR_MR
NEW met1 ( 186530 12070 ) M1M2_PR
NEW met1 ( 186530 84830 ) M1M2_PR
NEW li1 ( 186530 32130 ) L1M1_PR_MR
NEW met1 ( 186530 32130 ) M1M2_PR
NEW met1 ( 153410 84830 ) M1M2_PR
NEW li1 ( 153410 196350 ) L1M1_PR_MR
NEW met1 ( 153410 196350 ) M1M2_PR
NEW met1 ( 186530 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186530 32130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 153410 196350 ) RECT ( -355 -70 0 70 ) ;
- net335 ( ANTENNA_output335_A DIODE ) ( output335 A ) ( _398_ X ) + USE SIGNAL
+ ROUTED met3 ( 120290 62900 ) ( 122130 * )
NEW met2 ( 122130 30430 ) ( * 62900 )
NEW met1 ( 174110 31110 ) ( 181930 * )
NEW met2 ( 174110 30260 ) ( * 31110 )
NEW met3 ( 162610 30260 ) ( 174110 * )
NEW met2 ( 162610 30260 ) ( * 30430 )
NEW met1 ( 181930 17510 ) ( 182850 * )
NEW met2 ( 181930 17510 ) ( * 31110 )
NEW met1 ( 122130 30430 ) ( 162610 * )
NEW met2 ( 120290 62900 ) ( * 182750 )
NEW li1 ( 120290 182750 ) L1M1_PR_MR
NEW met1 ( 120290 182750 ) M1M2_PR
NEW met1 ( 122130 30430 ) M1M2_PR
NEW met2 ( 120290 62900 ) M2M3_PR_M
NEW met2 ( 122130 62900 ) M2M3_PR_M
NEW li1 ( 181930 31110 ) L1M1_PR_MR
NEW met1 ( 174110 31110 ) M1M2_PR
NEW met2 ( 174110 30260 ) M2M3_PR_M
NEW met2 ( 162610 30260 ) M2M3_PR_M
NEW met1 ( 162610 30430 ) M1M2_PR
NEW li1 ( 182850 17510 ) L1M1_PR_MR
NEW met1 ( 181930 17510 ) M1M2_PR
NEW met1 ( 181930 31110 ) M1M2_PR
NEW met1 ( 120290 182750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 181930 31110 ) RECT ( -595 -70 0 70 ) ;
- net336 ( ANTENNA_output336_A DIODE ) ( output336 A ) ( _399_ X ) + USE SIGNAL
+ ROUTED met1 ( 185150 33830 ) ( 185610 * )
NEW met1 ( 186530 15130 ) ( 186990 * )
NEW met2 ( 186990 15130 ) ( * 33830 )
NEW met1 ( 185610 33830 ) ( 186990 * )
NEW met2 ( 185150 33830 ) ( * 216070 )
NEW met1 ( 158700 216070 ) ( 185150 * )
NEW met1 ( 158700 215390 ) ( * 216070 )
NEW met1 ( 119830 215390 ) ( 158700 * )
NEW li1 ( 185610 33830 ) L1M1_PR_MR
NEW met1 ( 185150 33830 ) M1M2_PR
NEW li1 ( 186530 15130 ) L1M1_PR_MR
NEW met1 ( 186990 15130 ) M1M2_PR
NEW met1 ( 186990 33830 ) M1M2_PR
NEW met1 ( 185150 216070 ) M1M2_PR
NEW li1 ( 119830 215390 ) L1M1_PR_MR ;
- net337 ( ANTENNA_output337_A DIODE ) ( output337 A ) ( _400_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 28050 ) ( 183310 * )
NEW met1 ( 183310 28050 ) ( * 28390 )
NEW met1 ( 183310 28390 ) ( 190210 * )
NEW met2 ( 190210 12070 ) ( * 28390 )
NEW met1 ( 60490 18190 ) ( 61410 * )
NEW met2 ( 60490 18190 ) ( * 24140 )
NEW met2 ( 145130 24140 ) ( * 28050 )
NEW met3 ( 60490 24140 ) ( 145130 * )
NEW met1 ( 145130 28050 ) ( 177790 * )
NEW li1 ( 190210 12070 ) L1M1_PR_MR
NEW met1 ( 190210 12070 ) M1M2_PR
NEW li1 ( 177790 28050 ) L1M1_PR_MR
NEW met1 ( 190210 28390 ) M1M2_PR
NEW li1 ( 61410 18190 ) L1M1_PR_MR
NEW met1 ( 60490 18190 ) M1M2_PR
NEW met2 ( 60490 24140 ) M2M3_PR_M
NEW met2 ( 145130 24140 ) M2M3_PR_M
NEW met1 ( 145130 28050 ) M1M2_PR
NEW met1 ( 190210 12070 ) RECT ( -355 -70 0 70 ) ;
- net338 ( ANTENNA_output338_A DIODE ) ( output338 A ) ( _401_ X ) + USE SIGNAL
+ ROUTED met2 ( 87630 53890 ) ( 88550 * )
NEW met2 ( 87630 25670 ) ( * 53890 )
NEW met2 ( 88550 53890 ) ( * 65790 )
NEW met1 ( 174110 25330 ) ( 183310 * )
NEW met2 ( 183310 17510 ) ( * 25330 )
NEW met1 ( 183310 17510 ) ( 186530 * )
NEW met1 ( 174110 25330 ) ( * 25670 )
NEW met1 ( 87630 25670 ) ( 174110 * )
NEW li1 ( 88550 65790 ) L1M1_PR_MR
NEW met1 ( 88550 65790 ) M1M2_PR
NEW met1 ( 87630 25670 ) M1M2_PR
NEW li1 ( 174110 25330 ) L1M1_PR_MR
NEW met1 ( 183310 25330 ) M1M2_PR
NEW met1 ( 183310 17510 ) M1M2_PR
NEW li1 ( 186530 17510 ) L1M1_PR_MR
NEW met1 ( 88550 65790 ) RECT ( -355 -70 0 70 ) ;
- net339 ( ANTENNA_output339_A DIODE ) ( output339 A ) ( _402_ X ) + USE SIGNAL
+ ROUTED li1 ( 108330 25330 ) ( * 26690 )
NEW met1 ( 68770 26690 ) ( 108330 * )
NEW met2 ( 68770 26690 ) ( * 38930 )
NEW met1 ( 63250 38930 ) ( 68770 * )
NEW met1 ( 171350 26010 ) ( 179630 * )
NEW met2 ( 179630 15470 ) ( * 26010 )
NEW met1 ( 179630 15470 ) ( 187450 * )
NEW met1 ( 187450 15130 ) ( * 15470 )
NEW met1 ( 187450 15130 ) ( 190210 * )
NEW li1 ( 161230 25330 ) ( * 26010 )
NEW met1 ( 161230 26010 ) ( 171350 * )
NEW met1 ( 108330 25330 ) ( 161230 * )
NEW li1 ( 108330 25330 ) L1M1_PR_MR
NEW li1 ( 108330 26690 ) L1M1_PR_MR
NEW met1 ( 68770 26690 ) M1M2_PR
NEW met1 ( 68770 38930 ) M1M2_PR
NEW li1 ( 63250 38930 ) L1M1_PR_MR
NEW li1 ( 171350 26010 ) L1M1_PR_MR
NEW met1 ( 179630 26010 ) M1M2_PR
NEW met1 ( 179630 15470 ) M1M2_PR
NEW li1 ( 190210 15130 ) L1M1_PR_MR
NEW li1 ( 161230 25330 ) L1M1_PR_MR
NEW li1 ( 161230 26010 ) L1M1_PR_MR ;
- net34 ( ANTENNA__207__A1 DIODE ) ( input34 X ) ( _207_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 9890 86190 ) ( * 101150 )
NEW met1 ( 73370 85850 ) ( * 86190 )
NEW met1 ( 9890 86190 ) ( 13800 * )
NEW met1 ( 13800 85850 ) ( * 86190 )
NEW met1 ( 13800 85850 ) ( 73370 * )
NEW met1 ( 126730 83810 ) ( 136390 * )
NEW met2 ( 126730 83810 ) ( * 85850 )
NEW met1 ( 136850 86190 ) ( * 86530 )
NEW met1 ( 136390 86530 ) ( 136850 * )
NEW met2 ( 136390 83810 ) ( * 86530 )
NEW met1 ( 100050 85850 ) ( * 86190 )
NEW met1 ( 73370 86190 ) ( 100050 * )
NEW met1 ( 100050 85850 ) ( 126730 * )
NEW met1 ( 9890 86190 ) M1M2_PR
NEW li1 ( 9890 101150 ) L1M1_PR_MR
NEW met1 ( 9890 101150 ) M1M2_PR
NEW li1 ( 136390 83810 ) L1M1_PR_MR
NEW met1 ( 126730 83810 ) M1M2_PR
NEW met1 ( 126730 85850 ) M1M2_PR
NEW li1 ( 136850 86190 ) L1M1_PR_MR
NEW met1 ( 136390 86530 ) M1M2_PR
NEW met1 ( 136390 83810 ) M1M2_PR
NEW met1 ( 9890 101150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136390 83810 ) RECT ( -595 -70 0 70 ) ;
- net340 ( output340 A ) ( _403_ X ) + USE SIGNAL
+ ROUTED met2 ( 172270 17850 ) ( * 24820 )
NEW met1 ( 172270 17850 ) ( 190210 * )
NEW met1 ( 190210 17510 ) ( * 17850 )
NEW met2 ( 150650 24820 ) ( * 55250 )
NEW met1 ( 150650 55250 ) ( 151110 * )
NEW met3 ( 150650 24820 ) ( 172270 * )
NEW met2 ( 172270 24820 ) M2M3_PR_M
NEW met1 ( 172270 17850 ) M1M2_PR
NEW li1 ( 190210 17510 ) L1M1_PR_MR
NEW met2 ( 150650 24820 ) M2M3_PR_M
NEW met1 ( 150650 55250 ) M1M2_PR
NEW li1 ( 151110 55250 ) L1M1_PR_MR ;
- net341 ( ANTENNA_output341_A DIODE ) ( output341 A ) ( _404_ X ) + USE SIGNAL
+ ROUTED met1 ( 163530 192610 ) ( 192970 * )
NEW met1 ( 186990 36890 ) ( 192970 * )
NEW met1 ( 186530 20570 ) ( 187450 * )
NEW met2 ( 187450 20570 ) ( * 36890 )
NEW met2 ( 192970 36890 ) ( * 192610 )
NEW met1 ( 192970 192610 ) M1M2_PR
NEW li1 ( 163530 192610 ) L1M1_PR_MR
NEW li1 ( 186990 36890 ) L1M1_PR_MR
NEW met1 ( 192970 36890 ) M1M2_PR
NEW li1 ( 186530 20570 ) L1M1_PR_MR
NEW met1 ( 187450 20570 ) M1M2_PR
NEW met1 ( 187450 36890 ) M1M2_PR
NEW met1 ( 187450 36890 ) RECT ( -595 -70 0 70 ) ;
- net342 ( ANTENNA_output342_A DIODE ) ( output342 A ) ( _405_ X ) + USE SIGNAL
+ ROUTED met2 ( 35190 108290 ) ( * 109310 )
NEW met2 ( 144670 80410 ) ( * 108290 )
NEW met1 ( 144670 80410 ) ( 194350 * )
NEW met1 ( 194810 35870 ) ( 196190 * )
NEW met2 ( 196190 30430 ) ( * 35870 )
NEW met2 ( 195270 30430 ) ( 196190 * )
NEW met2 ( 195270 25670 ) ( * 30430 )
NEW met2 ( 194350 25670 ) ( 195270 * )
NEW met2 ( 194350 17510 ) ( * 25670 )
NEW met1 ( 193890 17510 ) ( 194350 * )
NEW met1 ( 194350 35870 ) ( 194810 * )
NEW met2 ( 194350 35870 ) ( * 80410 )
NEW met1 ( 35190 108290 ) ( 144670 * )
NEW met1 ( 194350 80410 ) M1M2_PR
NEW met1 ( 35190 108290 ) M1M2_PR
NEW li1 ( 35190 109310 ) L1M1_PR_MR
NEW met1 ( 35190 109310 ) M1M2_PR
NEW met1 ( 144670 108290 ) M1M2_PR
NEW met1 ( 144670 80410 ) M1M2_PR
NEW li1 ( 194810 35870 ) L1M1_PR_MR
NEW met1 ( 196190 35870 ) M1M2_PR
NEW met1 ( 194350 17510 ) M1M2_PR
NEW li1 ( 193890 17510 ) L1M1_PR_MR
NEW met1 ( 194350 35870 ) M1M2_PR
NEW met1 ( 35190 109310 ) RECT ( -355 -70 0 70 ) ;
- net343 ( output343 A ) ( _406_ X ) + USE SIGNAL
+ ROUTED met2 ( 188370 22950 ) ( * 34170 )
NEW met1 ( 183310 34170 ) ( 188370 * )
NEW met1 ( 183310 34170 ) ( * 34510 )
NEW met1 ( 180550 34510 ) ( 183310 * )
NEW li1 ( 188370 22950 ) L1M1_PR_MR
NEW met1 ( 188370 22950 ) M1M2_PR
NEW met1 ( 188370 34170 ) M1M2_PR
NEW li1 ( 180550 34510 ) L1M1_PR_MR
NEW met1 ( 188370 22950 ) RECT ( -355 -70 0 70 ) ;
- net344 ( ANTENNA_output344_A DIODE ) ( output344 A ) ( _407_ X ) + USE SIGNAL
+ ROUTED met1 ( 197570 37570 ) ( 198950 * )
NEW met2 ( 198950 37570 ) ( * 40460 )
NEW met3 ( 198950 40460 ) ( 237130 * )
NEW met2 ( 193430 22950 ) ( * 29580 )
NEW met3 ( 193430 29580 ) ( 197110 * )
NEW met2 ( 197110 29580 ) ( * 37570 )
NEW met1 ( 197110 37570 ) ( 197570 * )
NEW met2 ( 237130 40460 ) ( * 103870 )
NEW li1 ( 237130 103870 ) L1M1_PR_MR
NEW met1 ( 237130 103870 ) M1M2_PR
NEW li1 ( 197570 37570 ) L1M1_PR_MR
NEW met1 ( 198950 37570 ) M1M2_PR
NEW met2 ( 198950 40460 ) M2M3_PR_M
NEW met2 ( 237130 40460 ) M2M3_PR_M
NEW li1 ( 193430 22950 ) L1M1_PR_MR
NEW met1 ( 193430 22950 ) M1M2_PR
NEW met2 ( 193430 29580 ) M2M3_PR_M
NEW met2 ( 197110 29580 ) M2M3_PR_M
NEW met1 ( 197110 37570 ) M1M2_PR
NEW met1 ( 237130 103870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 193430 22950 ) RECT ( 0 -70 355 70 ) ;
- net345 ( ANTENNA_output345_A DIODE ) ( output345 A ) ( _408_ X ) + USE SIGNAL
+ ROUTED met2 ( 192970 35870 ) ( * 36380 )
NEW met1 ( 179170 35870 ) ( 192970 * )
NEW met2 ( 179170 35870 ) ( * 37570 )
NEW met1 ( 166290 37570 ) ( 179170 * )
NEW met1 ( 165830 120530 ) ( 166290 * )
NEW met2 ( 166290 37570 ) ( * 120530 )
NEW met2 ( 199870 17510 ) ( * 36890 )
NEW met1 ( 199410 17510 ) ( 199870 * )
NEW met2 ( 193430 36380 ) ( * 38930 )
NEW met1 ( 193430 38930 ) ( 199870 * )
NEW met2 ( 199870 36890 ) ( * 38930 )
NEW met2 ( 192970 36380 ) ( 193430 * )
NEW met1 ( 192970 35870 ) M1M2_PR
NEW met1 ( 179170 35870 ) M1M2_PR
NEW met1 ( 179170 37570 ) M1M2_PR
NEW met1 ( 166290 37570 ) M1M2_PR
NEW met1 ( 166290 120530 ) M1M2_PR
NEW li1 ( 165830 120530 ) L1M1_PR_MR
NEW li1 ( 199870 36890 ) L1M1_PR_MR
NEW met1 ( 199870 36890 ) M1M2_PR
NEW met1 ( 199870 17510 ) M1M2_PR
NEW li1 ( 199410 17510 ) L1M1_PR_MR
NEW met1 ( 193430 38930 ) M1M2_PR
NEW met1 ( 199870 38930 ) M1M2_PR
NEW met1 ( 199870 36890 ) RECT ( -355 -70 0 70 ) ;
- net346 ( output346 A ) ( _409_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 20230 ) ( * 20910 )
NEW met1 ( 176870 20910 ) ( 177790 * )
NEW met1 ( 176870 20570 ) ( * 20910 )
NEW met1 ( 170430 20570 ) ( 176870 * )
NEW met2 ( 170430 20570 ) ( * 52530 )
NEW met1 ( 166290 52530 ) ( 170430 * )
NEW met2 ( 194810 20060 ) ( * 20230 )
NEW met3 ( 194810 20060 ) ( 197570 * )
NEW met2 ( 197570 20060 ) ( * 20570 )
NEW met1 ( 197570 20570 ) ( 198490 * )
NEW met1 ( 177790 20230 ) ( 194810 * )
NEW met1 ( 170430 20570 ) M1M2_PR
NEW met1 ( 170430 52530 ) M1M2_PR
NEW li1 ( 166290 52530 ) L1M1_PR_MR
NEW met1 ( 194810 20230 ) M1M2_PR
NEW met2 ( 194810 20060 ) M2M3_PR_M
NEW met2 ( 197570 20060 ) M2M3_PR_M
NEW met1 ( 197570 20570 ) M1M2_PR
NEW li1 ( 198490 20570 ) L1M1_PR_MR ;
- net347 ( ANTENNA_output347_A DIODE ) ( output347 A ) ( _410_ X ) + USE SIGNAL
+ ROUTED met2 ( 202630 62100 ) ( * 83130 )
NEW met2 ( 202630 62100 ) ( 203090 * )
NEW met1 ( 158470 83130 ) ( 202630 * )
NEW met1 ( 202170 20570 ) ( 202630 * )
NEW met2 ( 202630 20570 ) ( * 37570 )
NEW met2 ( 202630 37570 ) ( 203090 * )
NEW met2 ( 203090 37570 ) ( * 62100 )
NEW met1 ( 202630 83130 ) M1M2_PR
NEW li1 ( 158470 83130 ) L1M1_PR_MR
NEW li1 ( 203090 37570 ) L1M1_PR_MR
NEW met1 ( 203090 37570 ) M1M2_PR
NEW li1 ( 202170 20570 ) L1M1_PR_MR
NEW met1 ( 202630 20570 ) M1M2_PR
NEW met1 ( 203090 37570 ) RECT ( -355 -70 0 70 ) ;
- net348 ( ANTENNA_output348_A DIODE ) ( output348 A ) ( _411_ X ) + USE SIGNAL
+ ROUTED met2 ( 100050 168130 ) ( * 169150 )
NEW met1 ( 200790 22950 ) ( 205390 * )
NEW met2 ( 205390 22950 ) ( * 39950 )
NEW met1 ( 158700 167790 ) ( 205390 * )
NEW met1 ( 158700 167790 ) ( * 168130 )
NEW met1 ( 100050 168130 ) ( 158700 * )
NEW met2 ( 205390 72420 ) ( 205850 * )
NEW met2 ( 205850 72420 ) ( * 84660 )
NEW met2 ( 205390 84660 ) ( 205850 * )
NEW met2 ( 205390 39950 ) ( * 72420 )
NEW met2 ( 205390 84660 ) ( * 167790 )
NEW met1 ( 205390 167790 ) M1M2_PR
NEW met1 ( 100050 168130 ) M1M2_PR
NEW li1 ( 100050 169150 ) L1M1_PR_MR
NEW met1 ( 100050 169150 ) M1M2_PR
NEW li1 ( 205390 39950 ) L1M1_PR_MR
NEW met1 ( 205390 39950 ) M1M2_PR
NEW li1 ( 200790 22950 ) L1M1_PR_MR
NEW met1 ( 205390 22950 ) M1M2_PR
NEW met1 ( 100050 169150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 205390 39950 ) RECT ( -355 -70 0 70 ) ;
- net349 ( ANTENNA__183__D DIODE ) ( ANTENNA__116__D_N DIODE ) ( ANTENNA_output349_A DIODE ) ( output349 A ) ( _116_ D_N ) ( _183_ D ) ( _442_ Q ) + USE SIGNAL
+ ROUTED met1 ( 64630 30430 ) ( * 30770 )
NEW met1 ( 64630 30770 ) ( 65550 * )
NEW met1 ( 65550 30430 ) ( * 30770 )
NEW met1 ( 65550 30430 ) ( 77970 * )
NEW met1 ( 77970 30430 ) ( * 30770 )
NEW met2 ( 179170 56610 ) ( * 57970 )
NEW met1 ( 179170 56610 ) ( 181010 * )
NEW met1 ( 173190 54910 ) ( * 55250 )
NEW met1 ( 173190 55250 ) ( 179170 * )
NEW met2 ( 179170 55250 ) ( * 56610 )
NEW met1 ( 54970 30430 ) ( 61410 * )
NEW met2 ( 54970 26690 ) ( * 30430 )
NEW met1 ( 29210 26690 ) ( 54970 * )
NEW met1 ( 29210 26010 ) ( * 26690 )
NEW met1 ( 61410 30430 ) ( 64630 * )
NEW met2 ( 113850 30770 ) ( * 54910 )
NEW met1 ( 77970 30770 ) ( 113850 * )
NEW met1 ( 113850 54910 ) ( 173190 * )
NEW met1 ( 227930 44030 ) ( 228390 * )
NEW met2 ( 228390 36890 ) ( * 44030 )
NEW met1 ( 228390 36890 ) ( 231610 * )
NEW met1 ( 225170 44030 ) ( 227930 * )
NEW met2 ( 209530 56610 ) ( * 59330 )
NEW met1 ( 209530 59330 ) ( 225170 * )
NEW met1 ( 181010 56610 ) ( 209530 * )
NEW met2 ( 225170 44030 ) ( * 87890 )
NEW li1 ( 181010 56610 ) L1M1_PR_MR
NEW li1 ( 179170 57970 ) L1M1_PR_MR
NEW met1 ( 179170 57970 ) M1M2_PR
NEW met1 ( 179170 56610 ) M1M2_PR
NEW met1 ( 179170 55250 ) M1M2_PR
NEW li1 ( 225170 87890 ) L1M1_PR_MR
NEW met1 ( 225170 87890 ) M1M2_PR
NEW li1 ( 61410 30430 ) L1M1_PR_MR
NEW met1 ( 54970 30430 ) M1M2_PR
NEW met1 ( 54970 26690 ) M1M2_PR
NEW li1 ( 29210 26010 ) L1M1_PR_MR
NEW met1 ( 113850 30770 ) M1M2_PR
NEW met1 ( 113850 54910 ) M1M2_PR
NEW li1 ( 227930 44030 ) L1M1_PR_MR
NEW met1 ( 228390 44030 ) M1M2_PR
NEW met1 ( 228390 36890 ) M1M2_PR
NEW li1 ( 231610 36890 ) L1M1_PR_MR
NEW met1 ( 225170 44030 ) M1M2_PR
NEW met1 ( 209530 56610 ) M1M2_PR
NEW met1 ( 209530 59330 ) M1M2_PR
NEW met1 ( 225170 59330 ) M1M2_PR
NEW met1 ( 179170 57970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 225170 87890 ) RECT ( -355 -70 0 70 )
NEW met2 ( 225170 59330 ) RECT ( -70 -485 70 0 ) ;
- net35 ( ANTENNA__208__A1 DIODE ) ( input35 X ) ( _208_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 227930 91290 ) ( * 232050 )
NEW met2 ( 142370 91290 ) ( * 92140 )
NEW met1 ( 142370 91290 ) ( 227930 * )
NEW met1 ( 84410 91290 ) ( 100510 * )
NEW met2 ( 100510 91290 ) ( * 92140 )
NEW met1 ( 79350 90950 ) ( * 91290 )
NEW met1 ( 79350 90950 ) ( 84410 * )
NEW met1 ( 84410 90950 ) ( * 91290 )
NEW met3 ( 100510 92140 ) ( 142370 * )
NEW met1 ( 227930 91290 ) M1M2_PR
NEW li1 ( 227930 232050 ) L1M1_PR_MR
NEW met1 ( 227930 232050 ) M1M2_PR
NEW met2 ( 142370 92140 ) M2M3_PR_M
NEW met1 ( 142370 91290 ) M1M2_PR
NEW li1 ( 84410 91290 ) L1M1_PR_MR
NEW met1 ( 100510 91290 ) M1M2_PR
NEW met2 ( 100510 92140 ) M2M3_PR_M
NEW li1 ( 79350 91290 ) L1M1_PR_MR
NEW met1 ( 227930 232050 ) RECT ( -355 -70 0 70 ) ;
- net350 ( ANTENNA_output350_A DIODE ) ( output350 A ) ( _193_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 39270 ) ( * 41310 )
NEW met1 ( 12190 39270 ) ( 13570 * )
NEW met2 ( 29210 47770 ) ( * 52190 )
NEW met1 ( 29210 47770 ) ( 36570 * )
NEW met1 ( 36570 47430 ) ( * 47770 )
NEW met1 ( 36570 47430 ) ( 40250 * )
NEW met1 ( 40250 47430 ) ( * 47770 )
NEW met1 ( 40250 47770 ) ( 43010 * )
NEW met1 ( 43010 47770 ) ( * 48110 )
NEW met2 ( 30590 41310 ) ( * 47770 )
NEW met1 ( 13570 41310 ) ( 30590 * )
NEW met2 ( 218270 45730 ) ( * 48110 )
NEW met2 ( 139610 48110 ) ( * 49470 )
NEW met1 ( 139610 49470 ) ( 148350 * )
NEW met2 ( 148350 48110 ) ( * 49470 )
NEW met1 ( 43010 48110 ) ( 139610 * )
NEW met1 ( 148350 48110 ) ( 218270 * )
NEW met1 ( 13570 41310 ) M1M2_PR
NEW met1 ( 13570 39270 ) M1M2_PR
NEW li1 ( 12190 39270 ) L1M1_PR_MR
NEW li1 ( 29210 52190 ) L1M1_PR_MR
NEW met1 ( 29210 52190 ) M1M2_PR
NEW met1 ( 29210 47770 ) M1M2_PR
NEW met1 ( 30590 41310 ) M1M2_PR
NEW met1 ( 30590 47770 ) M1M2_PR
NEW met1 ( 218270 48110 ) M1M2_PR
NEW li1 ( 218270 45730 ) L1M1_PR_MR
NEW met1 ( 218270 45730 ) M1M2_PR
NEW met1 ( 139610 48110 ) M1M2_PR
NEW met1 ( 139610 49470 ) M1M2_PR
NEW met1 ( 148350 49470 ) M1M2_PR
NEW met1 ( 148350 48110 ) M1M2_PR
NEW met1 ( 29210 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 30590 47770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 218270 45730 ) RECT ( -355 -70 0 70 ) ;
- net351 ( ANTENNA_output351_A DIODE ) ( output351 A ) ( _207_ X ) + USE SIGNAL
+ ROUTED met2 ( 124890 83470 ) ( * 84830 )
NEW met1 ( 124890 84830 ) ( 135470 * )
NEW met1 ( 28290 49810 ) ( 32890 * )
NEW met2 ( 28290 33830 ) ( * 49810 )
NEW met1 ( 25070 33830 ) ( 28290 * )
NEW met1 ( 32890 49810 ) ( 33350 * )
NEW met2 ( 33350 49810 ) ( * 83470 )
NEW met1 ( 33350 83470 ) ( 48300 * )
NEW met1 ( 48300 83130 ) ( * 83470 )
NEW met1 ( 48300 83130 ) ( 65550 * )
NEW met1 ( 65550 83130 ) ( * 83470 )
NEW met1 ( 65550 83470 ) ( 124890 * )
NEW met1 ( 33350 83470 ) M1M2_PR
NEW met1 ( 124890 83470 ) M1M2_PR
NEW met1 ( 124890 84830 ) M1M2_PR
NEW li1 ( 135470 84830 ) L1M1_PR_MR
NEW li1 ( 32890 49810 ) L1M1_PR_MR
NEW met1 ( 28290 49810 ) M1M2_PR
NEW met1 ( 28290 33830 ) M1M2_PR
NEW li1 ( 25070 33830 ) L1M1_PR_MR
NEW met1 ( 33350 49810 ) M1M2_PR ;
- net352 ( ANTENNA_output352_A DIODE ) ( output352 A ) ( _208_ X ) + USE SIGNAL
+ ROUTED met1 ( 46230 91290 ) ( 47150 * )
NEW met1 ( 47150 91290 ) ( * 91630 )
NEW met1 ( 44390 41650 ) ( 45770 * )
NEW met2 ( 44390 40290 ) ( * 41650 )
NEW met1 ( 42550 40290 ) ( 44390 * )
NEW met2 ( 42550 33150 ) ( * 40290 )
NEW met1 ( 39330 33150 ) ( 42550 * )
NEW met1 ( 39330 33150 ) ( * 33490 )
NEW met1 ( 28750 33490 ) ( 39330 * )
NEW met1 ( 28750 33490 ) ( * 33830 )
NEW met1 ( 45770 41650 ) ( 46230 * )
NEW met2 ( 46230 41650 ) ( * 91290 )
NEW met1 ( 47150 91630 ) ( 75900 * )
NEW met1 ( 75900 90270 ) ( * 91630 )
NEW met1 ( 75900 90270 ) ( 77970 * )
NEW met1 ( 46230 91290 ) M1M2_PR
NEW li1 ( 45770 41650 ) L1M1_PR_MR
NEW met1 ( 44390 41650 ) M1M2_PR
NEW met1 ( 44390 40290 ) M1M2_PR
NEW met1 ( 42550 40290 ) M1M2_PR
NEW met1 ( 42550 33150 ) M1M2_PR
NEW li1 ( 28750 33830 ) L1M1_PR_MR
NEW met1 ( 46230 41650 ) M1M2_PR
NEW li1 ( 77970 90270 ) L1M1_PR_MR ;
- net353 ( ANTENNA_output353_A DIODE ) ( output353 A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met2 ( 47150 31110 ) ( * 39270 )
NEW met1 ( 33350 31110 ) ( 47150 * )
NEW met1 ( 33350 31110 ) ( * 31450 )
NEW met1 ( 50830 39270 ) ( 59110 * )
NEW met1 ( 59110 38930 ) ( * 39270 )
NEW met1 ( 59110 38930 ) ( 62790 * )
NEW met1 ( 62790 38930 ) ( * 39270 )
NEW met1 ( 62790 39270 ) ( 95910 * )
NEW met1 ( 47150 39270 ) ( 50830 * )
NEW met1 ( 95910 87550 ) ( 102725 * )
NEW met2 ( 95910 39270 ) ( * 87550 )
NEW met1 ( 47150 39270 ) M1M2_PR
NEW met1 ( 47150 31110 ) M1M2_PR
NEW li1 ( 33350 31450 ) L1M1_PR_MR
NEW li1 ( 50830 39270 ) L1M1_PR_MR
NEW met1 ( 95910 39270 ) M1M2_PR
NEW met1 ( 95910 87550 ) M1M2_PR
NEW li1 ( 102725 87550 ) L1M1_PR_MR ;
- net354 ( ANTENNA_output354_A DIODE ) ( output354 A ) ( _210_ X ) + USE SIGNAL
+ ROUTED met2 ( 44390 28050 ) ( * 28220 )
NEW met1 ( 34730 28050 ) ( 44390 * )
NEW met1 ( 34730 28050 ) ( * 28390 )
NEW met2 ( 49450 110500 ) ( 49910 * )
NEW met2 ( 49910 110500 ) ( * 119340 )
NEW met2 ( 49450 119340 ) ( 49910 * )
NEW met2 ( 49450 119340 ) ( * 122910 )
NEW met1 ( 44390 122910 ) ( 49450 * )
NEW met1 ( 48990 41650 ) ( 49910 * )
NEW met2 ( 49910 30260 ) ( * 41650 )
NEW met3 ( 49910 28220 ) ( * 30260 )
NEW met1 ( 49450 44370 ) ( 50830 * )
NEW met2 ( 50830 41650 ) ( * 44370 )
NEW met1 ( 49910 41650 ) ( 50830 * )
NEW met3 ( 44390 28220 ) ( 49910 * )
NEW met2 ( 49450 44370 ) ( * 110500 )
NEW met2 ( 44390 28220 ) M2M3_PR_M
NEW met1 ( 44390 28050 ) M1M2_PR
NEW li1 ( 34730 28390 ) L1M1_PR_MR
NEW met1 ( 49450 122910 ) M1M2_PR
NEW li1 ( 44390 122910 ) L1M1_PR_MR
NEW li1 ( 48990 41650 ) L1M1_PR_MR
NEW met1 ( 49910 41650 ) M1M2_PR
NEW met2 ( 49910 30260 ) M2M3_PR_M
NEW met1 ( 49450 44370 ) M1M2_PR
NEW met1 ( 50830 44370 ) M1M2_PR
NEW met1 ( 50830 41650 ) M1M2_PR ;
- net355 ( ANTENNA_output355_A DIODE ) ( output355 A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met1 ( 53590 36890 ) ( 54050 * )
NEW met1 ( 32430 33830 ) ( 33810 * )
NEW met2 ( 33810 33830 ) ( * 34340 )
NEW met3 ( 33810 34340 ) ( 53590 * )
NEW met2 ( 53590 34340 ) ( * 36890 )
NEW met2 ( 53590 36890 ) ( * 94350 )
NEW met1 ( 115230 136850 ) ( 226550 * )
NEW met2 ( 90390 94350 ) ( * 109650 )
NEW met1 ( 90390 109650 ) ( 115230 * )
NEW met1 ( 53590 94350 ) ( 90390 * )
NEW met2 ( 115230 109650 ) ( * 136850 )
NEW li1 ( 226550 136850 ) L1M1_PR_MR
NEW met1 ( 53590 94350 ) M1M2_PR
NEW li1 ( 54050 36890 ) L1M1_PR_MR
NEW met1 ( 53590 36890 ) M1M2_PR
NEW li1 ( 32430 33830 ) L1M1_PR_MR
NEW met1 ( 33810 33830 ) M1M2_PR
NEW met2 ( 33810 34340 ) M2M3_PR_M
NEW met2 ( 53590 34340 ) M2M3_PR_M
NEW met1 ( 115230 136850 ) M1M2_PR
NEW met1 ( 90390 94350 ) M1M2_PR
NEW met1 ( 90390 109650 ) M1M2_PR
NEW met1 ( 115230 109650 ) M1M2_PR ;
- net356 ( ANTENNA_output356_A DIODE ) ( output356 A ) ( _214_ X ) + USE SIGNAL
+ ROUTED met1 ( 147430 72590 ) ( 149270 * )
NEW met2 ( 40250 31450 ) ( * 33830 )
NEW met1 ( 37030 31450 ) ( 40250 * )
NEW met2 ( 116610 32130 ) ( * 34170 )
NEW met1 ( 116610 32130 ) ( 147430 * )
NEW met2 ( 147430 32130 ) ( * 72590 )
NEW met1 ( 59110 33830 ) ( 62790 * )
NEW met2 ( 62790 33830 ) ( * 34340 )
NEW met3 ( 62790 34340 ) ( 91310 * )
NEW met2 ( 91310 34170 ) ( * 34340 )
NEW met1 ( 40250 33830 ) ( 59110 * )
NEW met1 ( 91310 34170 ) ( 116610 * )
NEW met1 ( 147430 72590 ) M1M2_PR
NEW li1 ( 149270 72590 ) L1M1_PR_MR
NEW met1 ( 40250 33830 ) M1M2_PR
NEW met1 ( 40250 31450 ) M1M2_PR
NEW li1 ( 37030 31450 ) L1M1_PR_MR
NEW met1 ( 116610 34170 ) M1M2_PR
NEW met1 ( 116610 32130 ) M1M2_PR
NEW met1 ( 147430 32130 ) M1M2_PR
NEW li1 ( 59110 33830 ) L1M1_PR_MR
NEW met1 ( 62790 33830 ) M1M2_PR
NEW met2 ( 62790 34340 ) M2M3_PR_M
NEW met2 ( 91310 34340 ) M2M3_PR_M
NEW met1 ( 91310 34170 ) M1M2_PR ;
- net357 ( ANTENNA_output357_A DIODE ) ( output357 A ) ( _215_ X ) + USE SIGNAL
+ ROUTED met1 ( 99130 212670 ) ( 102350 * )
NEW met1 ( 45310 72930 ) ( 101890 * )
NEW met2 ( 36110 33830 ) ( * 45390 )
NEW met1 ( 36110 45390 ) ( 45310 * )
NEW met2 ( 45310 45390 ) ( * 72930 )
NEW met2 ( 102350 124200 ) ( * 212670 )
NEW met2 ( 101890 124200 ) ( 102350 * )
NEW met2 ( 101890 72930 ) ( * 124200 )
NEW met1 ( 101890 72930 ) M1M2_PR
NEW met1 ( 102350 212670 ) M1M2_PR
NEW li1 ( 99130 212670 ) L1M1_PR_MR
NEW met1 ( 45310 72930 ) M1M2_PR
NEW li1 ( 45310 45390 ) L1M1_PR_MR
NEW met1 ( 45310 45390 ) M1M2_PR
NEW li1 ( 36110 33830 ) L1M1_PR_MR
NEW met1 ( 36110 33830 ) M1M2_PR
NEW met1 ( 36110 45390 ) M1M2_PR
NEW met1 ( 45310 45390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 36110 33830 ) RECT ( -355 -70 0 70 ) ;
- net358 ( ANTENNA_output358_A DIODE ) ( output358 A ) ( _216_ X ) + USE SIGNAL
+ ROUTED met2 ( 128570 86020 ) ( 129030 * )
NEW met1 ( 41170 38930 ) ( 53130 * )
NEW met2 ( 41170 31450 ) ( * 38930 )
NEW met1 ( 40710 31450 ) ( 41170 * )
NEW met2 ( 52210 38930 ) ( * 39100 )
NEW met3 ( 52210 39100 ) ( 129030 * )
NEW met2 ( 129030 39100 ) ( * 86020 )
NEW met1 ( 127650 226270 ) ( 128570 * )
NEW met2 ( 128570 86020 ) ( * 226270 )
NEW li1 ( 53130 38930 ) L1M1_PR_MR
NEW met1 ( 41170 38930 ) M1M2_PR
NEW met1 ( 41170 31450 ) M1M2_PR
NEW li1 ( 40710 31450 ) L1M1_PR_MR
NEW met2 ( 52210 39100 ) M2M3_PR_M
NEW met1 ( 52210 38930 ) M1M2_PR
NEW met2 ( 129030 39100 ) M2M3_PR_M
NEW met1 ( 128570 226270 ) M1M2_PR
NEW li1 ( 127650 226270 ) L1M1_PR_MR
NEW met1 ( 52210 38930 ) RECT ( -595 -70 0 70 ) ;
- net359 ( ANTENNA_output359_A DIODE ) ( output359 A ) ( _217_ X ) + USE SIGNAL
+ ROUTED met1 ( 48530 37570 ) ( 57730 * )
NEW met1 ( 39790 33490 ) ( * 33830 )
NEW met1 ( 39790 33490 ) ( 43930 * )
NEW li1 ( 43930 33490 ) ( * 34510 )
NEW met1 ( 43930 34510 ) ( 48530 * )
NEW met2 ( 48530 34510 ) ( * 37570 )
NEW met2 ( 48530 37570 ) ( * 232390 )
NEW li1 ( 57730 37570 ) L1M1_PR_MR
NEW met1 ( 48530 37570 ) M1M2_PR
NEW li1 ( 39790 33830 ) L1M1_PR_MR
NEW li1 ( 43930 33490 ) L1M1_PR_MR
NEW li1 ( 43930 34510 ) L1M1_PR_MR
NEW met1 ( 48530 34510 ) M1M2_PR
NEW li1 ( 48530 232390 ) L1M1_PR_MR
NEW met1 ( 48530 232390 ) M1M2_PR
NEW met1 ( 48530 232390 ) RECT ( -355 -70 0 70 ) ;
- net36 ( ANTENNA__209__A1 DIODE ) ( input36 X ) ( _209_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 11270 96600 ) ( 11730 * )
NEW met2 ( 11730 88910 ) ( * 96600 )
NEW met1 ( 11730 88910 ) ( 13110 * )
NEW met1 ( 13110 87890 ) ( * 88910 )
NEW met1 ( 10350 131410 ) ( 11270 * )
NEW met2 ( 11270 96600 ) ( * 131410 )
NEW met1 ( 18170 87890 ) ( * 88230 )
NEW met1 ( 18170 88230 ) ( 62100 * )
NEW met1 ( 62100 88230 ) ( * 89250 )
NEW met1 ( 13110 87890 ) ( 18170 * )
NEW met1 ( 104190 88230 ) ( * 88570 )
NEW met1 ( 99130 88570 ) ( 104190 * )
NEW li1 ( 99130 88570 ) ( * 89250 )
NEW met1 ( 62100 89250 ) ( 99590 * )
NEW met1 ( 11730 88910 ) M1M2_PR
NEW met1 ( 11270 131410 ) M1M2_PR
NEW li1 ( 10350 131410 ) L1M1_PR_MR
NEW li1 ( 99590 89250 ) L1M1_PR_MR
NEW li1 ( 104190 88230 ) L1M1_PR_MR
NEW li1 ( 99130 88570 ) L1M1_PR_MR
NEW li1 ( 99130 89250 ) L1M1_PR_MR
NEW met1 ( 99130 89250 ) RECT ( -595 -70 0 70 ) ;
- net360 ( ANTENNA_output360_A DIODE ) ( output360 A ) ( _218_ X ) + USE SIGNAL
+ ROUTED met1 ( 82570 33150 ) ( * 33490 )
NEW met1 ( 62100 33150 ) ( 82570 * )
NEW met1 ( 44390 33490 ) ( 61410 * )
NEW met2 ( 44390 31450 ) ( * 33490 )
NEW met1 ( 62100 33150 ) ( * 33490 )
NEW met1 ( 61410 33490 ) ( 62100 * )
NEW met2 ( 120290 33490 ) ( * 61710 )
NEW met1 ( 120290 61710 ) ( 124890 * )
NEW met1 ( 82570 33490 ) ( 120290 * )
NEW li1 ( 61410 33490 ) L1M1_PR_MR
NEW met1 ( 44390 33490 ) M1M2_PR
NEW li1 ( 44390 31450 ) L1M1_PR_MR
NEW met1 ( 44390 31450 ) M1M2_PR
NEW met1 ( 120290 33490 ) M1M2_PR
NEW met1 ( 120290 61710 ) M1M2_PR
NEW li1 ( 124890 61710 ) L1M1_PR_MR
NEW met1 ( 44390 31450 ) RECT ( -355 -70 0 70 ) ;
- net361 ( output361 A ) ( _194_ X ) + USE SIGNAL
+ ROUTED met1 ( 45770 58650 ) ( 47150 * )
NEW met1 ( 47150 58650 ) ( * 58990 )
NEW met1 ( 47150 58990 ) ( 57270 * )
NEW met2 ( 57270 58990 ) ( * 60350 )
NEW met1 ( 35190 36890 ) ( 45770 * )
NEW met1 ( 35190 36550 ) ( * 36890 )
NEW met1 ( 20010 36550 ) ( 35190 * )
NEW met1 ( 20010 36550 ) ( * 36890 )
NEW met2 ( 45770 36890 ) ( * 58650 )
NEW met1 ( 45770 58650 ) M1M2_PR
NEW met1 ( 57270 58990 ) M1M2_PR
NEW li1 ( 57270 60350 ) L1M1_PR_MR
NEW met1 ( 57270 60350 ) M1M2_PR
NEW met1 ( 45770 36890 ) M1M2_PR
NEW li1 ( 20010 36890 ) L1M1_PR_MR
NEW met1 ( 57270 60350 ) RECT ( -355 -70 0 70 ) ;
- net362 ( ANTENNA_output362_A DIODE ) ( output362 A ) ( _221_ X ) + USE SIGNAL
+ ROUTED met1 ( 71990 12070 ) ( 74290 * )
NEW met2 ( 100970 69020 ) ( 101890 * )
NEW met2 ( 74290 12070 ) ( * 13800 )
NEW met1 ( 73830 22270 ) ( 100970 * )
NEW met2 ( 100970 22270 ) ( * 45220 )
NEW met2 ( 100970 45220 ) ( 101890 * )
NEW met2 ( 73830 13800 ) ( 74290 * )
NEW met2 ( 73830 13800 ) ( * 22270 )
NEW met2 ( 101890 45220 ) ( * 69020 )
NEW met1 ( 168130 120870 ) ( * 121550 )
NEW met1 ( 168130 120870 ) ( 177330 * )
NEW met2 ( 177330 120870 ) ( * 174590 )
NEW li1 ( 146970 120190 ) ( * 121550 )
NEW met1 ( 146970 121550 ) ( 168130 * )
NEW met1 ( 100970 81090 ) ( 104190 * )
NEW met2 ( 104190 81090 ) ( * 120190 )
NEW met2 ( 100970 69020 ) ( * 81090 )
NEW met1 ( 104190 120190 ) ( 146970 * )
NEW met1 ( 74290 12070 ) M1M2_PR
NEW li1 ( 71990 12070 ) L1M1_PR_MR
NEW li1 ( 177330 174590 ) L1M1_PR_MR
NEW met1 ( 177330 174590 ) M1M2_PR
NEW li1 ( 73830 22270 ) L1M1_PR_MR
NEW met1 ( 100970 22270 ) M1M2_PR
NEW met1 ( 73830 22270 ) M1M2_PR
NEW met1 ( 177330 120870 ) M1M2_PR
NEW li1 ( 146970 120190 ) L1M1_PR_MR
NEW li1 ( 146970 121550 ) L1M1_PR_MR
NEW met1 ( 100970 81090 ) M1M2_PR
NEW met1 ( 104190 81090 ) M1M2_PR
NEW met1 ( 104190 120190 ) M1M2_PR
NEW met1 ( 177330 174590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 73830 22270 ) RECT ( -595 -70 0 70 ) ;
- net363 ( ANTENNA_output363_A DIODE ) ( output363 A ) ( _222_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 102510 ) ( 31050 * )
NEW met1 ( 14030 197710 ) ( 14490 * )
NEW met2 ( 31050 62100 ) ( * 102510 )
NEW met1 ( 46230 28390 ) ( 46690 * )
NEW met2 ( 46690 28390 ) ( * 28900 )
NEW met3 ( 44850 28900 ) ( 46690 * )
NEW met2 ( 44850 28900 ) ( * 34340 )
NEW met2 ( 44390 34340 ) ( 44850 * )
NEW met2 ( 44390 34340 ) ( * 37570 )
NEW met1 ( 33810 37570 ) ( 44390 * )
NEW met2 ( 33810 37570 ) ( * 45730 )
NEW met2 ( 32890 45730 ) ( 33810 * )
NEW met2 ( 32890 45730 ) ( * 62100 )
NEW met2 ( 31050 62100 ) ( 32890 * )
NEW met1 ( 46690 28050 ) ( * 28390 )
NEW met2 ( 14490 102510 ) ( * 197710 )
NEW met1 ( 48990 28050 ) ( * 28730 )
NEW met1 ( 48990 28730 ) ( 50830 * )
NEW met1 ( 50830 28730 ) ( * 29070 )
NEW met1 ( 50830 29070 ) ( 67390 * )
NEW met1 ( 46690 28050 ) ( 48990 * )
NEW met1 ( 14490 102510 ) M1M2_PR
NEW met1 ( 31050 102510 ) M1M2_PR
NEW met1 ( 14490 197710 ) M1M2_PR
NEW li1 ( 14030 197710 ) L1M1_PR_MR
NEW li1 ( 46230 28390 ) L1M1_PR_MR
NEW met1 ( 46690 28390 ) M1M2_PR
NEW met2 ( 46690 28900 ) M2M3_PR_M
NEW met2 ( 44850 28900 ) M2M3_PR_M
NEW met1 ( 44390 37570 ) M1M2_PR
NEW met1 ( 33810 37570 ) M1M2_PR
NEW li1 ( 67390 29070 ) L1M1_PR_MR ;
- net364 ( output364 A ) ( _223_ X ) + USE SIGNAL
+ ROUTED met2 ( 112010 26350 ) ( * 38590 )
NEW met1 ( 62330 26350 ) ( * 26690 )
NEW met1 ( 60950 26690 ) ( 62330 * )
NEW met1 ( 60950 26350 ) ( * 26690 )
NEW met1 ( 52210 26350 ) ( 60950 * )
NEW met1 ( 52210 26010 ) ( * 26350 )
NEW met1 ( 62330 26350 ) ( 112010 * )
NEW met1 ( 112010 26350 ) M1M2_PR
NEW li1 ( 112010 38590 ) L1M1_PR_MR
NEW met1 ( 112010 38590 ) M1M2_PR
NEW li1 ( 52210 26010 ) L1M1_PR_MR
NEW met1 ( 112010 38590 ) RECT ( -355 -70 0 70 ) ;
- net365 ( ANTENNA_output365_A DIODE ) ( output365 A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met2 ( 25070 159970 ) ( * 160990 )
NEW met1 ( 25070 159970 ) ( 47610 * )
NEW met1 ( 9890 160990 ) ( 25070 * )
NEW met1 ( 47610 31450 ) ( 48070 * )
NEW met2 ( 47610 31450 ) ( * 45390 )
NEW met2 ( 47610 45390 ) ( * 159970 )
NEW li1 ( 9890 160990 ) L1M1_PR_MR
NEW met1 ( 25070 160990 ) M1M2_PR
NEW met1 ( 25070 159970 ) M1M2_PR
NEW met1 ( 47610 159970 ) M1M2_PR
NEW li1 ( 47610 45390 ) L1M1_PR_MR
NEW met1 ( 47610 45390 ) M1M2_PR
NEW li1 ( 48070 31450 ) L1M1_PR_MR
NEW met1 ( 47610 31450 ) M1M2_PR
NEW met1 ( 47610 45390 ) RECT ( -355 -70 0 70 ) ;
- net366 ( ANTENNA_output366_A DIODE ) ( output366 A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 45730 ) ( * 131100 )
NEW met2 ( 13110 131100 ) ( 13570 * )
NEW met2 ( 13110 131100 ) ( * 139570 )
NEW met1 ( 10350 139570 ) ( 13110 * )
NEW met2 ( 69230 25670 ) ( * 45050 )
NEW met1 ( 65090 17510 ) ( 69230 * )
NEW met2 ( 69230 17510 ) ( * 25670 )
NEW met1 ( 62100 45050 ) ( 69230 * )
NEW met1 ( 62100 45050 ) ( * 45730 )
NEW met1 ( 13570 45730 ) ( 62100 * )
NEW met1 ( 13570 45730 ) M1M2_PR
NEW met1 ( 13110 139570 ) M1M2_PR
NEW li1 ( 10350 139570 ) L1M1_PR_MR
NEW li1 ( 69230 25670 ) L1M1_PR_MR
NEW met1 ( 69230 25670 ) M1M2_PR
NEW met1 ( 69230 45050 ) M1M2_PR
NEW li1 ( 65090 17510 ) L1M1_PR_MR
NEW met1 ( 69230 17510 ) M1M2_PR
NEW met1 ( 69230 25670 ) RECT ( -355 -70 0 70 ) ;
- net367 ( ANTENNA_output367_A DIODE ) ( output367 A ) ( _228_ X ) + USE SIGNAL
+ ROUTED met1 ( 75670 12070 ) ( 78890 * )
NEW met2 ( 78890 12070 ) ( * 19550 )
NEW met1 ( 78890 12750 ) ( 212750 * )
NEW li1 ( 75670 12070 ) L1M1_PR_MR
NEW met1 ( 78890 12070 ) M1M2_PR
NEW met1 ( 78890 12750 ) M1M2_PR
NEW li1 ( 78890 19550 ) L1M1_PR_MR
NEW met1 ( 78890 19550 ) M1M2_PR
NEW li1 ( 212750 12750 ) L1M1_PR_MR
NEW met2 ( 78890 12750 ) RECT ( -70 -485 70 0 )
NEW met1 ( 78890 19550 ) RECT ( -355 -70 0 70 ) ;
- net368 ( ANTENNA_output368_A DIODE ) ( output368 A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met2 ( 72450 25500 ) ( * 25670 )
NEW met1 ( 62790 20570 ) ( 70150 * )
NEW met1 ( 70150 20570 ) ( * 20910 )
NEW met1 ( 70150 20910 ) ( 71990 * )
NEW met2 ( 71990 20910 ) ( * 25500 )
NEW met2 ( 71990 25500 ) ( 72450 * )
NEW met2 ( 159390 25500 ) ( * 45900 )
NEW met3 ( 159390 45900 ) ( 174110 * )
NEW met3 ( 72450 25500 ) ( 159390 * )
NEW met2 ( 174110 45900 ) ( * 180030 )
NEW li1 ( 174110 180030 ) L1M1_PR_MR
NEW met1 ( 174110 180030 ) M1M2_PR
NEW li1 ( 72450 25670 ) L1M1_PR_MR
NEW met1 ( 72450 25670 ) M1M2_PR
NEW met2 ( 72450 25500 ) M2M3_PR_M
NEW li1 ( 62790 20570 ) L1M1_PR_MR
NEW met1 ( 71990 20910 ) M1M2_PR
NEW met2 ( 159390 25500 ) M2M3_PR_M
NEW met2 ( 159390 45900 ) M2M3_PR_M
NEW met2 ( 174110 45900 ) M2M3_PR_M
NEW met1 ( 174110 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 72450 25670 ) RECT ( -355 -70 0 70 ) ;
- net369 ( ANTENNA_output369_A DIODE ) ( output369 A ) ( _230_ X ) + USE SIGNAL
+ ROUTED met2 ( 135470 62100 ) ( * 90270 )
NEW met2 ( 134550 62100 ) ( 135470 * )
NEW met1 ( 71070 29070 ) ( 84410 * )
NEW met1 ( 84410 28730 ) ( * 29070 )
NEW met1 ( 49910 28050 ) ( * 28390 )
NEW met1 ( 49910 28050 ) ( 71070 * )
NEW met1 ( 71070 28050 ) ( * 29070 )
NEW met1 ( 112010 28050 ) ( * 28730 )
NEW met1 ( 112010 28050 ) ( 134550 * )
NEW met1 ( 84410 28730 ) ( 112010 * )
NEW met2 ( 134550 28050 ) ( * 62100 )
NEW li1 ( 135470 90270 ) L1M1_PR_MR
NEW met1 ( 135470 90270 ) M1M2_PR
NEW li1 ( 71070 29070 ) L1M1_PR_MR
NEW li1 ( 49910 28390 ) L1M1_PR_MR
NEW met1 ( 134550 28050 ) M1M2_PR
NEW met1 ( 135470 90270 ) RECT ( -355 -70 0 70 ) ;
- net37 ( ANTENNA__210__A1 DIODE ) ( input37 X ) ( _210_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 170890 121550 ) ( * 123250 )
NEW met1 ( 170890 121550 ) ( 221490 * )
NEW met1 ( 233450 20570 ) ( 233910 * )
NEW met2 ( 233450 20570 ) ( * 39950 )
NEW met1 ( 221490 39950 ) ( 233450 * )
NEW met2 ( 221490 39950 ) ( * 121550 )
NEW met1 ( 49910 124270 ) ( 77970 * )
NEW met1 ( 45770 124270 ) ( 49910 * )
NEW met3 ( 77970 123420 ) ( 100510 * )
NEW met2 ( 100510 123250 ) ( * 123420 )
NEW met2 ( 77970 123420 ) ( * 124270 )
NEW met1 ( 100510 123250 ) ( 170890 * )
NEW met1 ( 221490 121550 ) M1M2_PR
NEW met1 ( 170890 123250 ) M1M2_PR
NEW met1 ( 170890 121550 ) M1M2_PR
NEW li1 ( 45770 124270 ) L1M1_PR_MR
NEW li1 ( 233910 20570 ) L1M1_PR_MR
NEW met1 ( 233450 20570 ) M1M2_PR
NEW met1 ( 233450 39950 ) M1M2_PR
NEW met1 ( 221490 39950 ) M1M2_PR
NEW li1 ( 49910 124270 ) L1M1_PR_MR
NEW met1 ( 77970 124270 ) M1M2_PR
NEW met2 ( 77970 123420 ) M2M3_PR_M
NEW met2 ( 100510 123420 ) M2M3_PR_M
NEW met1 ( 100510 123250 ) M1M2_PR ;
- net370 ( ANTENNA_output370_A DIODE ) ( output370 A ) ( _231_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 22610 ) ( 101430 * )
NEW met1 ( 101430 22270 ) ( * 22610 )
NEW met2 ( 63250 22610 ) ( * 23290 )
NEW met1 ( 63250 23290 ) ( 76590 * )
NEW met1 ( 76590 22610 ) ( * 23290 )
NEW met1 ( 131790 94350 ) ( 134090 * )
NEW met1 ( 60030 22610 ) ( * 22950 )
NEW met1 ( 60030 22610 ) ( 63250 * )
NEW met1 ( 101430 22270 ) ( 110400 * )
NEW met1 ( 110400 22270 ) ( * 22610 )
NEW met1 ( 110400 22610 ) ( 122590 * )
NEW met1 ( 122590 22270 ) ( * 22610 )
NEW met1 ( 122590 22270 ) ( 133170 * )
NEW met2 ( 133170 22270 ) ( * 47770 )
NEW met1 ( 131790 47770 ) ( 133170 * )
NEW met2 ( 131790 47770 ) ( * 94350 )
NEW li1 ( 76590 22610 ) L1M1_PR_MR
NEW met1 ( 63250 22610 ) M1M2_PR
NEW met1 ( 63250 23290 ) M1M2_PR
NEW met1 ( 131790 94350 ) M1M2_PR
NEW li1 ( 134090 94350 ) L1M1_PR_MR
NEW li1 ( 60030 22950 ) L1M1_PR_MR
NEW met1 ( 133170 22270 ) M1M2_PR
NEW met1 ( 133170 47770 ) M1M2_PR
NEW met1 ( 131790 47770 ) M1M2_PR ;
- net371 ( ANTENNA_output371_A DIODE ) ( output371 A ) ( _232_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 138210 ) ( * 139230 )
NEW met1 ( 71530 15130 ) ( 71990 * )
NEW met2 ( 71530 15130 ) ( * 26180 )
NEW met2 ( 71530 26180 ) ( 71990 * )
NEW met1 ( 76130 16830 ) ( 82110 * )
NEW met1 ( 76130 16830 ) ( * 17170 )
NEW met1 ( 71530 17170 ) ( 76130 * )
NEW met2 ( 71990 26180 ) ( * 138210 )
NEW met1 ( 13570 138210 ) ( 71990 * )
NEW met1 ( 13570 138210 ) M1M2_PR
NEW li1 ( 13570 139230 ) L1M1_PR_MR
NEW met1 ( 13570 139230 ) M1M2_PR
NEW li1 ( 71990 15130 ) L1M1_PR_MR
NEW met1 ( 71530 15130 ) M1M2_PR
NEW li1 ( 82110 16830 ) L1M1_PR_MR
NEW met1 ( 71530 17170 ) M1M2_PR
NEW met1 ( 71990 138210 ) M1M2_PR
NEW met1 ( 13570 139230 ) RECT ( -355 -70 0 70 )
NEW met2 ( 71530 17170 ) RECT ( -70 -485 70 0 ) ;
- net372 ( output372 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met2 ( 31510 38930 ) ( * 56270 )
NEW met1 ( 26450 38930 ) ( 31510 * )
NEW met1 ( 26450 38930 ) ( * 39270 )
NEW met1 ( 15870 39270 ) ( 26450 * )
NEW met1 ( 31510 56270 ) ( 84870 * )
NEW li1 ( 84870 56270 ) L1M1_PR_MR
NEW met1 ( 31510 56270 ) M1M2_PR
NEW met1 ( 31510 38930 ) M1M2_PR
NEW li1 ( 15870 39270 ) L1M1_PR_MR ;
- net373 ( ANTENNA_output373_A DIODE ) ( output373 A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met1 ( 20010 79390 ) ( 20470 * )
NEW met2 ( 20010 62100 ) ( * 79390 )
NEW met2 ( 19550 62100 ) ( 20010 * )
NEW met2 ( 19550 51170 ) ( * 62100 )
NEW met1 ( 17710 51170 ) ( 19550 * )
NEW met3 ( 17710 39780 ) ( 36340 * )
NEW met4 ( 36340 26180 ) ( * 39780 )
NEW met2 ( 17710 39780 ) ( * 51170 )
NEW met3 ( 36340 26180 ) ( 48300 * )
NEW met1 ( 63710 22950 ) ( 64630 * )
NEW met2 ( 64630 22950 ) ( * 25500 )
NEW met3 ( 48300 25500 ) ( 64630 * )
NEW met3 ( 48300 25500 ) ( * 26180 )
NEW met1 ( 64630 24990 ) ( 74750 * )
NEW met1 ( 20010 79390 ) M1M2_PR
NEW li1 ( 20470 79390 ) L1M1_PR_MR
NEW met1 ( 19550 51170 ) M1M2_PR
NEW met1 ( 17710 51170 ) M1M2_PR
NEW met2 ( 17710 39780 ) M2M3_PR_M
NEW met3 ( 36340 39780 ) M3M4_PR_M
NEW met3 ( 36340 26180 ) M3M4_PR_M
NEW li1 ( 63710 22950 ) L1M1_PR_MR
NEW met1 ( 64630 22950 ) M1M2_PR
NEW met2 ( 64630 25500 ) M2M3_PR_M
NEW li1 ( 74750 24990 ) L1M1_PR_MR
NEW met1 ( 64630 24990 ) M1M2_PR
NEW met2 ( 64630 24990 ) RECT ( -70 -485 70 0 ) ;
- net374 ( ANTENNA_output374_A DIODE ) ( output374 A ) ( _234_ X ) + USE SIGNAL
+ ROUTED met1 ( 79350 12070 ) ( * 12410 )
NEW met1 ( 77510 12410 ) ( 79350 * )
NEW met1 ( 77510 18190 ) ( 84870 * )
NEW met2 ( 77510 12410 ) ( * 226270 )
NEW li1 ( 79350 12070 ) L1M1_PR_MR
NEW met1 ( 77510 12410 ) M1M2_PR
NEW li1 ( 84870 18190 ) L1M1_PR_MR
NEW met1 ( 77510 18190 ) M1M2_PR
NEW li1 ( 77510 226270 ) L1M1_PR_MR
NEW met1 ( 77510 226270 ) M1M2_PR
NEW met2 ( 77510 18190 ) RECT ( -70 -485 70 0 )
NEW met1 ( 77510 226270 ) RECT ( -355 -70 0 70 ) ;
- net375 ( ANTENNA_output375_A DIODE ) ( output375 A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met1 ( 76130 44710 ) ( * 45730 )
NEW met1 ( 76130 45730 ) ( 96370 * )
NEW met2 ( 32430 44710 ) ( * 52190 )
NEW met1 ( 15870 44710 ) ( * 45050 )
NEW met1 ( 15870 45050 ) ( 32430 * )
NEW met1 ( 32430 44710 ) ( * 45050 )
NEW met1 ( 32430 44710 ) ( 76130 * )
NEW met2 ( 158470 19890 ) ( * 20060 )
NEW met2 ( 158470 20060 ) ( 159390 * )
NEW met2 ( 159390 19890 ) ( * 20060 )
NEW met1 ( 159390 19890 ) ( 193430 * )
NEW met3 ( 96370 30260 ) ( 119370 * )
NEW met2 ( 119370 19890 ) ( * 30260 )
NEW met2 ( 96370 30260 ) ( * 45730 )
NEW met1 ( 119370 19890 ) ( 158470 * )
NEW met1 ( 96370 45730 ) M1M2_PR
NEW li1 ( 193430 19890 ) L1M1_PR_MR
NEW li1 ( 32430 52190 ) L1M1_PR_MR
NEW met1 ( 32430 52190 ) M1M2_PR
NEW met1 ( 32430 44710 ) M1M2_PR
NEW li1 ( 15870 44710 ) L1M1_PR_MR
NEW met1 ( 158470 19890 ) M1M2_PR
NEW met1 ( 159390 19890 ) M1M2_PR
NEW met2 ( 96370 30260 ) M2M3_PR_M
NEW met2 ( 119370 30260 ) M2M3_PR_M
NEW met1 ( 119370 19890 ) M1M2_PR
NEW met1 ( 32430 52190 ) RECT ( -355 -70 0 70 ) ;
- net376 ( ANTENNA_output376_A DIODE ) ( output376 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met2 ( 112930 71060 ) ( 113850 * )
NEW met2 ( 113850 71060 ) ( * 73100 )
NEW met2 ( 113850 73100 ) ( 114310 * )
NEW met2 ( 36110 50150 ) ( * 50660 )
NEW met1 ( 23690 36890 ) ( 24610 * )
NEW met2 ( 24610 36890 ) ( * 39610 )
NEW met1 ( 24610 39610 ) ( 35650 * )
NEW met2 ( 35650 39610 ) ( * 48620 )
NEW met2 ( 35650 48620 ) ( 36110 * )
NEW met2 ( 36110 48620 ) ( * 50150 )
NEW met3 ( 36110 50660 ) ( 112930 * )
NEW met2 ( 112930 50660 ) ( * 71060 )
NEW met2 ( 114310 73100 ) ( * 210630 )
NEW li1 ( 36110 50150 ) L1M1_PR_MR
NEW met1 ( 36110 50150 ) M1M2_PR
NEW met2 ( 36110 50660 ) M2M3_PR_M
NEW li1 ( 23690 36890 ) L1M1_PR_MR
NEW met1 ( 24610 36890 ) M1M2_PR
NEW met1 ( 24610 39610 ) M1M2_PR
NEW met1 ( 35650 39610 ) M1M2_PR
NEW met2 ( 112930 50660 ) M2M3_PR_M
NEW li1 ( 114310 210630 ) L1M1_PR_MR
NEW met1 ( 114310 210630 ) M1M2_PR
NEW met1 ( 36110 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 114310 210630 ) RECT ( -355 -70 0 70 ) ;
- net377 ( ANTENNA_output377_A DIODE ) ( output377 A ) ( _200_ X ) + USE SIGNAL
+ ROUTED met1 ( 158700 188870 ) ( 193430 * )
NEW met1 ( 158700 188870 ) ( * 189210 )
NEW met1 ( 50830 189210 ) ( 158700 * )
NEW met2 ( 35190 41140 ) ( * 52190 )
NEW met3 ( 26450 41140 ) ( 35190 * )
NEW met2 ( 26450 36890 ) ( * 41140 )
NEW met1 ( 26450 36890 ) ( 27370 * )
NEW met2 ( 35190 62100 ) ( 35650 * )
NEW met2 ( 35190 52190 ) ( * 62100 )
NEW met1 ( 35650 145010 ) ( 50830 * )
NEW met2 ( 35650 62100 ) ( * 145010 )
NEW met2 ( 50830 145010 ) ( * 189210 )
NEW li1 ( 193430 188870 ) L1M1_PR_MR
NEW met1 ( 50830 189210 ) M1M2_PR
NEW li1 ( 35190 52190 ) L1M1_PR_MR
NEW met1 ( 35190 52190 ) M1M2_PR
NEW met2 ( 35190 41140 ) M2M3_PR_M
NEW met2 ( 26450 41140 ) M2M3_PR_M
NEW met1 ( 26450 36890 ) M1M2_PR
NEW li1 ( 27370 36890 ) L1M1_PR_MR
NEW met1 ( 35650 145010 ) M1M2_PR
NEW met1 ( 50830 145010 ) M1M2_PR
NEW met1 ( 35190 52190 ) RECT ( -355 -70 0 70 ) ;
- net378 ( ANTENNA_output378_A DIODE ) ( output378 A ) ( _201_ X ) + USE SIGNAL
+ ROUTED met1 ( 26450 51170 ) ( 38410 * )
NEW met2 ( 26450 45390 ) ( * 51170 )
NEW met1 ( 22770 45390 ) ( 26450 * )
NEW met2 ( 22770 42330 ) ( * 45390 )
NEW met1 ( 20470 42330 ) ( 22770 * )
NEW met1 ( 38410 121550 ) ( 53590 * )
NEW met2 ( 38410 51170 ) ( * 121550 )
NEW li1 ( 38410 51170 ) L1M1_PR_MR
NEW met1 ( 26450 51170 ) M1M2_PR
NEW met1 ( 26450 45390 ) M1M2_PR
NEW met1 ( 22770 45390 ) M1M2_PR
NEW met1 ( 22770 42330 ) M1M2_PR
NEW li1 ( 20470 42330 ) L1M1_PR_MR
NEW met1 ( 38410 51170 ) M1M2_PR
NEW met1 ( 38410 121550 ) M1M2_PR
NEW li1 ( 53590 121550 ) L1M1_PR_MR
NEW met1 ( 38410 51170 ) RECT ( -595 -70 0 70 ) ;
- net379 ( ANTENNA_output379_A DIODE ) ( output379 A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met2 ( 64170 99790 ) ( * 123930 )
NEW met1 ( 167670 120530 ) ( * 121210 )
NEW met1 ( 167670 120530 ) ( 177790 * )
NEW met1 ( 177790 120530 ) ( * 121210 )
NEW met1 ( 177790 121210 ) ( 185150 * )
NEW met1 ( 41630 99790 ) ( 64170 * )
NEW met2 ( 41630 62100 ) ( * 99790 )
NEW met2 ( 42090 39270 ) ( * 48450 )
NEW met1 ( 26910 39270 ) ( 42090 * )
NEW met2 ( 41630 62100 ) ( 42090 * )
NEW met2 ( 42090 48450 ) ( * 62100 )
NEW met2 ( 135010 121210 ) ( * 123930 )
NEW met1 ( 135010 121210 ) ( 167670 * )
NEW met1 ( 64170 123930 ) ( 135010 * )
NEW met1 ( 64170 99790 ) M1M2_PR
NEW met1 ( 64170 123930 ) M1M2_PR
NEW li1 ( 185150 121210 ) L1M1_PR_MR
NEW met1 ( 41630 99790 ) M1M2_PR
NEW li1 ( 42090 48450 ) L1M1_PR_MR
NEW met1 ( 42090 48450 ) M1M2_PR
NEW met1 ( 42090 39270 ) M1M2_PR
NEW li1 ( 26910 39270 ) L1M1_PR_MR
NEW met1 ( 135010 123930 ) M1M2_PR
NEW met1 ( 135010 121210 ) M1M2_PR
NEW met1 ( 42090 48450 ) RECT ( -355 -70 0 70 ) ;
- net38 ( ANTENNA__211__A1 DIODE ) ( input38 X ) ( _211_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 230230 137190 ) ( 231610 * )
NEW met1 ( 230230 137190 ) ( * 137530 )
NEW met1 ( 229310 137530 ) ( 230230 * )
NEW met1 ( 231610 137190 ) ( 234830 * )
NEW met1 ( 229310 26350 ) ( 233910 * )
NEW met2 ( 229310 26350 ) ( * 137530 )
NEW li1 ( 231610 137190 ) L1M1_PR_MR
NEW met1 ( 229310 137530 ) M1M2_PR
NEW li1 ( 234830 137190 ) L1M1_PR_MR
NEW li1 ( 233910 26350 ) L1M1_PR_MR
NEW met1 ( 229310 26350 ) M1M2_PR ;
- net380 ( ANTENNA_output380_A DIODE ) ( output380 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 206310 52530 ) ( * 66130 )
NEW met1 ( 25070 42330 ) ( 51290 * )
NEW met2 ( 51290 42330 ) ( * 46750 )
NEW li1 ( 134090 46750 ) ( * 47770 )
NEW met1 ( 51290 46750 ) ( 134090 * )
NEW met2 ( 141450 47770 ) ( * 49300 )
NEW met3 ( 141450 49300 ) ( 173650 * )
NEW met2 ( 173650 49300 ) ( * 52530 )
NEW met1 ( 134090 47770 ) ( 141450 * )
NEW met1 ( 173650 52530 ) ( 206310 * )
NEW li1 ( 206310 66130 ) L1M1_PR_MR
NEW met1 ( 206310 66130 ) M1M2_PR
NEW met1 ( 206310 52530 ) M1M2_PR
NEW li1 ( 51290 42330 ) L1M1_PR_MR
NEW li1 ( 25070 42330 ) L1M1_PR_MR
NEW met1 ( 51290 46750 ) M1M2_PR
NEW met1 ( 51290 42330 ) M1M2_PR
NEW li1 ( 134090 46750 ) L1M1_PR_MR
NEW li1 ( 134090 47770 ) L1M1_PR_MR
NEW met1 ( 141450 47770 ) M1M2_PR
NEW met2 ( 141450 49300 ) M2M3_PR_M
NEW met2 ( 173650 49300 ) M2M3_PR_M
NEW met1 ( 173650 52530 ) M1M2_PR
NEW met1 ( 206310 66130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51290 42330 ) RECT ( -595 -70 0 70 ) ;
- net381 ( ANTENNA_output381_A DIODE ) ( output381 A ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 28290 57460 ) ( * 57630 )
NEW met1 ( 24150 44710 ) ( 27830 * )
NEW met2 ( 27830 44710 ) ( * 57460 )
NEW met2 ( 27830 57460 ) ( 28290 * )
NEW met3 ( 28290 57460 ) ( 146050 * )
NEW met2 ( 146050 57460 ) ( * 145350 )
NEW li1 ( 28290 57630 ) L1M1_PR_MR
NEW met1 ( 28290 57630 ) M1M2_PR
NEW met2 ( 28290 57460 ) M2M3_PR_M
NEW li1 ( 24150 44710 ) L1M1_PR_MR
NEW met1 ( 27830 44710 ) M1M2_PR
NEW met2 ( 146050 57460 ) M2M3_PR_M
NEW li1 ( 146050 145350 ) L1M1_PR_MR
NEW met1 ( 146050 145350 ) M1M2_PR
NEW met1 ( 28290 57630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 146050 145350 ) RECT ( -355 -70 0 70 ) ;
- net382 ( ANTENNA__123__D DIODE ) ( ANTENNA_output382_A DIODE ) ( output382 A ) ( _122_ X ) ( _123_ D ) + USE SIGNAL
+ ROUTED met1 ( 11270 65790 ) ( 11730 * )
NEW met2 ( 11270 42330 ) ( * 65790 )
NEW met1 ( 8510 42330 ) ( 11270 * )
NEW met1 ( 11730 65790 ) ( 12650 * )
NEW met2 ( 12650 65790 ) ( * 95710 )
NEW met1 ( 121670 190910 ) ( 122130 * )
NEW met1 ( 121670 194650 ) ( 122590 * )
NEW met2 ( 121670 190910 ) ( * 194650 )
NEW met2 ( 84870 95710 ) ( * 99450 )
NEW met1 ( 84870 99450 ) ( 121670 * )
NEW met1 ( 10350 95710 ) ( 84870 * )
NEW met2 ( 121670 99450 ) ( * 190910 )
NEW li1 ( 10350 95710 ) L1M1_PR_MR
NEW met1 ( 12650 95710 ) M1M2_PR
NEW li1 ( 11730 65790 ) L1M1_PR_MR
NEW met1 ( 11270 65790 ) M1M2_PR
NEW met1 ( 11270 42330 ) M1M2_PR
NEW li1 ( 8510 42330 ) L1M1_PR_MR
NEW met1 ( 12650 65790 ) M1M2_PR
NEW li1 ( 122130 190910 ) L1M1_PR_MR
NEW met1 ( 121670 190910 ) M1M2_PR
NEW li1 ( 122590 194650 ) L1M1_PR_MR
NEW met1 ( 121670 194650 ) M1M2_PR
NEW met1 ( 84870 95710 ) M1M2_PR
NEW met1 ( 84870 99450 ) M1M2_PR
NEW met1 ( 121670 99450 ) M1M2_PR
NEW met1 ( 12650 95710 ) RECT ( -595 -70 0 70 ) ;
- net39 ( ANTENNA__214__A1 DIODE ) ( input39 X ) ( _214_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 207000 69870 ) ( * 70210 )
NEW met1 ( 207000 69870 ) ( 233450 * )
NEW met2 ( 233450 69870 ) ( * 231710 )
NEW met1 ( 150650 71230 ) ( * 71570 )
NEW met1 ( 150650 71230 ) ( 151110 * )
NEW met2 ( 151110 70210 ) ( * 71230 )
NEW met1 ( 151110 70210 ) ( 152950 * )
NEW met1 ( 152950 70210 ) ( 207000 * )
NEW met1 ( 233450 69870 ) M1M2_PR
NEW li1 ( 233450 231710 ) L1M1_PR_MR
NEW met1 ( 233450 231710 ) M1M2_PR
NEW li1 ( 152950 70210 ) L1M1_PR_MR
NEW li1 ( 150650 71570 ) L1M1_PR_MR
NEW met1 ( 151110 71230 ) M1M2_PR
NEW met1 ( 151110 70210 ) M1M2_PR
NEW met1 ( 233450 231710 ) RECT ( -355 -70 0 70 ) ;
- net4 ( ANTENNA__209__B1 DIODE ) ( input4 X ) ( _209_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 13110 85170 ) ( * 120530 )
NEW met1 ( 10350 120530 ) ( 13110 * )
NEW met2 ( 104650 85170 ) ( * 87890 )
NEW met1 ( 100510 85170 ) ( 104650 * )
NEW met1 ( 13110 85170 ) ( 100510 * )
NEW met1 ( 13110 85170 ) M1M2_PR
NEW met1 ( 13110 120530 ) M1M2_PR
NEW li1 ( 10350 120530 ) L1M1_PR_MR
NEW li1 ( 100510 85170 ) L1M1_PR_MR
NEW li1 ( 104650 87890 ) L1M1_PR_MR
NEW met1 ( 104650 87890 ) M1M2_PR
NEW met1 ( 104650 85170 ) M1M2_PR
NEW met1 ( 104650 87890 ) RECT ( -355 -70 0 70 ) ;
- net40 ( ANTENNA__215__A1 DIODE ) ( input40 X ) ( _215_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 109710 38590 ) ( 110170 * )
NEW met2 ( 109710 19890 ) ( * 38590 )
NEW met1 ( 106490 212670 ) ( 107870 * )
NEW met1 ( 102350 213350 ) ( 102505 * )
NEW met1 ( 102350 213010 ) ( * 213350 )
NEW met1 ( 102350 213010 ) ( 106490 * )
NEW met1 ( 106490 212670 ) ( * 213010 )
NEW met2 ( 117070 18190 ) ( * 19890 )
NEW met1 ( 117070 18190 ) ( 119830 * )
NEW met1 ( 119830 18190 ) ( * 18530 )
NEW met1 ( 119830 18530 ) ( 157090 * )
NEW met2 ( 157090 17170 ) ( * 18530 )
NEW met1 ( 109710 19890 ) ( 117070 * )
NEW met1 ( 222410 17170 ) ( * 17510 )
NEW met1 ( 222410 17510 ) ( 230230 * )
NEW met1 ( 157090 17170 ) ( 222410 * )
NEW met2 ( 110170 38590 ) ( * 41400 )
NEW met1 ( 107870 65790 ) ( 109710 * )
NEW met2 ( 109710 41400 ) ( * 65790 )
NEW met2 ( 109710 41400 ) ( 110170 * )
NEW met2 ( 107870 65790 ) ( * 212670 )
NEW met1 ( 109710 19890 ) M1M2_PR
NEW li1 ( 106490 212670 ) L1M1_PR_MR
NEW met1 ( 107870 212670 ) M1M2_PR
NEW li1 ( 102505 213350 ) L1M1_PR_MR
NEW met1 ( 117070 19890 ) M1M2_PR
NEW met1 ( 117070 18190 ) M1M2_PR
NEW met1 ( 157090 18530 ) M1M2_PR
NEW met1 ( 157090 17170 ) M1M2_PR
NEW li1 ( 230230 17510 ) L1M1_PR_MR
NEW met1 ( 107870 65790 ) M1M2_PR
NEW met1 ( 109710 65790 ) M1M2_PR ;
- net41 ( ANTENNA__216__A1 DIODE ) ( input41 X ) ( _216_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 165830 159290 ) ( * 162010 )
NEW met1 ( 165830 159290 ) ( 227700 * )
NEW met1 ( 227700 159290 ) ( * 159630 )
NEW met1 ( 227700 159630 ) ( 239890 * )
NEW met1 ( 133630 162010 ) ( 165830 * )
NEW met2 ( 133630 162010 ) ( * 207000 )
NEW met2 ( 132710 219300 ) ( * 223550 )
NEW met2 ( 132710 219300 ) ( 133170 * )
NEW met2 ( 133170 207000 ) ( * 219300 )
NEW met2 ( 133170 207000 ) ( 133630 * )
NEW met1 ( 131330 227290 ) ( 132710 * )
NEW met2 ( 132710 223550 ) ( * 227290 )
NEW met1 ( 165830 162010 ) M1M2_PR
NEW met1 ( 165830 159290 ) M1M2_PR
NEW li1 ( 239890 159630 ) L1M1_PR_MR
NEW met1 ( 133630 162010 ) M1M2_PR
NEW li1 ( 132710 223550 ) L1M1_PR_MR
NEW met1 ( 132710 223550 ) M1M2_PR
NEW li1 ( 131330 227290 ) L1M1_PR_MR
NEW met1 ( 132710 227290 ) M1M2_PR
NEW met1 ( 132710 223550 ) RECT ( -355 -70 0 70 ) ;
- net42 ( ANTENNA__217__A1 DIODE ) ( input42 X ) ( _217_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 53130 230690 ) ( 57270 * )
NEW met2 ( 53130 230690 ) ( * 232730 )
NEW met1 ( 231610 15130 ) ( 232070 * )
NEW met2 ( 232070 15130 ) ( * 21250 )
NEW met1 ( 232070 21250 ) ( 244950 * )
NEW li1 ( 244950 21250 ) ( * 33150 )
NEW met1 ( 244950 33150 ) ( 246330 * )
NEW li1 ( 246330 33150 ) ( * 47430 )
NEW met1 ( 244950 47430 ) ( 246330 * )
NEW met2 ( 212750 230690 ) ( * 232050 )
NEW met1 ( 212750 232050 ) ( 221950 * )
NEW met1 ( 221950 231710 ) ( * 232050 )
NEW met1 ( 221950 231710 ) ( 228390 * )
NEW met1 ( 228390 231710 ) ( * 232050 )
NEW met1 ( 228390 232050 ) ( 244950 * )
NEW met1 ( 57270 230690 ) ( 212750 * )
NEW li1 ( 244950 47430 ) ( * 232050 )
NEW li1 ( 57270 230690 ) L1M1_PR_MR
NEW met1 ( 53130 230690 ) M1M2_PR
NEW li1 ( 53130 232730 ) L1M1_PR_MR
NEW met1 ( 53130 232730 ) M1M2_PR
NEW li1 ( 231610 15130 ) L1M1_PR_MR
NEW met1 ( 232070 15130 ) M1M2_PR
NEW met1 ( 232070 21250 ) M1M2_PR
NEW li1 ( 244950 21250 ) L1M1_PR_MR
NEW li1 ( 244950 33150 ) L1M1_PR_MR
NEW li1 ( 246330 33150 ) L1M1_PR_MR
NEW li1 ( 246330 47430 ) L1M1_PR_MR
NEW li1 ( 244950 47430 ) L1M1_PR_MR
NEW met1 ( 212750 230690 ) M1M2_PR
NEW met1 ( 212750 232050 ) M1M2_PR
NEW li1 ( 244950 232050 ) L1M1_PR_MR
NEW met1 ( 53130 232730 ) RECT ( -355 -70 0 70 ) ;
- net43 ( ANTENNA__218__A1 DIODE ) ( input43 X ) ( _218_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 130870 26350 ) ( 158700 * )
NEW met1 ( 158700 26350 ) ( * 26690 )
NEW met1 ( 228850 23970 ) ( 229770 * )
NEW met2 ( 228850 23970 ) ( * 26690 )
NEW met1 ( 158700 26690 ) ( 228850 * )
NEW met1 ( 130410 60350 ) ( 130870 * )
NEW met1 ( 123970 60690 ) ( 126270 * )
NEW met2 ( 123970 60180 ) ( * 60690 )
NEW met3 ( 123970 60180 ) ( 130870 * )
NEW met2 ( 130870 26350 ) ( * 60350 )
NEW met1 ( 130870 26350 ) M1M2_PR
NEW li1 ( 229770 23970 ) L1M1_PR_MR
NEW met1 ( 228850 23970 ) M1M2_PR
NEW met1 ( 228850 26690 ) M1M2_PR
NEW li1 ( 130410 60350 ) L1M1_PR_MR
NEW met1 ( 130870 60350 ) M1M2_PR
NEW li1 ( 126270 60690 ) L1M1_PR_MR
NEW met1 ( 123970 60690 ) M1M2_PR
NEW met2 ( 123970 60180 ) M2M3_PR_M
NEW met2 ( 130870 60180 ) M2M3_PR_M
NEW met2 ( 130870 60180 ) RECT ( -70 -485 70 0 ) ;
- net44 ( ANTENNA__194__A1 DIODE ) ( input44 X ) ( _194_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 63710 53380 ) ( * 60350 )
NEW met1 ( 58650 60350 ) ( * 60690 )
NEW met1 ( 58650 60350 ) ( 63710 * )
NEW met1 ( 239890 31790 ) ( * 32130 )
NEW met1 ( 214590 31790 ) ( 239890 * )
NEW met2 ( 214590 31790 ) ( * 53380 )
NEW met3 ( 63710 53380 ) ( 214590 * )
NEW li1 ( 63710 60350 ) L1M1_PR_MR
NEW met1 ( 63710 60350 ) M1M2_PR
NEW met2 ( 63710 53380 ) M2M3_PR_M
NEW li1 ( 58650 60690 ) L1M1_PR_MR
NEW li1 ( 239890 32130 ) L1M1_PR_MR
NEW met1 ( 214590 31790 ) M1M2_PR
NEW met2 ( 214590 53380 ) M2M3_PR_M
NEW met1 ( 63710 60350 ) RECT ( -355 -70 0 70 ) ;
- net45 ( ANTENNA__221__A1 DIODE ) ( input45 X ) ( _221_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 181930 174590 ) ( 185150 * )
NEW met1 ( 181930 39270 ) ( 183310 * )
NEW met2 ( 183310 36380 ) ( * 39270 )
NEW met2 ( 183310 36380 ) ( 183770 * )
NEW met2 ( 183770 34340 ) ( * 36380 )
NEW met2 ( 183770 34340 ) ( 184230 * )
NEW met2 ( 184230 22100 ) ( * 34340 )
NEW met2 ( 184230 22100 ) ( 185610 * )
NEW met2 ( 185610 9690 ) ( * 22100 )
NEW met2 ( 181930 39270 ) ( * 175270 )
NEW met2 ( 220110 9690 ) ( * 11730 )
NEW met1 ( 185610 9690 ) ( 220110 * )
NEW met1 ( 185610 9690 ) M1M2_PR
NEW li1 ( 181930 175270 ) L1M1_PR_MR
NEW met1 ( 181930 175270 ) M1M2_PR
NEW li1 ( 185150 174590 ) L1M1_PR_MR
NEW met1 ( 181930 174590 ) M1M2_PR
NEW met1 ( 181930 39270 ) M1M2_PR
NEW met1 ( 183310 39270 ) M1M2_PR
NEW met1 ( 220110 9690 ) M1M2_PR
NEW li1 ( 220110 11730 ) L1M1_PR_MR
NEW met1 ( 220110 11730 ) M1M2_PR
NEW met1 ( 181930 175270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 181930 174590 ) RECT ( -70 -485 70 0 )
NEW met1 ( 220110 11730 ) RECT ( -355 -70 0 70 ) ;
- net46 ( input46 X ) ( _222_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 7590 186660 ) ( 8050 * )
NEW met2 ( 7590 186660 ) ( * 196690 )
NEW met1 ( 7590 196690 ) ( 11730 * )
NEW met1 ( 11730 196690 ) ( * 197030 )
NEW met1 ( 11730 197030 ) ( 12650 * )
NEW met2 ( 8050 179010 ) ( * 186660 )
NEW met1 ( 7590 196690 ) M1M2_PR
NEW li1 ( 12650 197030 ) L1M1_PR_MR
NEW li1 ( 8050 179010 ) L1M1_PR_MR
NEW met1 ( 8050 179010 ) M1M2_PR
NEW met1 ( 8050 179010 ) RECT ( -355 -70 0 70 ) ;
- net47 ( ANTENNA__223__A1 DIODE ) ( input47 X ) ( _223_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 117530 38590 ) ( 120750 * )
NEW met2 ( 120750 18190 ) ( * 38590 )
NEW met1 ( 120750 18190 ) ( 133170 * )
NEW met2 ( 133170 15470 ) ( * 18190 )
NEW met1 ( 133170 15470 ) ( 144670 * )
NEW met1 ( 144670 15130 ) ( * 15470 )
NEW met1 ( 113390 39270 ) ( 114310 * )
NEW met1 ( 114310 38930 ) ( * 39270 )
NEW met1 ( 114310 38930 ) ( 117530 * )
NEW met1 ( 117530 38590 ) ( * 38930 )
NEW met2 ( 225170 14790 ) ( * 19550 )
NEW met1 ( 150650 15130 ) ( * 15470 )
NEW met1 ( 150650 15470 ) ( 157550 * )
NEW met1 ( 157550 14790 ) ( * 15470 )
NEW met1 ( 157550 14790 ) ( 162150 * )
NEW met1 ( 162150 14110 ) ( * 14790 )
NEW met1 ( 162150 14110 ) ( 180090 * )
NEW met1 ( 180090 14110 ) ( * 14790 )
NEW met1 ( 144670 15130 ) ( 150650 * )
NEW met1 ( 180090 14790 ) ( 225170 * )
NEW li1 ( 117530 38590 ) L1M1_PR_MR
NEW met1 ( 120750 38590 ) M1M2_PR
NEW met1 ( 120750 18190 ) M1M2_PR
NEW met1 ( 133170 18190 ) M1M2_PR
NEW met1 ( 133170 15470 ) M1M2_PR
NEW li1 ( 113390 39270 ) L1M1_PR_MR
NEW met1 ( 225170 14790 ) M1M2_PR
NEW li1 ( 225170 19550 ) L1M1_PR_MR
NEW met1 ( 225170 19550 ) M1M2_PR
NEW met1 ( 225170 19550 ) RECT ( -355 -70 0 70 ) ;
- net48 ( input48 X ) ( _224_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 8050 207230 ) ( 8510 * )
NEW met2 ( 8510 162350 ) ( * 207230 )
NEW met1 ( 8510 207230 ) M1M2_PR
NEW li1 ( 8050 207230 ) L1M1_PR_MR
NEW li1 ( 8510 162350 ) L1M1_PR_MR
NEW met1 ( 8510 162350 ) M1M2_PR
NEW met1 ( 8510 162350 ) RECT ( -355 -70 0 70 ) ;
- net49 ( ANTENNA__225__A1 DIODE ) ( input49 X ) ( _225_ A1 ) + USE SIGNAL
+ ROUTED met3 ( 7820 35020 ) ( 8050 * )
NEW met2 ( 8050 35020 ) ( * 82800 )
NEW met2 ( 8050 82800 ) ( 8510 * )
NEW met4 ( 7820 27540 ) ( * 35020 )
NEW met2 ( 8510 82800 ) ( * 131100 )
NEW met2 ( 8970 131100 ) ( * 140250 )
NEW met2 ( 8510 131100 ) ( 8970 * )
NEW met1 ( 8970 136510 ) ( 13110 * )
NEW met3 ( 62100 26180 ) ( * 27540 )
NEW met3 ( 7820 27540 ) ( 62100 * )
NEW met2 ( 239430 26010 ) ( * 26180 )
NEW met3 ( 62100 26180 ) ( 239430 * )
NEW met3 ( 7820 27540 ) M3M4_PR_M
NEW met3 ( 7820 35020 ) M3M4_PR_M
NEW met2 ( 8050 35020 ) M2M3_PR_M
NEW li1 ( 8970 140250 ) L1M1_PR_MR
NEW met1 ( 8970 140250 ) M1M2_PR
NEW li1 ( 13110 136510 ) L1M1_PR_MR
NEW met1 ( 8970 136510 ) M1M2_PR
NEW li1 ( 239430 26010 ) L1M1_PR_MR
NEW met1 ( 239430 26010 ) M1M2_PR
NEW met2 ( 239430 26180 ) M2M3_PR_M
NEW met3 ( 7820 35020 ) RECT ( -390 -150 0 150 )
NEW met1 ( 8970 140250 ) RECT ( 0 -70 355 70 )
NEW met2 ( 8970 136510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 239430 26010 ) RECT ( -355 -70 0 70 ) ;
- net5 ( ANTENNA__210__B1 DIODE ) ( input5 X ) ( _210_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 172730 122910 ) ( * 123250 )
NEW met1 ( 172730 123250 ) ( 232530 * )
NEW met1 ( 46230 123250 ) ( * 123930 )
NEW met2 ( 232530 123250 ) ( * 234430 )
NEW met1 ( 46230 123250 ) ( 48300 * )
NEW met1 ( 48300 123250 ) ( * 123590 )
NEW met1 ( 48300 123590 ) ( 52670 * )
NEW met1 ( 100050 122910 ) ( * 123590 )
NEW met1 ( 52670 123590 ) ( 100050 * )
NEW met1 ( 100050 122910 ) ( 172730 * )
NEW met1 ( 232530 123250 ) M1M2_PR
NEW li1 ( 46230 123930 ) L1M1_PR_MR
NEW li1 ( 232530 234430 ) L1M1_PR_MR
NEW met1 ( 232530 234430 ) M1M2_PR
NEW li1 ( 52670 123590 ) L1M1_PR_MR
NEW met1 ( 232530 234430 ) RECT ( -355 -70 0 70 ) ;
- net50 ( input50 X ) ( _228_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 214130 11390 ) ( 236210 * )
NEW met1 ( 214130 11390 ) ( * 11730 )
NEW met1 ( 236210 38590 ) ( 239890 * )
NEW met2 ( 236210 11390 ) ( * 38590 )
NEW met1 ( 236210 11390 ) M1M2_PR
NEW li1 ( 214130 11730 ) L1M1_PR_MR
NEW met1 ( 236210 38590 ) M1M2_PR
NEW li1 ( 239890 38590 ) L1M1_PR_MR ;
- net51 ( ANTENNA__229__A1 DIODE ) ( input51 X ) ( _229_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 10810 236130 ) ( * 237830 )
NEW met1 ( 178710 180370 ) ( * 180710 )
NEW met1 ( 170890 180370 ) ( 178710 * )
NEW met1 ( 170890 180370 ) ( * 181050 )
NEW met2 ( 170890 181050 ) ( * 237830 )
NEW met1 ( 10810 237830 ) ( 170890 * )
NEW li1 ( 10810 236130 ) L1M1_PR_MR
NEW met1 ( 10810 236130 ) M1M2_PR
NEW met1 ( 10810 237830 ) M1M2_PR
NEW li1 ( 170890 181050 ) L1M1_PR_MR
NEW met1 ( 170890 181050 ) M1M2_PR
NEW li1 ( 178710 180710 ) L1M1_PR_MR
NEW met1 ( 170890 237830 ) M1M2_PR
NEW met1 ( 10810 236130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 170890 181050 ) RECT ( -355 -70 0 70 ) ;
- net52 ( ANTENNA__230__A1 DIODE ) ( input52 X ) ( _230_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 238510 90950 ) ( * 213010 )
NEW met1 ( 139610 90950 ) ( 140530 * )
NEW met2 ( 139610 90950 ) ( * 91460 )
NEW met3 ( 135930 91460 ) ( 139610 * )
NEW met2 ( 135930 91460 ) ( * 91630 )
NEW met1 ( 135930 91630 ) ( 136850 * )
NEW met1 ( 140530 90950 ) ( 238510 * )
NEW met1 ( 238510 90950 ) M1M2_PR
NEW li1 ( 238510 213010 ) L1M1_PR_MR
NEW met1 ( 238510 213010 ) M1M2_PR
NEW li1 ( 140530 90950 ) L1M1_PR_MR
NEW met1 ( 139610 90950 ) M1M2_PR
NEW met2 ( 139610 91460 ) M2M3_PR_M
NEW met2 ( 135930 91460 ) M2M3_PR_M
NEW met1 ( 135930 91630 ) M1M2_PR
NEW li1 ( 136850 91630 ) L1M1_PR_MR
NEW met1 ( 238510 213010 ) RECT ( -355 -70 0 70 ) ;
- net53 ( ANTENNA__231__A1 DIODE ) ( input53 X ) ( _231_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 9430 96050 ) ( * 96390 )
NEW met2 ( 9430 96390 ) ( * 131100 )
NEW met2 ( 9430 131100 ) ( 9890 * )
NEW met2 ( 9890 131100 ) ( * 231710 )
NEW met1 ( 125350 96050 ) ( 131790 * )
NEW met1 ( 125350 95710 ) ( * 96050 )
NEW met2 ( 135470 93670 ) ( * 96050 )
NEW met1 ( 131790 96050 ) ( 135470 * )
NEW met2 ( 82110 96050 ) ( * 97070 )
NEW met1 ( 82110 97070 ) ( 118450 * )
NEW li1 ( 118450 95710 ) ( * 97070 )
NEW met1 ( 9430 96050 ) ( 82110 * )
NEW met1 ( 118450 95710 ) ( 125350 * )
NEW met1 ( 9430 96390 ) M1M2_PR
NEW li1 ( 9890 231710 ) L1M1_PR_MR
NEW met1 ( 9890 231710 ) M1M2_PR
NEW li1 ( 131790 96050 ) L1M1_PR_MR
NEW li1 ( 135470 93670 ) L1M1_PR_MR
NEW met1 ( 135470 93670 ) M1M2_PR
NEW met1 ( 135470 96050 ) M1M2_PR
NEW met1 ( 82110 96050 ) M1M2_PR
NEW met1 ( 82110 97070 ) M1M2_PR
NEW li1 ( 118450 97070 ) L1M1_PR_MR
NEW li1 ( 118450 95710 ) L1M1_PR_MR
NEW met1 ( 9890 231710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135470 93670 ) RECT ( 0 -70 355 70 ) ;
- net54 ( ANTENNA__232__A1 DIODE ) ( input54 X ) ( _232_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 100970 140590 ) ( * 221170 )
NEW met2 ( 179630 224910 ) ( * 226610 )
NEW met1 ( 14950 140590 ) ( * 140930 )
NEW met1 ( 14950 140930 ) ( 20010 * )
NEW met1 ( 20010 140590 ) ( * 140930 )
NEW met1 ( 20010 140590 ) ( 100970 * )
NEW met2 ( 134090 221170 ) ( * 224910 )
NEW met1 ( 100970 221170 ) ( 134090 * )
NEW met1 ( 134090 224910 ) ( 179630 * )
NEW met1 ( 231150 226610 ) ( * 226950 )
NEW met1 ( 231150 226950 ) ( 239430 * )
NEW met1 ( 179630 226610 ) ( 231150 * )
NEW met1 ( 100970 140590 ) M1M2_PR
NEW met1 ( 100970 221170 ) M1M2_PR
NEW met1 ( 179630 224910 ) M1M2_PR
NEW met1 ( 179630 226610 ) M1M2_PR
NEW li1 ( 20010 140590 ) L1M1_PR_MR
NEW li1 ( 14950 140590 ) L1M1_PR_MR
NEW met1 ( 134090 221170 ) M1M2_PR
NEW met1 ( 134090 224910 ) M1M2_PR
NEW li1 ( 239430 226950 ) L1M1_PR_MR ;
- net55 ( ANTENNA__195__A1 DIODE ) ( input55 X ) ( _195_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 157090 234770 ) ( * 237150 )
NEW met1 ( 90850 237150 ) ( 157090 * )
NEW met1 ( 157090 234770 ) ( 216890 * )
NEW met1 ( 86250 55590 ) ( * 55930 )
NEW met1 ( 86250 55930 ) ( 86710 * )
NEW met1 ( 86710 55930 ) ( * 56270 )
NEW met1 ( 86710 56270 ) ( 90850 * )
NEW met2 ( 90850 56270 ) ( * 58990 )
NEW met2 ( 90850 58990 ) ( * 237150 )
NEW met1 ( 90850 237150 ) M1M2_PR
NEW met1 ( 157090 237150 ) M1M2_PR
NEW met1 ( 157090 234770 ) M1M2_PR
NEW li1 ( 216890 234770 ) L1M1_PR_MR
NEW li1 ( 90850 58990 ) L1M1_PR_MR
NEW met1 ( 90850 58990 ) M1M2_PR
NEW li1 ( 86250 55590 ) L1M1_PR_MR
NEW met1 ( 90850 56270 ) M1M2_PR
NEW met1 ( 90850 58990 ) RECT ( -355 -70 0 70 ) ;
- net56 ( ANTENNA__233__A1 DIODE ) ( input56 X ) ( _233_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 19090 78030 ) ( * 80410 )
NEW met1 ( 19090 78030 ) ( 24150 * )
NEW met2 ( 134550 78030 ) ( * 79730 )
NEW met1 ( 134550 79730 ) ( 218270 * )
NEW met2 ( 218270 48620 ) ( 219190 * )
NEW met2 ( 219190 20910 ) ( * 48620 )
NEW met1 ( 219190 20910 ) ( 228390 * )
NEW met2 ( 218270 48620 ) ( * 79730 )
NEW met1 ( 24150 78030 ) ( 134550 * )
NEW li1 ( 24150 78030 ) L1M1_PR_MR
NEW li1 ( 19090 80410 ) L1M1_PR_MR
NEW met1 ( 19090 80410 ) M1M2_PR
NEW met1 ( 19090 78030 ) M1M2_PR
NEW met1 ( 134550 78030 ) M1M2_PR
NEW met1 ( 134550 79730 ) M1M2_PR
NEW met1 ( 218270 79730 ) M1M2_PR
NEW met1 ( 219190 20910 ) M1M2_PR
NEW li1 ( 228390 20910 ) L1M1_PR_MR
NEW met1 ( 19090 80410 ) RECT ( -355 -70 0 70 ) ;
- net57 ( ANTENNA__234__A1 DIODE ) ( input57 X ) ( _234_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 83950 226270 ) ( 84410 * )
NEW met2 ( 84410 224570 ) ( * 226270 )
NEW met1 ( 73830 226610 ) ( * 227290 )
NEW met1 ( 73830 226610 ) ( 83950 * )
NEW met1 ( 83950 226270 ) ( * 226610 )
NEW met2 ( 179170 224570 ) ( * 226610 )
NEW met2 ( 143750 224570 ) ( * 226610 )
NEW met1 ( 84410 224570 ) ( 143750 * )
NEW met1 ( 143750 226610 ) ( 179170 * )
NEW met1 ( 179170 224570 ) ( 207000 * )
NEW met1 ( 207000 224570 ) ( * 224910 )
NEW met1 ( 207000 224910 ) ( 239890 * )
NEW li1 ( 83950 226270 ) L1M1_PR_MR
NEW met1 ( 84410 226270 ) M1M2_PR
NEW met1 ( 84410 224570 ) M1M2_PR
NEW li1 ( 73830 227290 ) L1M1_PR_MR
NEW met1 ( 179170 226610 ) M1M2_PR
NEW met1 ( 179170 224570 ) M1M2_PR
NEW met1 ( 143750 224570 ) M1M2_PR
NEW met1 ( 143750 226610 ) M1M2_PR
NEW li1 ( 239890 224910 ) L1M1_PR_MR ;
- net58 ( ANTENNA__196__A1 DIODE ) ( input58 X ) ( _196_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 222410 131100 ) ( 222870 * )
NEW met1 ( 196650 23970 ) ( 197570 * )
NEW met2 ( 197570 23970 ) ( * 27540 )
NEW met2 ( 194810 20910 ) ( * 23970 )
NEW met1 ( 194810 23970 ) ( 196650 * )
NEW met2 ( 221950 27540 ) ( * 48620 )
NEW met2 ( 221950 48620 ) ( 222870 * )
NEW met3 ( 197570 27540 ) ( 221950 * )
NEW met2 ( 222870 48620 ) ( * 131100 )
NEW met2 ( 222410 131100 ) ( * 234770 )
NEW li1 ( 196650 23970 ) L1M1_PR_MR
NEW met1 ( 197570 23970 ) M1M2_PR
NEW met2 ( 197570 27540 ) M2M3_PR_M
NEW li1 ( 194810 20910 ) L1M1_PR_MR
NEW met1 ( 194810 20910 ) M1M2_PR
NEW met1 ( 194810 23970 ) M1M2_PR
NEW met2 ( 221950 27540 ) M2M3_PR_M
NEW li1 ( 222410 234770 ) L1M1_PR_MR
NEW met1 ( 222410 234770 ) M1M2_PR
NEW met1 ( 194810 20910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 222410 234770 ) RECT ( -355 -70 0 70 ) ;
- net59 ( ANTENNA__197__A1 DIODE ) ( input59 X ) ( _197_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 122130 63750 ) ( 125350 * )
NEW met2 ( 125350 61710 ) ( * 63750 )
NEW met1 ( 118910 210970 ) ( 122130 * )
NEW met2 ( 122130 209950 ) ( * 210970 )
NEW met1 ( 125350 61710 ) ( 238970 * )
NEW met2 ( 122130 63750 ) ( * 209950 )
NEW met1 ( 122130 63750 ) M1M2_PR
NEW met1 ( 125350 63750 ) M1M2_PR
NEW met1 ( 125350 61710 ) M1M2_PR
NEW li1 ( 122130 209950 ) L1M1_PR_MR
NEW met1 ( 122130 209950 ) M1M2_PR
NEW li1 ( 118910 210970 ) L1M1_PR_MR
NEW met1 ( 122130 210970 ) M1M2_PR
NEW li1 ( 238970 61710 ) L1M1_PR_MR
NEW met1 ( 122130 209950 ) RECT ( -355 -70 0 70 ) ;
- net6 ( input6 X ) ( _211_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 239890 135490 ) ( * 136850 )
NEW met1 ( 229770 136850 ) ( 239890 * )
NEW met1 ( 229770 136850 ) ( * 137190 )
NEW li1 ( 239890 135490 ) L1M1_PR_MR
NEW met1 ( 239890 135490 ) M1M2_PR
NEW met1 ( 239890 136850 ) M1M2_PR
NEW li1 ( 229770 137190 ) L1M1_PR_MR
NEW met1 ( 239890 135490 ) RECT ( -355 -70 0 70 ) ;
- net60 ( ANTENNA__200__A1 DIODE ) ( input60 X ) ( _200_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 200330 188190 ) ( 201710 * )
NEW met1 ( 198490 189210 ) ( 200330 * )
NEW met2 ( 200330 188190 ) ( * 189210 )
NEW met2 ( 200330 76670 ) ( * 188190 )
NEW met1 ( 200330 76670 ) ( 239890 * )
NEW li1 ( 201710 188190 ) L1M1_PR_MR
NEW met1 ( 200330 188190 ) M1M2_PR
NEW li1 ( 198490 189210 ) L1M1_PR_MR
NEW met1 ( 200330 189210 ) M1M2_PR
NEW met1 ( 200330 76670 ) M1M2_PR
NEW li1 ( 239890 76670 ) L1M1_PR_MR ;
- net61 ( ANTENNA__201__A1 DIODE ) ( input61 X ) ( _201_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 8510 74970 ) ( * 75650 )
NEW met1 ( 8510 75650 ) ( 54970 * )
NEW met1 ( 50830 120530 ) ( 54970 * )
NEW met2 ( 54970 75650 ) ( * 120530 )
NEW li1 ( 8510 74970 ) L1M1_PR_MR
NEW met1 ( 54970 75650 ) M1M2_PR
NEW li1 ( 54970 120530 ) L1M1_PR_MR
NEW met1 ( 54970 120530 ) M1M2_PR
NEW li1 ( 50830 120530 ) L1M1_PR_MR
NEW met1 ( 54970 120530 ) RECT ( -355 -70 0 70 ) ;
- net62 ( ANTENNA__202__A1 DIODE ) ( input62 X ) ( _202_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 193430 62100 ) ( 193890 * )
NEW met1 ( 190210 120530 ) ( * 120870 )
NEW met1 ( 190210 120530 ) ( 193430 * )
NEW met1 ( 193430 120190 ) ( * 120530 )
NEW met2 ( 193430 62100 ) ( * 120190 )
NEW met1 ( 212290 15810 ) ( 219190 * )
NEW met2 ( 212290 15810 ) ( * 17850 )
NEW met1 ( 203550 17850 ) ( 212290 * )
NEW met2 ( 203550 17850 ) ( * 38590 )
NEW met1 ( 193890 38590 ) ( 203550 * )
NEW met2 ( 193890 38590 ) ( * 62100 )
NEW li1 ( 193430 120190 ) L1M1_PR_MR
NEW met1 ( 193430 120190 ) M1M2_PR
NEW li1 ( 190210 120870 ) L1M1_PR_MR
NEW li1 ( 219190 15810 ) L1M1_PR_MR
NEW met1 ( 212290 15810 ) M1M2_PR
NEW met1 ( 212290 17850 ) M1M2_PR
NEW met1 ( 203550 17850 ) M1M2_PR
NEW met1 ( 203550 38590 ) M1M2_PR
NEW met1 ( 193890 38590 ) M1M2_PR
NEW met1 ( 193430 120190 ) RECT ( -355 -70 0 70 ) ;
- net63 ( ANTENNA__203__A1 DIODE ) ( input63 X ) ( _203_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 10810 64430 ) ( * 92990 )
NEW met2 ( 210910 63750 ) ( * 66470 )
NEW met1 ( 210910 66470 ) ( 211370 * )
NEW met1 ( 204470 63750 ) ( 210910 * )
NEW li1 ( 159850 63750 ) ( * 64430 )
NEW met1 ( 10810 64430 ) ( 159850 * )
NEW met1 ( 159850 63750 ) ( 204470 * )
NEW li1 ( 10810 92990 ) L1M1_PR_MR
NEW met1 ( 10810 92990 ) M1M2_PR
NEW met1 ( 10810 64430 ) M1M2_PR
NEW li1 ( 204470 63750 ) L1M1_PR_MR
NEW met1 ( 210910 63750 ) M1M2_PR
NEW met1 ( 210910 66470 ) M1M2_PR
NEW li1 ( 211370 66470 ) L1M1_PR_MR
NEW li1 ( 159850 64430 ) L1M1_PR_MR
NEW li1 ( 159850 63750 ) L1M1_PR_MR
NEW met1 ( 10810 92990 ) RECT ( -355 -70 0 70 ) ;
- net64 ( ANTENNA__204__A1 DIODE ) ( input64 X ) ( _204_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 154330 112030 ) ( * 144670 )
NEW met1 ( 154330 112030 ) ( 192510 * )
NEW met2 ( 192510 101490 ) ( * 112030 )
NEW met1 ( 192510 101490 ) ( 239430 * )
NEW met1 ( 153410 145350 ) ( 154330 * )
NEW met1 ( 153410 145010 ) ( * 145350 )
NEW met1 ( 151130 145010 ) ( 153410 * )
NEW met1 ( 151130 145010 ) ( * 145690 )
NEW met1 ( 150965 145690 ) ( 151130 * )
NEW met2 ( 154330 144670 ) ( * 145350 )
NEW li1 ( 239430 101490 ) L1M1_PR_MR
NEW li1 ( 154330 144670 ) L1M1_PR_MR
NEW met1 ( 154330 144670 ) M1M2_PR
NEW met1 ( 154330 112030 ) M1M2_PR
NEW met1 ( 192510 112030 ) M1M2_PR
NEW met1 ( 192510 101490 ) M1M2_PR
NEW met1 ( 154330 145350 ) M1M2_PR
NEW li1 ( 150965 145690 ) L1M1_PR_MR
NEW met1 ( 154330 144670 ) RECT ( 0 -70 355 70 ) ;
- net65 ( ANTENNA__440__A0 DIODE ) ( input65 X ) ( _440_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 107410 9010 ) ( 128570 * )
NEW li1 ( 128570 9010 ) ( 130870 * )
NEW li1 ( 130870 8670 ) ( * 9010 )
NEW met2 ( 144210 8670 ) ( * 11390 )
NEW met1 ( 130870 8670 ) ( 144210 * )
NEW met2 ( 106950 37740 ) ( 107410 * )
NEW met2 ( 107410 9010 ) ( * 37740 )
NEW met1 ( 103730 104210 ) ( 106950 * )
NEW met1 ( 99130 104210 ) ( 103730 * )
NEW met2 ( 106950 37740 ) ( * 104210 )
NEW met1 ( 107410 9010 ) M1M2_PR
NEW li1 ( 128570 9010 ) L1M1_PR_MR
NEW li1 ( 130870 8670 ) L1M1_PR_MR
NEW met1 ( 144210 8670 ) M1M2_PR
NEW li1 ( 144210 11390 ) L1M1_PR_MR
NEW met1 ( 144210 11390 ) M1M2_PR
NEW li1 ( 103730 104210 ) L1M1_PR_MR
NEW met1 ( 106950 104210 ) M1M2_PR
NEW li1 ( 99130 104210 ) L1M1_PR_MR
NEW met1 ( 144210 11390 ) RECT ( -355 -70 0 70 ) ;
- net66 ( ANTENNA__441__A0 DIODE ) ( input66 X ) ( _441_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 142370 12410 ) ( 151570 * )
NEW met1 ( 135470 212670 ) ( 141450 * )
NEW met3 ( 141450 65620 ) ( 142140 * )
NEW met4 ( 142140 47260 ) ( * 65620 )
NEW met3 ( 142140 47260 ) ( 142370 * )
NEW met2 ( 141450 65620 ) ( * 212670 )
NEW met2 ( 142370 12410 ) ( * 47260 )
NEW met1 ( 142370 12410 ) M1M2_PR
NEW li1 ( 151570 12410 ) L1M1_PR_MR
NEW li1 ( 141450 212670 ) L1M1_PR_MR
NEW met1 ( 141450 212670 ) M1M2_PR
NEW li1 ( 135470 212670 ) L1M1_PR_MR
NEW met2 ( 141450 65620 ) M2M3_PR_M
NEW met3 ( 142140 65620 ) M3M4_PR_M
NEW met3 ( 142140 47260 ) M3M4_PR_M
NEW met2 ( 142370 47260 ) M2M3_PR_M
NEW met1 ( 141450 212670 ) RECT ( -355 -70 0 70 )
NEW met3 ( 142140 47260 ) RECT ( -390 -150 0 150 ) ;
- net67 ( ANTENNA__440__S DIODE ) ( input67 X ) ( _440_ S ) + USE SIGNAL
+ ROUTED met2 ( 146970 6970 ) ( * 11390 )
NEW met1 ( 146970 11390 ) ( 154790 * )
NEW met1 ( 109250 6970 ) ( 146970 * )
NEW met2 ( 109250 6970 ) ( * 13800 )
NEW met2 ( 108790 13800 ) ( 109250 * )
NEW met1 ( 106490 103870 ) ( 108790 * )
NEW met1 ( 98210 104890 ) ( 107410 * )
NEW met1 ( 107410 103870 ) ( * 104890 )
NEW met2 ( 108790 13800 ) ( * 103870 )
NEW met1 ( 109250 6970 ) M1M2_PR
NEW met1 ( 146970 6970 ) M1M2_PR
NEW met1 ( 146970 11390 ) M1M2_PR
NEW li1 ( 154790 11390 ) L1M1_PR_MR
NEW li1 ( 106490 103870 ) L1M1_PR_MR
NEW met1 ( 108790 103870 ) M1M2_PR
NEW li1 ( 98210 104890 ) L1M1_PR_MR ;
- net68 ( ANTENNA__441__S DIODE ) ( input68 X ) ( _441_ S ) + USE SIGNAL
+ ROUTED met1 ( 139150 15810 ) ( 147890 * )
NEW met1 ( 139150 213690 0 ) ( 144210 * )
NEW met1 ( 139150 213010 ) ( * 213690 0 )
NEW met2 ( 139150 15810 ) ( * 213010 )
NEW met1 ( 139150 15810 ) M1M2_PR
NEW li1 ( 147890 15810 ) L1M1_PR_MR
NEW li1 ( 144210 213690 ) L1M1_PR_MR
NEW met1 ( 139150 213010 ) M1M2_PR ;
- net69 ( ANTENNA__441__A1 DIODE ) ( ANTENNA__129__A DIODE ) ( ANTENNA__106__A DIODE ) ( input69 X ) ( _106_ A ) ( _129_ A ) ( _441_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 11270 12410 ) ( 12190 * )
NEW met2 ( 12190 12410 ) ( * 48110 )
NEW met1 ( 228390 140250 ) ( 231150 * )
NEW met1 ( 234370 172550 ) ( 234830 * )
NEW met2 ( 234370 140250 ) ( * 172550 )
NEW met1 ( 231150 140250 ) ( 234370 * )
NEW met2 ( 234370 172550 ) ( * 175950 )
NEW met1 ( 238970 172550 ) ( * 172890 )
NEW met1 ( 234830 172550 ) ( 238970 * )
NEW met1 ( 135010 175950 ) ( 234370 * )
NEW met1 ( 12190 48110 ) ( 17250 * )
NEW met2 ( 135010 175950 ) ( * 207000 )
NEW met1 ( 111090 213010 ) ( 127190 * )
NEW met2 ( 111090 210290 ) ( * 213010 )
NEW met1 ( 127190 213350 ) ( 134090 * 0 )
NEW met1 ( 127190 213010 ) ( * 213350 )
NEW met2 ( 135010 207000 ) ( 135470 * )
NEW met2 ( 135470 207000 ) ( * 213690 )
NEW met2 ( 135010 213690 ) ( 135470 * )
NEW met1 ( 134090 213690 ) ( 135010 * )
NEW met1 ( 134090 213350 0 ) ( * 213690 )
NEW met1 ( 17250 210290 ) ( 111090 * )
NEW met2 ( 16790 72420 ) ( 17250 * )
NEW met2 ( 16790 72420 ) ( * 85510 )
NEW met2 ( 16790 85510 ) ( 17250 * )
NEW met2 ( 17250 48110 ) ( * 72420 )
NEW met2 ( 17250 85510 ) ( * 210290 )
NEW met1 ( 12190 12410 ) M1M2_PR
NEW li1 ( 11270 12410 ) L1M1_PR_MR
NEW met1 ( 12190 48110 ) M1M2_PR
NEW li1 ( 231150 140250 ) L1M1_PR_MR
NEW li1 ( 228390 140250 ) L1M1_PR_MR
NEW li1 ( 234830 172550 ) L1M1_PR_MR
NEW met1 ( 234370 172550 ) M1M2_PR
NEW met1 ( 234370 140250 ) M1M2_PR
NEW met1 ( 234370 175950 ) M1M2_PR
NEW li1 ( 238970 172890 ) L1M1_PR_MR
NEW met1 ( 135010 175950 ) M1M2_PR
NEW met1 ( 17250 48110 ) M1M2_PR
NEW met1 ( 17250 210290 ) M1M2_PR
NEW li1 ( 127190 213010 ) L1M1_PR_MR
NEW met1 ( 111090 213010 ) M1M2_PR
NEW met1 ( 111090 210290 ) M1M2_PR
NEW met1 ( 135010 213690 ) M1M2_PR ;
- net7 ( ANTENNA__214__B1 DIODE ) ( input7 X ) ( _214_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 237590 141950 ) ( 239890 * )
NEW met2 ( 237590 75650 ) ( * 141950 )
NEW met1 ( 157550 72250 ) ( 182850 * )
NEW met2 ( 182850 72250 ) ( * 75650 )
NEW met1 ( 151110 71910 ) ( 151340 * )
NEW met2 ( 151110 71910 ) ( * 73950 )
NEW met1 ( 151110 73950 ) ( 152950 * )
NEW met2 ( 152950 71570 ) ( * 73950 )
NEW met1 ( 152950 71570 ) ( 156170 * )
NEW met1 ( 156170 71570 ) ( * 71910 )
NEW met1 ( 156170 71910 ) ( 157550 * )
NEW met1 ( 157550 71910 ) ( * 72250 )
NEW met1 ( 182850 75650 ) ( 237590 * )
NEW met1 ( 237590 141950 ) M1M2_PR
NEW li1 ( 239890 141950 ) L1M1_PR_MR
NEW met1 ( 237590 75650 ) M1M2_PR
NEW li1 ( 157550 72250 ) L1M1_PR_MR
NEW met1 ( 182850 72250 ) M1M2_PR
NEW met1 ( 182850 75650 ) M1M2_PR
NEW li1 ( 151340 71910 ) L1M1_PR_MR
NEW met1 ( 151110 71910 ) M1M2_PR
NEW met1 ( 151110 73950 ) M1M2_PR
NEW met1 ( 152950 73950 ) M1M2_PR
NEW met1 ( 152950 71570 ) M1M2_PR ;
- net70 ( ANTENNA__408__A DIODE ) ( ANTENNA__110__B DIODE ) ( input70 X ) ( _110_ B ) ( _408_ A ) + USE SIGNAL
+ ROUTED met1 ( 164910 203150 ) ( 179400 * )
NEW met1 ( 179400 203150 ) ( * 203490 )
NEW met2 ( 238050 200430 ) ( * 203490 )
NEW met1 ( 237130 203490 ) ( 238050 * )
NEW met1 ( 179400 203490 ) ( 237130 * )
NEW met1 ( 13110 17850 ) ( 13800 * )
NEW met1 ( 13800 16830 ) ( * 17850 )
NEW met1 ( 13800 16830 ) ( 17710 * )
NEW met1 ( 17710 16830 ) ( * 17170 )
NEW met1 ( 17710 17170 ) ( 20010 * )
NEW met1 ( 20010 17170 ) ( * 17850 )
NEW met1 ( 20010 17850 ) ( 24150 * )
NEW met2 ( 24150 17850 ) ( * 18020 )
NEW met3 ( 24150 18020 ) ( 24380 * )
NEW met4 ( 24380 18020 ) ( * 45220 )
NEW met3 ( 24380 45220 ) ( 24610 * )
NEW met2 ( 24610 45220 ) ( * 121210 )
NEW met1 ( 54050 121210 ) ( * 121550 )
NEW met1 ( 54050 121550 ) ( 71530 * )
NEW met2 ( 71530 120530 ) ( * 121550 )
NEW met1 ( 24610 121210 ) ( 54050 * )
NEW met1 ( 162150 120870 ) ( 164910 * )
NEW met1 ( 158930 120530 ) ( * 120870 )
NEW met1 ( 158930 120870 ) ( 162150 * )
NEW met2 ( 164910 120870 ) ( * 203150 )
NEW met1 ( 71530 120530 ) ( 158930 * )
NEW li1 ( 13110 17850 ) L1M1_PR_MR
NEW met1 ( 164910 203150 ) M1M2_PR
NEW li1 ( 237130 203490 ) L1M1_PR_MR
NEW li1 ( 238050 200430 ) L1M1_PR_MR
NEW met1 ( 238050 200430 ) M1M2_PR
NEW met1 ( 238050 203490 ) M1M2_PR
NEW met1 ( 24150 17850 ) M1M2_PR
NEW met2 ( 24150 18020 ) M2M3_PR_M
NEW met3 ( 24380 18020 ) M3M4_PR_M
NEW met3 ( 24380 45220 ) M3M4_PR_M
NEW met2 ( 24610 45220 ) M2M3_PR_M
NEW met1 ( 24610 121210 ) M1M2_PR
NEW met1 ( 71530 121550 ) M1M2_PR
NEW met1 ( 71530 120530 ) M1M2_PR
NEW li1 ( 164910 120870 ) L1M1_PR_MR
NEW met1 ( 164910 120870 ) M1M2_PR
NEW li1 ( 162150 120870 ) L1M1_PR_MR
NEW met1 ( 238050 200430 ) RECT ( -355 -70 0 70 )
NEW met3 ( 24380 18020 ) RECT ( 0 -150 390 150 )
NEW met3 ( 24380 45220 ) RECT ( -390 -150 0 150 )
NEW met1 ( 164910 120870 ) RECT ( -355 -70 0 70 ) ;
- net71 ( ANTENNA__418__A DIODE ) ( ANTENNA__112__B DIODE ) ( input71 X ) ( _112_ B ) ( _418_ A ) + USE SIGNAL
+ ROUTED met2 ( 195730 101150 ) ( * 101660 )
NEW met1 ( 195270 98770 ) ( 195730 * )
NEW met2 ( 195730 98770 ) ( * 101150 )
NEW met2 ( 22770 11900 ) ( * 12070 )
NEW met3 ( 142370 101660 ) ( 195730 * )
NEW met1 ( 140990 226270 ) ( 142370 * )
NEW met1 ( 139610 229330 ) ( 142370 * )
NEW met2 ( 142370 226270 ) ( * 229330 )
NEW met2 ( 142370 101660 ) ( * 226270 )
NEW met4 ( 194580 11900 ) ( * 34340 )
NEW met3 ( 194580 34340 ) ( 195730 * )
NEW met3 ( 22770 11900 ) ( 194580 * )
NEW met2 ( 195730 34340 ) ( * 98770 )
NEW li1 ( 195730 101150 ) L1M1_PR_MR
NEW met1 ( 195730 101150 ) M1M2_PR
NEW met2 ( 195730 101660 ) M2M3_PR_M
NEW li1 ( 195270 98770 ) L1M1_PR_MR
NEW met1 ( 195730 98770 ) M1M2_PR
NEW met2 ( 22770 11900 ) M2M3_PR_M
NEW li1 ( 22770 12070 ) L1M1_PR_MR
NEW met1 ( 22770 12070 ) M1M2_PR
NEW met2 ( 142370 101660 ) M2M3_PR_M
NEW li1 ( 140990 226270 ) L1M1_PR_MR
NEW met1 ( 142370 226270 ) M1M2_PR
NEW li1 ( 139610 229330 ) L1M1_PR_MR
NEW met1 ( 142370 229330 ) M1M2_PR
NEW met3 ( 194580 11900 ) M3M4_PR_M
NEW met3 ( 194580 34340 ) M3M4_PR_M
NEW met2 ( 195730 34340 ) M2M3_PR_M
NEW met1 ( 195730 101150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22770 12070 ) RECT ( -355 -70 0 70 ) ;
- net72 ( ANTENNA__419__A DIODE ) ( ANTENNA__112__A DIODE ) ( input72 X ) ( _112_ A ) ( _419_ A ) + USE SIGNAL
+ ROUTED met1 ( 197110 102170 ) ( * 102510 )
NEW met1 ( 193430 102510 ) ( 197110 * )
NEW met1 ( 193430 102170 ) ( * 102510 )
NEW met2 ( 31050 12070 ) ( * 12580 )
NEW met1 ( 27370 12070 ) ( 31050 * )
NEW met3 ( 31050 12580 ) ( 145590 * )
NEW met2 ( 146510 71740 ) ( 146970 * )
NEW met1 ( 146510 102170 ) ( 193430 * )
NEW met2 ( 145590 28900 ) ( 146970 * )
NEW met2 ( 145590 12580 ) ( * 28900 )
NEW met2 ( 146970 28900 ) ( * 71740 )
NEW met1 ( 146510 133790 ) ( 146970 * )
NEW met1 ( 146510 134810 ) ( 149730 * )
NEW met2 ( 146510 133790 ) ( * 134810 )
NEW met2 ( 146510 71740 ) ( * 133790 )
NEW met2 ( 145590 12580 ) M2M3_PR_M
NEW li1 ( 193430 102170 ) L1M1_PR_MR
NEW li1 ( 197110 102170 ) L1M1_PR_MR
NEW met2 ( 31050 12580 ) M2M3_PR_M
NEW met1 ( 31050 12070 ) M1M2_PR
NEW li1 ( 27370 12070 ) L1M1_PR_MR
NEW met1 ( 146510 102170 ) M1M2_PR
NEW li1 ( 146970 133790 ) L1M1_PR_MR
NEW met1 ( 146510 133790 ) M1M2_PR
NEW li1 ( 149730 134810 ) L1M1_PR_MR
NEW met1 ( 146510 134810 ) M1M2_PR
NEW met2 ( 146510 102170 ) RECT ( -70 -485 70 0 ) ;
- net73 ( ANTENNA__420__A DIODE ) ( ANTENNA__112__D DIODE ) ( input73 X ) ( _112_ D ) ( _420_ A ) + USE SIGNAL
+ ROUTED met1 ( 163070 103870 ) ( 193430 * )
NEW met2 ( 195730 102170 ) ( * 103870 )
NEW met1 ( 193430 103870 ) ( 195730 * )
NEW met1 ( 162150 125630 ) ( 163070 * )
NEW met2 ( 163070 125630 ) ( * 129370 )
NEW met2 ( 163070 19380 ) ( * 125630 )
NEW met2 ( 25990 19380 ) ( * 20230 )
NEW met3 ( 25990 19380 ) ( 163070 * )
NEW li1 ( 193430 103870 ) L1M1_PR_MR
NEW met1 ( 163070 103870 ) M1M2_PR
NEW li1 ( 195730 102170 ) L1M1_PR_MR
NEW met1 ( 195730 102170 ) M1M2_PR
NEW met1 ( 195730 103870 ) M1M2_PR
NEW met2 ( 163070 19380 ) M2M3_PR_M
NEW li1 ( 162150 125630 ) L1M1_PR_MR
NEW met1 ( 163070 125630 ) M1M2_PR
NEW li1 ( 163070 129370 ) L1M1_PR_MR
NEW met1 ( 163070 129370 ) M1M2_PR
NEW met2 ( 25990 19380 ) M2M3_PR_M
NEW li1 ( 25990 20230 ) L1M1_PR_MR
NEW met1 ( 25990 20230 ) M1M2_PR
NEW met2 ( 163070 103870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 195730 102170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 163070 129370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25990 20230 ) RECT ( -355 -70 0 70 ) ;
- net74 ( ANTENNA__421__A DIODE ) ( ANTENNA__112__C DIODE ) ( input74 X ) ( _112_ C ) ( _421_ A ) + USE SIGNAL
+ ROUTED met1 ( 85330 74970 ) ( 91310 * )
NEW met2 ( 134550 98770 ) ( * 101150 )
NEW met1 ( 83490 38590 ) ( 84870 * )
NEW met2 ( 83490 24990 ) ( * 38590 )
NEW met1 ( 75210 24990 ) ( 83490 * )
NEW met2 ( 75210 12410 ) ( * 24990 )
NEW met1 ( 62790 12410 ) ( 75210 * )
NEW met2 ( 62790 11730 ) ( * 12410 )
NEW met1 ( 53590 11730 ) ( 62790 * )
NEW met1 ( 53590 11390 ) ( * 11730 )
NEW met1 ( 85790 42670 ) ( 85800 * )
NEW met2 ( 85330 42670 ) ( 85790 * )
NEW met2 ( 85330 38590 ) ( * 42670 )
NEW met1 ( 84870 38590 ) ( 85330 * )
NEW met1 ( 41170 11390 ) ( 53590 * )
NEW met2 ( 85330 42670 ) ( * 74970 )
NEW met1 ( 190210 101150 ) ( * 101830 )
NEW met1 ( 134550 101150 ) ( 190210 * )
NEW met1 ( 190210 101830 ) ( 196190 * )
NEW met1 ( 91310 99110 ) ( 120290 * )
NEW met1 ( 120290 98770 ) ( * 99110 )
NEW met2 ( 91310 74970 ) ( * 99110 )
NEW met1 ( 120290 98770 ) ( 134550 * )
NEW met1 ( 85330 74970 ) M1M2_PR
NEW met1 ( 91310 74970 ) M1M2_PR
NEW li1 ( 196190 101830 ) L1M1_PR_MR
NEW li1 ( 41170 11390 ) L1M1_PR_MR
NEW met1 ( 134550 98770 ) M1M2_PR
NEW met1 ( 134550 101150 ) M1M2_PR
NEW li1 ( 84870 38590 ) L1M1_PR_MR
NEW met1 ( 83490 38590 ) M1M2_PR
NEW met1 ( 83490 24990 ) M1M2_PR
NEW met1 ( 75210 24990 ) M1M2_PR
NEW met1 ( 75210 12410 ) M1M2_PR
NEW met1 ( 62790 12410 ) M1M2_PR
NEW met1 ( 62790 11730 ) M1M2_PR
NEW li1 ( 85800 42670 ) L1M1_PR_MR
NEW met1 ( 85790 42670 ) M1M2_PR
NEW met1 ( 85330 38590 ) M1M2_PR
NEW li1 ( 190210 101150 ) L1M1_PR_MR
NEW met1 ( 91310 99110 ) M1M2_PR
NEW met1 ( 85800 42670 ) RECT ( 0 -70 345 70 ) ;
- net75 ( ANTENNA__422__A DIODE ) ( ANTENNA__117__B DIODE ) ( input75 X ) ( _117_ B ) ( _422_ A ) + USE SIGNAL
+ ROUTED met2 ( 169050 63410 ) ( * 65790 )
NEW met1 ( 169050 65790 ) ( 188830 * )
NEW met1 ( 187910 136510 ) ( 188830 * )
NEW met2 ( 188830 136510 ) ( * 140250 )
NEW met2 ( 188830 65790 ) ( * 136510 )
NEW met1 ( 50830 63070 ) ( 52670 * )
NEW met1 ( 52670 63070 ) ( * 63410 )
NEW met2 ( 52670 60860 ) ( * 61710 )
NEW met2 ( 52670 60860 ) ( 53130 * )
NEW met2 ( 44390 22270 ) ( * 26010 )
NEW met1 ( 27370 22270 ) ( 44390 * )
NEW met2 ( 52670 61710 ) ( * 63070 )
NEW met1 ( 119830 63410 ) ( * 63750 )
NEW met1 ( 119830 63750 ) ( 120750 * )
NEW met1 ( 120750 63410 ) ( * 63750 )
NEW met1 ( 52670 63410 ) ( 119830 * )
NEW met1 ( 120750 63410 ) ( 169050 * )
NEW met2 ( 50830 26010 ) ( * 28220 )
NEW met3 ( 50830 28220 ) ( * 30260 )
NEW met3 ( 50830 30260 ) ( 53590 * )
NEW met2 ( 53590 30260 ) ( * 30940 )
NEW met2 ( 53130 30940 ) ( 53590 * )
NEW met1 ( 44390 26010 ) ( 50830 * )
NEW met2 ( 53130 30940 ) ( * 60860 )
NEW met1 ( 169050 63410 ) M1M2_PR
NEW met1 ( 169050 65790 ) M1M2_PR
NEW met1 ( 188830 65790 ) M1M2_PR
NEW li1 ( 187910 136510 ) L1M1_PR_MR
NEW met1 ( 188830 136510 ) M1M2_PR
NEW li1 ( 188830 140250 ) L1M1_PR_MR
NEW met1 ( 188830 140250 ) M1M2_PR
NEW met1 ( 52670 63070 ) M1M2_PR
NEW li1 ( 50830 63070 ) L1M1_PR_MR
NEW li1 ( 52670 61710 ) L1M1_PR_MR
NEW met1 ( 52670 61710 ) M1M2_PR
NEW met1 ( 44390 26010 ) M1M2_PR
NEW met1 ( 44390 22270 ) M1M2_PR
NEW li1 ( 27370 22270 ) L1M1_PR_MR
NEW met1 ( 50830 26010 ) M1M2_PR
NEW met2 ( 50830 28220 ) M2M3_PR_M
NEW met2 ( 53590 30260 ) M2M3_PR_M
NEW met1 ( 188830 140250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52670 61710 ) RECT ( -355 -70 0 70 ) ;
- net76 ( ANTENNA__423__A DIODE ) ( ANTENNA__117__A DIODE ) ( input76 X ) ( _117_ A ) ( _423_ A ) + USE SIGNAL
+ ROUTED met1 ( 209530 142290 ) ( 212750 * )
NEW met1 ( 209530 141950 ) ( * 142290 )
NEW met1 ( 53130 61370 ) ( 54970 * )
NEW met2 ( 54970 31620 ) ( * 61370 )
NEW met3 ( 54740 31620 ) ( 54970 * )
NEW met4 ( 54740 20060 ) ( * 31620 )
NEW met3 ( 29670 20060 ) ( 54740 * )
NEW met2 ( 29670 20060 ) ( * 20230 )
NEW met1 ( 29670 20230 ) ( 32890 * )
NEW met1 ( 48990 61370 ) ( 53130 * )
NEW met2 ( 54970 61370 ) ( * 61540 )
NEW met2 ( 209530 62100 ) ( * 141950 )
NEW met3 ( 209300 61540 ) ( * 62100 )
NEW met3 ( 209300 62100 ) ( 209530 * )
NEW met3 ( 54970 61540 ) ( 209300 * )
NEW li1 ( 209530 141950 ) L1M1_PR_MR
NEW met1 ( 209530 141950 ) M1M2_PR
NEW li1 ( 212750 142290 ) L1M1_PR_MR
NEW li1 ( 53130 61370 ) L1M1_PR_MR
NEW met1 ( 54970 61370 ) M1M2_PR
NEW met2 ( 54970 31620 ) M2M3_PR_M
NEW met3 ( 54740 31620 ) M3M4_PR_M
NEW met3 ( 54740 20060 ) M3M4_PR_M
NEW met2 ( 29670 20060 ) M2M3_PR_M
NEW met1 ( 29670 20230 ) M1M2_PR
NEW li1 ( 32890 20230 ) L1M1_PR_MR
NEW li1 ( 48990 61370 ) L1M1_PR_MR
NEW met2 ( 54970 61540 ) M2M3_PR_M
NEW met2 ( 209530 62100 ) M2M3_PR_M
NEW met1 ( 209530 141950 ) RECT ( -355 -70 0 70 )
NEW met3 ( 54970 31620 ) RECT ( 0 -150 390 150 ) ;
- net77 ( ANTENNA__424__A DIODE ) ( ANTENNA__117__D DIODE ) ( input77 X ) ( _117_ D ) ( _424_ A ) + USE SIGNAL
+ ROUTED met1 ( 70610 57630 ) ( * 57970 )
NEW met1 ( 70610 57970 ) ( 109250 * )
NEW met1 ( 31970 57630 ) ( 47610 * )
NEW met2 ( 31970 42500 ) ( * 57630 )
NEW met2 ( 31970 42500 ) ( 32430 * )
NEW met2 ( 32430 39100 ) ( * 42500 )
NEW met3 ( 31740 39100 ) ( 32430 * )
NEW met4 ( 31740 24820 ) ( * 39100 )
NEW met3 ( 31050 24820 ) ( 31740 * )
NEW met2 ( 31050 22610 ) ( * 24820 )
NEW met1 ( 31050 22610 ) ( 31510 * )
NEW met2 ( 51290 57630 ) ( * 60690 )
NEW met1 ( 47610 57630 ) ( 51290 * )
NEW met1 ( 51290 57630 ) ( 70610 * )
NEW met1 ( 108790 223550 ) ( 110400 * )
NEW met1 ( 110400 223550 ) ( * 224230 )
NEW met1 ( 110400 224230 ) ( 111550 * )
NEW met2 ( 108790 124200 ) ( * 223550 )
NEW met2 ( 108790 124200 ) ( 109250 * )
NEW met2 ( 109250 57970 ) ( * 124200 )
NEW met1 ( 109250 57970 ) M1M2_PR
NEW li1 ( 108790 223550 ) L1M1_PR_MR
NEW met1 ( 108790 223550 ) M1M2_PR
NEW li1 ( 47610 57630 ) L1M1_PR_MR
NEW met1 ( 31970 57630 ) M1M2_PR
NEW met2 ( 32430 39100 ) M2M3_PR_M
NEW met3 ( 31740 39100 ) M3M4_PR_M
NEW met3 ( 31740 24820 ) M3M4_PR_M
NEW met2 ( 31050 24820 ) M2M3_PR_M
NEW met1 ( 31050 22610 ) M1M2_PR
NEW li1 ( 31510 22610 ) L1M1_PR_MR
NEW li1 ( 51290 60690 ) L1M1_PR_MR
NEW met1 ( 51290 60690 ) M1M2_PR
NEW met1 ( 51290 57630 ) M1M2_PR
NEW li1 ( 111550 224230 ) L1M1_PR_MR
NEW met1 ( 108790 223550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51290 60690 ) RECT ( -355 -70 0 70 ) ;
- net78 ( ANTENNA__425__A DIODE ) ( ANTENNA__117__C DIODE ) ( input78 X ) ( _117_ C ) ( _425_ A ) + USE SIGNAL
+ ROUTED met1 ( 23230 60350 ) ( 45770 * )
NEW met2 ( 23230 53380 ) ( * 60350 )
NEW met3 ( 23230 53380 ) ( 23460 * )
NEW met4 ( 23460 18020 ) ( * 53380 )
NEW met3 ( 21850 18020 ) ( 23460 * )
NEW met2 ( 21850 15810 ) ( * 18020 )
NEW met1 ( 21390 15810 ) ( 21850 * )
NEW li1 ( 45310 60350 ) ( * 61030 )
NEW met2 ( 153870 55250 ) ( * 62050 )
NEW met1 ( 152950 53890 ) ( 153870 * )
NEW met2 ( 153870 53890 ) ( * 55250 )
NEW met1 ( 52025 61030 ) ( 54050 * )
NEW met2 ( 54050 61030 ) ( * 62220 )
NEW met3 ( 54050 62220 ) ( 91310 * )
NEW met2 ( 91310 62050 ) ( * 62220 )
NEW met1 ( 45310 61030 ) ( 52025 * )
NEW met1 ( 91310 62050 ) ( 153870 * )
NEW li1 ( 45770 60350 ) L1M1_PR_MR
NEW met1 ( 23230 60350 ) M1M2_PR
NEW met2 ( 23230 53380 ) M2M3_PR_M
NEW met3 ( 23460 53380 ) M3M4_PR_M
NEW met3 ( 23460 18020 ) M3M4_PR_M
NEW met2 ( 21850 18020 ) M2M3_PR_M
NEW met1 ( 21850 15810 ) M1M2_PR
NEW li1 ( 21390 15810 ) L1M1_PR_MR
NEW li1 ( 45310 61030 ) L1M1_PR_MR
NEW li1 ( 45310 60350 ) L1M1_PR_MR
NEW li1 ( 153870 55250 ) L1M1_PR_MR
NEW met1 ( 153870 55250 ) M1M2_PR
NEW met1 ( 153870 62050 ) M1M2_PR
NEW li1 ( 152950 53890 ) L1M1_PR_MR
NEW met1 ( 153870 53890 ) M1M2_PR
NEW li1 ( 52025 61030 ) L1M1_PR_MR
NEW met1 ( 54050 61030 ) M1M2_PR
NEW met2 ( 54050 62220 ) M2M3_PR_M
NEW met2 ( 91310 62220 ) M2M3_PR_M
NEW met1 ( 91310 62050 ) M1M2_PR
NEW met3 ( 23230 53380 ) RECT ( -390 -150 0 150 )
NEW met1 ( 45310 60350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 153870 55250 ) RECT ( -355 -70 0 70 ) ;
- net79 ( ANTENNA__426__A DIODE ) ( ANTENNA__116__B DIODE ) ( input79 X ) ( _116_ B ) ( _426_ A ) + USE SIGNAL
+ ROUTED met2 ( 90850 18700 ) ( * 20060 )
NEW met1 ( 97290 125970 ) ( 98670 * )
NEW met1 ( 174110 56270 ) ( 176870 * )
NEW met2 ( 176870 55420 ) ( * 56270 )
NEW met2 ( 176870 55420 ) ( 177330 * )
NEW met2 ( 177330 33660 ) ( * 55420 )
NEW met2 ( 176870 33660 ) ( 177330 * )
NEW met2 ( 176870 20060 ) ( * 33660 )
NEW met3 ( 173420 20060 ) ( 176870 * )
NEW met3 ( 173420 18700 ) ( * 20060 )
NEW met2 ( 176870 56270 ) ( * 57630 )
NEW met2 ( 40710 17850 ) ( * 18700 )
NEW met3 ( 40710 18700 ) ( 90850 * )
NEW met2 ( 134550 18700 ) ( * 20060 )
NEW met3 ( 90850 20060 ) ( 134550 * )
NEW met3 ( 134550 18700 ) ( 173420 * )
NEW met3 ( 92230 52020 ) ( 92460 * )
NEW met4 ( 92460 52020 ) ( * 70380 )
NEW met3 ( 92460 70380 ) ( 97290 * )
NEW met2 ( 92230 20060 ) ( * 52020 )
NEW met2 ( 97290 70380 ) ( * 75900 )
NEW met2 ( 96830 107780 ) ( 97290 * )
NEW met2 ( 96830 75900 ) ( * 107780 )
NEW met2 ( 96830 75900 ) ( 97290 * )
NEW met2 ( 97290 107780 ) ( * 125970 )
NEW met2 ( 90850 20060 ) M2M3_PR_M
NEW met2 ( 90850 18700 ) M2M3_PR_M
NEW met2 ( 92230 20060 ) M2M3_PR_M
NEW li1 ( 98670 125970 ) L1M1_PR_MR
NEW met1 ( 97290 125970 ) M1M2_PR
NEW li1 ( 174110 56270 ) L1M1_PR_MR
NEW met1 ( 176870 56270 ) M1M2_PR
NEW met2 ( 176870 20060 ) M2M3_PR_M
NEW li1 ( 176870 57630 ) L1M1_PR_MR
NEW met1 ( 176870 57630 ) M1M2_PR
NEW li1 ( 40710 17850 ) L1M1_PR_MR
NEW met1 ( 40710 17850 ) M1M2_PR
NEW met2 ( 40710 18700 ) M2M3_PR_M
NEW met2 ( 134550 20060 ) M2M3_PR_M
NEW met2 ( 134550 18700 ) M2M3_PR_M
NEW met2 ( 92230 52020 ) M2M3_PR_M
NEW met3 ( 92460 52020 ) M3M4_PR_M
NEW met3 ( 92460 70380 ) M3M4_PR_M
NEW met2 ( 97290 70380 ) M2M3_PR_M
NEW li1 ( 97290 122910 ) L1M1_PR_MR
NEW met1 ( 97290 122910 ) M1M2_PR
NEW met3 ( 92230 20060 ) RECT ( -800 -150 0 150 )
NEW met1 ( 176870 57630 ) RECT ( 0 -70 355 70 )
NEW met1 ( 40710 17850 ) RECT ( -355 -70 0 70 )
NEW met3 ( 92230 52020 ) RECT ( -390 -150 0 150 )
NEW met1 ( 97290 122910 ) RECT ( -355 -70 0 70 )
NEW met2 ( 97290 122910 ) RECT ( -70 -485 70 0 ) ;
- net8 ( ANTENNA__215__B1 DIODE ) ( input8 X ) ( _215_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 100970 213690 ) ( 108790 * )
NEW met1 ( 100970 213350 ) ( * 213690 )
NEW met2 ( 108330 146030 ) ( * 213690 )
NEW met2 ( 147430 146030 ) ( * 146540 )
NEW met3 ( 147430 146540 ) ( 182850 * )
NEW met2 ( 182850 146540 ) ( * 150110 )
NEW met1 ( 108330 146030 ) ( 147430 * )
NEW met1 ( 182850 150110 ) ( 239890 * )
NEW li1 ( 239890 150110 ) L1M1_PR_MR
NEW met1 ( 108330 146030 ) M1M2_PR
NEW li1 ( 108790 213690 ) L1M1_PR_MR
NEW li1 ( 100970 213350 ) L1M1_PR_MR
NEW met1 ( 108330 213690 ) M1M2_PR
NEW met1 ( 147430 146030 ) M1M2_PR
NEW met2 ( 147430 146540 ) M2M3_PR_M
NEW met2 ( 182850 146540 ) M2M3_PR_M
NEW met1 ( 182850 150110 ) M1M2_PR
NEW met1 ( 108330 213690 ) RECT ( -595 -70 0 70 ) ;
- net80 ( ANTENNA__427__A DIODE ) ( ANTENNA__116__A DIODE ) ( input80 X ) ( _116_ A ) ( _427_ A ) + USE SIGNAL
+ ROUTED met1 ( 11270 84830 ) ( * 85850 )
NEW met2 ( 68310 15980 ) ( * 19550 )
NEW met1 ( 68310 19550 ) ( 71070 * )
NEW met1 ( 71070 19550 ) ( * 19890 )
NEW met1 ( 169050 57630 ) ( 171810 * )
NEW met2 ( 176410 58140 ) ( * 58310 )
NEW met2 ( 175490 58140 ) ( 176410 * )
NEW met2 ( 175490 57970 ) ( * 58140 )
NEW met1 ( 175490 57630 ) ( * 57970 )
NEW met1 ( 171810 57630 ) ( 175490 * )
NEW met1 ( 11270 84830 ) ( 49910 * )
NEW met2 ( 48990 15810 ) ( * 19550 )
NEW met1 ( 48990 15810 ) ( 54970 * )
NEW met2 ( 54970 15810 ) ( * 15980 )
NEW met2 ( 49450 42500 ) ( 49910 * )
NEW met2 ( 49450 33660 ) ( * 42500 )
NEW met3 ( 49220 33660 ) ( 49450 * )
NEW met4 ( 49220 22780 ) ( * 33660 )
NEW met3 ( 48990 22780 ) ( 49220 * )
NEW met2 ( 48990 19550 ) ( * 22780 )
NEW met2 ( 49910 42500 ) ( * 84830 )
NEW met3 ( 54970 15980 ) ( 68310 * )
NEW met1 ( 37950 19550 ) ( 48990 * )
NEW met2 ( 157090 20230 ) ( * 35870 )
NEW met1 ( 157090 35870 ) ( 169050 * )
NEW met2 ( 169050 35870 ) ( * 57630 )
NEW met2 ( 101430 19890 ) ( * 20740 )
NEW met3 ( 101430 20740 ) ( 122130 * )
NEW met2 ( 122130 20230 ) ( * 20740 )
NEW met1 ( 71070 19890 ) ( 101430 * )
NEW met1 ( 122130 20230 ) ( 157090 * )
NEW li1 ( 11270 85850 ) L1M1_PR_MR
NEW met2 ( 68310 15980 ) M2M3_PR_M
NEW met1 ( 68310 19550 ) M1M2_PR
NEW li1 ( 171810 57630 ) L1M1_PR_MR
NEW met1 ( 169050 57630 ) M1M2_PR
NEW li1 ( 176410 58310 ) L1M1_PR_MR
NEW met1 ( 176410 58310 ) M1M2_PR
NEW met1 ( 175490 57970 ) M1M2_PR
NEW met1 ( 49910 84830 ) M1M2_PR
NEW li1 ( 14490 84830 ) L1M1_PR_MR
NEW met1 ( 48990 19550 ) M1M2_PR
NEW met1 ( 48990 15810 ) M1M2_PR
NEW met1 ( 54970 15810 ) M1M2_PR
NEW met2 ( 54970 15980 ) M2M3_PR_M
NEW met2 ( 49450 33660 ) M2M3_PR_M
NEW met3 ( 49220 33660 ) M3M4_PR_M
NEW met3 ( 49220 22780 ) M3M4_PR_M
NEW met2 ( 48990 22780 ) M2M3_PR_M
NEW li1 ( 37950 19550 ) L1M1_PR_MR
NEW met1 ( 157090 20230 ) M1M2_PR
NEW met1 ( 157090 35870 ) M1M2_PR
NEW met1 ( 169050 35870 ) M1M2_PR
NEW met1 ( 101430 19890 ) M1M2_PR
NEW met2 ( 101430 20740 ) M2M3_PR_M
NEW met2 ( 122130 20740 ) M2M3_PR_M
NEW met1 ( 122130 20230 ) M1M2_PR
NEW met1 ( 176410 58310 ) RECT ( 0 -70 355 70 )
NEW met1 ( 14490 84830 ) RECT ( -595 -70 0 70 )
NEW met3 ( 49450 33660 ) RECT ( 0 -150 390 150 )
NEW met3 ( 49220 22780 ) RECT ( 0 -150 390 150 ) ;
- net81 ( ANTENNA__409__A DIODE ) ( ANTENNA__110__A DIODE ) ( input81 X ) ( _110_ A ) ( _409_ A ) + USE SIGNAL
+ ROUTED met2 ( 13110 16660 ) ( * 20230 )
NEW met2 ( 234830 198050 ) ( * 199070 )
NEW met1 ( 234830 200090 ) ( 238970 * )
NEW met2 ( 234830 199070 ) ( * 200090 )
NEW met1 ( 165370 198050 ) ( 234830 * )
NEW met1 ( 161230 52190 ) ( 162150 * )
NEW met2 ( 161230 15300 ) ( * 52190 )
NEW met1 ( 161230 53210 ) ( 165370 * )
NEW met2 ( 161230 52190 ) ( * 53210 )
NEW met2 ( 165370 53210 ) ( * 198050 )
NEW met3 ( 38180 15300 ) ( * 16660 )
NEW met3 ( 13110 16660 ) ( 38180 * )
NEW met3 ( 38180 15300 ) ( 161230 * )
NEW met2 ( 13110 16660 ) M2M3_PR_M
NEW li1 ( 13110 20230 ) L1M1_PR_MR
NEW met1 ( 13110 20230 ) M1M2_PR
NEW met1 ( 165370 198050 ) M1M2_PR
NEW li1 ( 234830 199070 ) L1M1_PR_MR
NEW met1 ( 234830 199070 ) M1M2_PR
NEW met1 ( 234830 198050 ) M1M2_PR
NEW li1 ( 238970 200090 ) L1M1_PR_MR
NEW met1 ( 234830 200090 ) M1M2_PR
NEW li1 ( 162150 52190 ) L1M1_PR_MR
NEW met1 ( 161230 52190 ) M1M2_PR
NEW met2 ( 161230 15300 ) M2M3_PR_M
NEW li1 ( 165370 53210 ) L1M1_PR_MR
NEW met1 ( 161230 53210 ) M1M2_PR
NEW met1 ( 165370 53210 ) M1M2_PR
NEW met1 ( 13110 20230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 234830 199070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 165370 53210 ) RECT ( -595 -70 0 70 ) ;
- net82 ( ANTENNA__428__A DIODE ) ( ANTENNA__184__B DIODE ) ( ANTENNA__118__B DIODE ) ( input82 X ) ( _118_ B ) ( _184_ B ) ( _428_ A ) + USE SIGNAL
+ ROUTED met1 ( 213210 174590 ) ( 213670 * )
NEW met2 ( 213670 174590 ) ( * 178330 )
NEW met2 ( 213670 110670 ) ( * 174590 )
NEW met2 ( 36110 23290 ) ( * 24820 )
NEW met1 ( 79810 25670 ) ( 80270 * )
NEW met2 ( 79810 24820 ) ( * 25670 )
NEW met1 ( 81650 28050 ) ( * 28390 )
NEW met1 ( 79810 28050 ) ( 81650 * )
NEW met2 ( 79810 25670 ) ( * 28050 )
NEW met2 ( 91310 29410 ) ( * 32130 )
NEW met1 ( 87170 29410 ) ( 91310 * )
NEW met2 ( 87170 27710 ) ( * 29410 )
NEW met1 ( 81650 27710 ) ( 87170 * )
NEW met1 ( 81650 27710 ) ( * 28050 )
NEW met3 ( 36110 24820 ) ( 79810 * )
NEW met1 ( 91310 32130 ) ( 100510 * )
NEW met2 ( 100510 103020 ) ( * 112030 )
NEW met2 ( 100510 103020 ) ( 100970 * )
NEW met2 ( 100970 90780 ) ( * 103020 )
NEW met2 ( 100510 90780 ) ( 100970 * )
NEW met1 ( 98210 113050 ) ( 100510 * )
NEW met2 ( 100510 112030 ) ( * 113050 )
NEW met2 ( 100510 32130 ) ( * 90780 )
NEW met1 ( 100510 110670 ) ( 213670 * )
NEW met1 ( 213670 110670 ) M1M2_PR
NEW li1 ( 213210 174590 ) L1M1_PR_MR
NEW met1 ( 213670 174590 ) M1M2_PR
NEW li1 ( 213670 178330 ) L1M1_PR_MR
NEW met1 ( 213670 178330 ) M1M2_PR
NEW met1 ( 100510 32130 ) M1M2_PR
NEW li1 ( 36110 23290 ) L1M1_PR_MR
NEW met1 ( 36110 23290 ) M1M2_PR
NEW met2 ( 36110 24820 ) M2M3_PR_M
NEW li1 ( 80270 25670 ) L1M1_PR_MR
NEW met1 ( 79810 25670 ) M1M2_PR
NEW met2 ( 79810 24820 ) M2M3_PR_M
NEW li1 ( 81650 28390 ) L1M1_PR_MR
NEW met1 ( 79810 28050 ) M1M2_PR
NEW met1 ( 91310 32130 ) M1M2_PR
NEW met1 ( 91310 29410 ) M1M2_PR
NEW met1 ( 87170 29410 ) M1M2_PR
NEW met1 ( 87170 27710 ) M1M2_PR
NEW li1 ( 100510 112030 ) L1M1_PR_MR
NEW met1 ( 100510 112030 ) M1M2_PR
NEW li1 ( 98210 113050 ) L1M1_PR_MR
NEW met1 ( 100510 113050 ) M1M2_PR
NEW met1 ( 100510 110670 ) M1M2_PR
NEW met1 ( 213670 178330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 36110 23290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100510 112030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 100510 110670 ) RECT ( -70 -485 70 0 ) ;
- net83 ( ANTENNA__429__A DIODE ) ( ANTENNA__184__A DIODE ) ( ANTENNA__118__A DIODE ) ( input83 X ) ( _118_ A ) ( _184_ A ) ( _429_ A ) + USE SIGNAL
+ ROUTED met1 ( 66010 199750 ) ( * 200090 )
NEW met1 ( 66010 199750 ) ( 94530 * )
NEW met1 ( 63710 199750 ) ( 66010 * )
NEW met2 ( 42550 20570 ) ( * 28730 )
NEW met1 ( 82570 28390 ) ( 90850 * )
NEW met1 ( 78890 28730 ) ( 82570 * )
NEW met1 ( 82570 28390 ) ( * 28730 )
NEW met1 ( 48530 28390 ) ( * 28730 )
NEW met2 ( 48530 27710 ) ( * 28390 )
NEW met2 ( 48530 27710 ) ( 49450 * )
NEW met1 ( 49450 27710 ) ( 74290 * )
NEW met1 ( 74290 27710 ) ( * 28730 )
NEW met1 ( 74290 28730 ) ( 78890 * )
NEW met1 ( 42550 28730 ) ( 48530 * )
NEW met1 ( 90850 54910 ) ( 95450 * )
NEW met2 ( 95450 54910 ) ( * 58990 )
NEW met1 ( 94530 58990 ) ( 95450 * )
NEW met2 ( 90850 28390 ) ( * 54910 )
NEW met1 ( 94530 113050 ) ( 97290 * )
NEW met2 ( 94530 112030 ) ( * 113050 )
NEW met2 ( 94530 58990 ) ( * 112030 )
NEW met2 ( 94530 113050 ) ( * 199750 )
NEW li1 ( 66010 200090 ) L1M1_PR_MR
NEW met1 ( 94530 199750 ) M1M2_PR
NEW li1 ( 63710 199750 ) L1M1_PR_MR
NEW li1 ( 42550 20570 ) L1M1_PR_MR
NEW met1 ( 42550 20570 ) M1M2_PR
NEW met1 ( 42550 28730 ) M1M2_PR
NEW li1 ( 82570 28390 ) L1M1_PR_MR
NEW met1 ( 90850 28390 ) M1M2_PR
NEW li1 ( 78890 28730 ) L1M1_PR_MR
NEW met1 ( 48530 28390 ) M1M2_PR
NEW met1 ( 49450 27710 ) M1M2_PR
NEW met1 ( 90850 54910 ) M1M2_PR
NEW met1 ( 95450 54910 ) M1M2_PR
NEW met1 ( 95450 58990 ) M1M2_PR
NEW met1 ( 94530 58990 ) M1M2_PR
NEW li1 ( 94530 112030 ) L1M1_PR_MR
NEW met1 ( 94530 112030 ) M1M2_PR
NEW li1 ( 97290 113050 ) L1M1_PR_MR
NEW met1 ( 94530 113050 ) M1M2_PR
NEW met1 ( 42550 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 94530 112030 ) RECT ( -355 -70 0 70 ) ;
- net84 ( ANTENNA__430__A DIODE ) ( ANTENNA__185__D_N DIODE ) ( ANTENNA__118__C DIODE ) ( input84 X ) ( _118_ C ) ( _185_ D_N ) ( _430_ A ) + USE SIGNAL
+ ROUTED met1 ( 116610 55250 ) ( 118450 * )
NEW met2 ( 118450 31110 ) ( * 55250 )
NEW met1 ( 126730 55250 ) ( * 55590 )
NEW met1 ( 118450 55250 ) ( 126730 * )
NEW met1 ( 118450 31790 ) ( 143290 * )
NEW met1 ( 143290 31450 ) ( 146510 * )
NEW met1 ( 143290 31450 ) ( * 31790 )
NEW met1 ( 77970 31110 ) ( 78430 * )
NEW met2 ( 77970 29580 ) ( * 31110 )
NEW met3 ( 53590 29580 ) ( 77970 * )
NEW met3 ( 53590 28220 ) ( * 29580 )
NEW met2 ( 53590 25330 ) ( * 28220 )
NEW met1 ( 78430 28390 ) ( 81190 * )
NEW met2 ( 77970 28390 ) ( 78430 * )
NEW met2 ( 77970 28390 ) ( * 29580 )
NEW met1 ( 42090 25330 ) ( 53590 * )
NEW met1 ( 78430 31110 ) ( 118450 * )
NEW li1 ( 42090 25330 ) L1M1_PR_MR
NEW li1 ( 116610 55250 ) L1M1_PR_MR
NEW met1 ( 118450 55250 ) M1M2_PR
NEW met1 ( 118450 31110 ) M1M2_PR
NEW li1 ( 126730 55590 ) L1M1_PR_MR
NEW li1 ( 143290 31790 ) L1M1_PR_MR
NEW met1 ( 118450 31790 ) M1M2_PR
NEW li1 ( 146510 31450 ) L1M1_PR_MR
NEW li1 ( 78430 31110 ) L1M1_PR_MR
NEW met1 ( 77970 31110 ) M1M2_PR
NEW met2 ( 77970 29580 ) M2M3_PR_M
NEW met2 ( 53590 28220 ) M2M3_PR_M
NEW met1 ( 53590 25330 ) M1M2_PR
NEW li1 ( 81190 28390 ) L1M1_PR_MR
NEW met1 ( 78430 28390 ) M1M2_PR
NEW met2 ( 118450 31790 ) RECT ( -70 -485 70 0 ) ;
- net85 ( ANTENNA__431__A DIODE ) ( ANTENNA__189__A DIODE ) ( ANTENNA__115__A DIODE ) ( input85 X ) ( _115_ A ) ( _189_ A ) ( _431_ A ) + USE SIGNAL
+ ROUTED met1 ( 195730 158950 ) ( 196190 * )
NEW met1 ( 200330 158950 ) ( 200790 * )
NEW met1 ( 196190 158950 ) ( 198950 * )
NEW met2 ( 195730 179400 ) ( 196190 * )
NEW met2 ( 195730 158950 ) ( * 179400 )
NEW met1 ( 66010 14450 ) ( * 14790 )
NEW met2 ( 188830 44370 ) ( * 53210 )
NEW met1 ( 178710 44370 ) ( 188830 * )
NEW met2 ( 178710 17510 ) ( * 44370 )
NEW met1 ( 174570 17510 ) ( 178710 * )
NEW met2 ( 174570 16660 ) ( * 17510 )
NEW met1 ( 187910 54910 ) ( 188830 * )
NEW met2 ( 188830 53210 ) ( * 54910 )
NEW met1 ( 188830 56270 ) ( 195270 * )
NEW met2 ( 188830 54910 ) ( * 56270 )
NEW met2 ( 195270 56270 ) ( * 110400 )
NEW met1 ( 198950 158610 ) ( 200330 * )
NEW met2 ( 195270 110400 ) ( 195730 * )
NEW met2 ( 195730 110400 ) ( * 158950 )
NEW met1 ( 198950 158610 ) ( * 158950 )
NEW met1 ( 200330 158610 ) ( * 158950 )
NEW met2 ( 196190 179400 ) ( * 223890 )
NEW met1 ( 41630 14450 ) ( * 14790 )
NEW met1 ( 41630 14450 ) ( 66010 * )
NEW met2 ( 156630 14790 ) ( * 16660 )
NEW met1 ( 66010 14790 ) ( 156630 * )
NEW met3 ( 156630 16660 ) ( 174570 * )
NEW met1 ( 225630 223890 ) ( * 224230 )
NEW met1 ( 221950 223890 ) ( 225630 * )
NEW met1 ( 196190 223890 ) ( 221950 * )
NEW li1 ( 196190 158950 ) L1M1_PR_MR
NEW met1 ( 195730 158950 ) M1M2_PR
NEW li1 ( 200790 158950 ) L1M1_PR_MR
NEW li1 ( 188830 53210 ) L1M1_PR_MR
NEW met1 ( 188830 53210 ) M1M2_PR
NEW met1 ( 188830 44370 ) M1M2_PR
NEW met1 ( 178710 44370 ) M1M2_PR
NEW met1 ( 178710 17510 ) M1M2_PR
NEW met1 ( 174570 17510 ) M1M2_PR
NEW met2 ( 174570 16660 ) M2M3_PR_M
NEW li1 ( 187910 54910 ) L1M1_PR_MR
NEW met1 ( 188830 54910 ) M1M2_PR
NEW met1 ( 195270 56270 ) M1M2_PR
NEW met1 ( 188830 56270 ) M1M2_PR
NEW met1 ( 196190 223890 ) M1M2_PR
NEW li1 ( 41630 14790 ) L1M1_PR_MR
NEW met1 ( 156630 14790 ) M1M2_PR
NEW met2 ( 156630 16660 ) M2M3_PR_M
NEW li1 ( 221950 223890 ) L1M1_PR_MR
NEW li1 ( 225630 224230 ) L1M1_PR_MR
NEW met1 ( 188830 53210 ) RECT ( -355 -70 0 70 ) ;
- net86 ( ANTENNA__432__A DIODE ) ( ANTENNA__108__B DIODE ) ( input86 X ) ( _108_ B ) ( _432_ A ) + USE SIGNAL
+ ROUTED met2 ( 107870 14450 ) ( * 17170 )
NEW met1 ( 125810 95710 ) ( 126270 * )
NEW met2 ( 126270 94350 ) ( * 95710 )
NEW met1 ( 122590 17170 ) ( * 17510 )
NEW met1 ( 122590 17510 ) ( 126270 * )
NEW met1 ( 107870 17170 ) ( 122590 * )
NEW met2 ( 126270 17510 ) ( * 94350 )
NEW met1 ( 71070 17510 ) ( 75670 * )
NEW met2 ( 71070 17510 ) ( * 26860 )
NEW met3 ( 64630 26860 ) ( 71070 * )
NEW met2 ( 64630 26860 ) ( * 31110 )
NEW met1 ( 48990 31110 ) ( 64630 * )
NEW met2 ( 48990 29580 ) ( * 31110 )
NEW met3 ( 48990 29580 ) ( 49220 * )
NEW met3 ( 49220 28900 ) ( * 29580 )
NEW met3 ( 48530 28900 ) ( 49220 * )
NEW met2 ( 48530 28900 ) ( * 29070 )
NEW met1 ( 75670 17510 ) ( 78890 * )
NEW met1 ( 83950 14110 ) ( * 14450 )
NEW met1 ( 80270 14110 ) ( 83950 * )
NEW met2 ( 80270 14110 ) ( * 17510 )
NEW met1 ( 78890 17510 ) ( 80270 * )
NEW met1 ( 41170 29070 ) ( 48530 * )
NEW met1 ( 83950 14450 ) ( 107870 * )
NEW met1 ( 107870 17170 ) M1M2_PR
NEW met1 ( 107870 14450 ) M1M2_PR
NEW li1 ( 126270 94350 ) L1M1_PR_MR
NEW met1 ( 126270 94350 ) M1M2_PR
NEW li1 ( 125810 95710 ) L1M1_PR_MR
NEW met1 ( 126270 95710 ) M1M2_PR
NEW li1 ( 41170 29070 ) L1M1_PR_MR
NEW met1 ( 126270 17510 ) M1M2_PR
NEW li1 ( 75670 17510 ) L1M1_PR_MR
NEW met1 ( 71070 17510 ) M1M2_PR
NEW met2 ( 71070 26860 ) M2M3_PR_M
NEW met2 ( 64630 26860 ) M2M3_PR_M
NEW met1 ( 64630 31110 ) M1M2_PR
NEW met1 ( 48990 31110 ) M1M2_PR
NEW met2 ( 48990 29580 ) M2M3_PR_M
NEW met2 ( 48530 28900 ) M2M3_PR_M
NEW met1 ( 48530 29070 ) M1M2_PR
NEW li1 ( 78890 17510 ) L1M1_PR_MR
NEW met1 ( 80270 14110 ) M1M2_PR
NEW met1 ( 80270 17510 ) M1M2_PR
NEW met1 ( 126270 94350 ) RECT ( 0 -70 355 70 ) ;
- net87 ( ANTENNA__433__A DIODE ) ( ANTENNA__108__A DIODE ) ( input87 X ) ( _108_ A ) ( _433_ A ) + USE SIGNAL
+ ROUTED met1 ( 97750 177310 ) ( 101430 * )
NEW met1 ( 98670 180370 ) ( 101430 * )
NEW met2 ( 101430 177310 ) ( * 180370 )
NEW met2 ( 112470 17850 ) ( * 43010 )
NEW met1 ( 112470 43010 ) ( 121210 * )
NEW met2 ( 47150 17850 ) ( * 18530 )
NEW met2 ( 47150 17850 ) ( 48070 * )
NEW met2 ( 48070 15300 ) ( * 17850 )
NEW met2 ( 47610 15300 ) ( 48070 * )
NEW met2 ( 47610 14620 ) ( * 15300 )
NEW met3 ( 45540 14620 ) ( 47610 * )
NEW met4 ( 45540 14620 ) ( * 22100 )
NEW met3 ( 45540 22100 ) ( 48070 * )
NEW met2 ( 48070 20910 ) ( * 22100 )
NEW met2 ( 126730 92820 ) ( * 94010 )
NEW met1 ( 96600 17850 ) ( 112470 * )
NEW met1 ( 85330 17510 ) ( * 18530 )
NEW met1 ( 85330 17510 ) ( 96600 * )
NEW met1 ( 96600 17510 ) ( * 17850 )
NEW met1 ( 47150 18530 ) ( 85330 * )
NEW met1 ( 118910 94350 ) ( 122130 * )
NEW met2 ( 118910 94350 ) ( * 122060 )
NEW met3 ( 101430 122060 ) ( 118910 * )
NEW met2 ( 123510 92820 ) ( * 94350 )
NEW met1 ( 122130 94350 ) ( 123510 * )
NEW met1 ( 121210 90270 ) ( 123510 * )
NEW met2 ( 123510 90270 ) ( * 92820 )
NEW met2 ( 101430 122060 ) ( * 177310 )
NEW met2 ( 121210 43010 ) ( * 90270 )
NEW met3 ( 123510 92820 ) ( 126730 * )
NEW li1 ( 97750 177310 ) L1M1_PR_MR
NEW met1 ( 101430 177310 ) M1M2_PR
NEW li1 ( 98670 180370 ) L1M1_PR_MR
NEW met1 ( 101430 180370 ) M1M2_PR
NEW met1 ( 112470 17850 ) M1M2_PR
NEW met1 ( 112470 43010 ) M1M2_PR
NEW met1 ( 121210 43010 ) M1M2_PR
NEW met1 ( 47150 18530 ) M1M2_PR
NEW met2 ( 47610 14620 ) M2M3_PR_M
NEW met3 ( 45540 14620 ) M3M4_PR_M
NEW met3 ( 45540 22100 ) M3M4_PR_M
NEW met2 ( 48070 22100 ) M2M3_PR_M
NEW li1 ( 48070 20910 ) L1M1_PR_MR
NEW met1 ( 48070 20910 ) M1M2_PR
NEW li1 ( 126730 94010 ) L1M1_PR_MR
NEW met1 ( 126730 94010 ) M1M2_PR
NEW met2 ( 126730 92820 ) M2M3_PR_M
NEW li1 ( 122130 94350 ) L1M1_PR_MR
NEW met1 ( 118910 94350 ) M1M2_PR
NEW met2 ( 118910 122060 ) M2M3_PR_M
NEW met2 ( 101430 122060 ) M2M3_PR_M
NEW met2 ( 123510 92820 ) M2M3_PR_M
NEW met1 ( 123510 94350 ) M1M2_PR
NEW met1 ( 121210 90270 ) M1M2_PR
NEW met1 ( 123510 90270 ) M1M2_PR
NEW met1 ( 48070 20910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126730 94010 ) RECT ( 0 -70 355 70 ) ;
- net88 ( ANTENNA__434__A DIODE ) ( ANTENNA__108__D DIODE ) ( input88 X ) ( _108_ D ) ( _434_ A ) + USE SIGNAL
+ ROUTED met1 ( 124890 93330 ) ( 130870 * )
NEW met1 ( 130870 92990 ) ( * 93330 )
NEW met1 ( 49450 153510 ) ( 52210 * )
NEW met2 ( 52210 152830 ) ( * 153510 )
NEW met2 ( 130870 62100 ) ( * 92990 )
NEW met2 ( 129950 17340 ) ( * 41140 )
NEW met2 ( 129950 41140 ) ( 130410 * )
NEW met2 ( 130410 41140 ) ( * 62100 )
NEW met2 ( 130410 62100 ) ( 130870 * )
NEW met1 ( 52210 17170 ) ( 54510 * )
NEW met2 ( 54510 17170 ) ( * 17340 )
NEW met3 ( 52210 40460 ) ( 52900 * )
NEW met4 ( 52900 17340 ) ( * 40460 )
NEW met3 ( 52900 17340 ) ( 54510 * )
NEW met2 ( 52210 40460 ) ( * 152830 )
NEW met3 ( 54510 17340 ) ( 129950 * )
NEW li1 ( 130870 92990 ) L1M1_PR_MR
NEW met1 ( 130870 92990 ) M1M2_PR
NEW li1 ( 124890 93330 ) L1M1_PR_MR
NEW li1 ( 52210 152830 ) L1M1_PR_MR
NEW met1 ( 52210 152830 ) M1M2_PR
NEW li1 ( 49450 153510 ) L1M1_PR_MR
NEW met1 ( 52210 153510 ) M1M2_PR
NEW met2 ( 129950 17340 ) M2M3_PR_M
NEW li1 ( 52210 17170 ) L1M1_PR_MR
NEW met1 ( 54510 17170 ) M1M2_PR
NEW met2 ( 54510 17340 ) M2M3_PR_M
NEW met2 ( 52210 40460 ) M2M3_PR_M
NEW met3 ( 52900 40460 ) M3M4_PR_M
NEW met3 ( 52900 17340 ) M3M4_PR_M
NEW met1 ( 130870 92990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52210 152830 ) RECT ( -355 -70 0 70 ) ;
- net89 ( ANTENNA__435__A DIODE ) ( ANTENNA__108__C DIODE ) ( input89 X ) ( _108_ C ) ( _435_ A ) + USE SIGNAL
+ ROUTED met2 ( 63250 14620 ) ( * 17850 )
NEW met1 ( 63250 17850 ) ( 80730 * )
NEW met1 ( 80730 17170 ) ( * 17850 )
NEW met1 ( 80730 17170 ) ( 106490 * )
NEW met2 ( 106490 15810 ) ( * 17170 )
NEW met1 ( 43470 97410 ) ( 54510 * )
NEW met1 ( 43470 174590 ) ( 44850 * )
NEW met1 ( 40250 175270 ) ( 43470 * )
NEW met1 ( 43470 174590 ) ( * 175270 )
NEW met2 ( 56350 14620 ) ( * 17170 )
NEW met2 ( 54970 17170 ) ( 56350 * )
NEW met2 ( 54970 17170 ) ( * 18700 )
NEW met2 ( 54510 18700 ) ( 54970 * )
NEW met2 ( 59110 14620 ) ( * 14790 )
NEW met2 ( 54510 18700 ) ( * 97410 )
NEW met3 ( 56350 14620 ) ( 63250 * )
NEW met2 ( 43470 97410 ) ( * 174590 )
NEW met2 ( 118450 15810 ) ( * 19380 )
NEW met2 ( 118450 19380 ) ( 118910 * )
NEW met1 ( 106490 15810 ) ( 118450 * )
NEW met1 ( 118910 92990 ) ( * 93670 )
NEW met2 ( 118910 19380 ) ( * 92990 )
NEW met1 ( 118910 93670 ) ( 125625 * )
NEW met2 ( 63250 14620 ) M2M3_PR_M
NEW met1 ( 63250 17850 ) M1M2_PR
NEW met1 ( 106490 17170 ) M1M2_PR
NEW met1 ( 106490 15810 ) M1M2_PR
NEW met1 ( 43470 97410 ) M1M2_PR
NEW met1 ( 54510 97410 ) M1M2_PR
NEW li1 ( 44850 174590 ) L1M1_PR_MR
NEW met1 ( 43470 174590 ) M1M2_PR
NEW li1 ( 40250 175270 ) L1M1_PR_MR
NEW li1 ( 125625 93670 ) L1M1_PR_MR
NEW met2 ( 56350 14620 ) M2M3_PR_M
NEW li1 ( 59110 14790 ) L1M1_PR_MR
NEW met1 ( 59110 14790 ) M1M2_PR
NEW met2 ( 59110 14620 ) M2M3_PR_M
NEW met1 ( 118450 15810 ) M1M2_PR
NEW li1 ( 118910 92990 ) L1M1_PR_MR
NEW met1 ( 118910 92990 ) M1M2_PR
NEW met1 ( 59110 14790 ) RECT ( -355 -70 0 70 )
NEW met3 ( 59110 14620 ) RECT ( -800 -150 0 150 )
NEW met1 ( 118910 92990 ) RECT ( -355 -70 0 70 ) ;
- net9 ( ANTENNA__216__B1 DIODE ) ( input9 X ) ( _216_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 8050 169150 ) ( * 169490 )
NEW met1 ( 8050 169490 ) ( 13800 * )
NEW met1 ( 13800 169490 ) ( * 169830 )
NEW met1 ( 13800 169830 ) ( 123510 * )
NEW met1 ( 123970 227290 ) ( 129490 * )
NEW met2 ( 123970 223550 ) ( * 227290 )
NEW met2 ( 123510 223550 ) ( 123970 * )
NEW met2 ( 123510 169830 ) ( * 223550 )
NEW li1 ( 8050 169150 ) L1M1_PR_MR
NEW met1 ( 123510 169830 ) M1M2_PR
NEW li1 ( 123510 223550 ) L1M1_PR_MR
NEW met1 ( 123510 223550 ) M1M2_PR
NEW li1 ( 129490 227290 ) L1M1_PR_MR
NEW met1 ( 123970 227290 ) M1M2_PR
NEW met1 ( 123510 223550 ) RECT ( -355 -70 0 70 ) ;
- net90 ( ANTENNA__436__A DIODE ) ( ANTENNA__107__B DIODE ) ( input90 X ) ( _107_ B ) ( _436_ A ) + USE SIGNAL
+ ROUTED met1 ( 106030 9010 ) ( * 9350 )
NEW met1 ( 106030 9350 ) ( 128110 * )
NEW met2 ( 65550 9010 ) ( * 11390 )
NEW met1 ( 65550 9010 ) ( 106030 * )
NEW met1 ( 174110 131410 ) ( * 131750 )
NEW met1 ( 173190 131410 ) ( 174110 * )
NEW met2 ( 173190 128350 ) ( * 131410 )
NEW met1 ( 128110 55590 ) ( 129030 * )
NEW met1 ( 128570 58310 ) ( * 58650 )
NEW met1 ( 128110 58310 ) ( 128570 * )
NEW met2 ( 128110 55590 ) ( * 58310 )
NEW met2 ( 131330 58310 ) ( * 60350 )
NEW met1 ( 128570 58310 ) ( 131330 * )
NEW met2 ( 128110 9350 ) ( * 55590 )
NEW met2 ( 160310 60350 ) ( * 88910 )
NEW met1 ( 160310 88910 ) ( 173190 * )
NEW met1 ( 131330 60350 ) ( 160310 * )
NEW met2 ( 173190 88910 ) ( * 128350 )
NEW met1 ( 128110 9350 ) M1M2_PR
NEW met1 ( 65550 9010 ) M1M2_PR
NEW li1 ( 65550 11390 ) L1M1_PR_MR
NEW met1 ( 65550 11390 ) M1M2_PR
NEW li1 ( 173190 128350 ) L1M1_PR_MR
NEW met1 ( 173190 128350 ) M1M2_PR
NEW li1 ( 174110 131750 ) L1M1_PR_MR
NEW met1 ( 173190 131410 ) M1M2_PR
NEW li1 ( 129030 55590 ) L1M1_PR_MR
NEW met1 ( 128110 55590 ) M1M2_PR
NEW li1 ( 128570 58650 ) L1M1_PR_MR
NEW met1 ( 128110 58310 ) M1M2_PR
NEW met1 ( 131330 60350 ) M1M2_PR
NEW met1 ( 131330 58310 ) M1M2_PR
NEW met1 ( 160310 60350 ) M1M2_PR
NEW met1 ( 160310 88910 ) M1M2_PR
NEW met1 ( 173190 88910 ) M1M2_PR
NEW met1 ( 65550 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 173190 128350 ) RECT ( -355 -70 0 70 ) ;
- net91 ( ANTENNA__437__A DIODE ) ( ANTENNA__107__A DIODE ) ( input91 X ) ( _107_ A ) ( _437_ A ) + USE SIGNAL
+ ROUTED met1 ( 127650 57970 ) ( * 58650 )
NEW met1 ( 127650 57970 ) ( 128110 * )
NEW met1 ( 128110 57630 ) ( * 57970 )
NEW met1 ( 128110 57630 ) ( 130870 * )
NEW met1 ( 117070 20910 ) ( 124430 * )
NEW met2 ( 124430 20910 ) ( * 57630 )
NEW met1 ( 124430 57630 ) ( 128110 * )
NEW met1 ( 114310 20910 ) ( 117070 * )
NEW met2 ( 113850 20910 ) ( * 26010 )
NEW met1 ( 113850 20910 ) ( 114310 * )
NEW met1 ( 72910 25330 ) ( * 26010 )
NEW met1 ( 63250 25330 ) ( 72910 * )
NEW met1 ( 63250 25330 ) ( * 25670 )
NEW met1 ( 60950 25670 ) ( 63250 * )
NEW met1 ( 60950 25670 ) ( * 26010 )
NEW met1 ( 59110 26010 ) ( 60950 * )
NEW met1 ( 59110 25670 ) ( * 26010 )
NEW met1 ( 48530 25670 ) ( 59110 * )
NEW met1 ( 72910 26010 ) ( 113850 * )
NEW li1 ( 127650 58650 ) L1M1_PR_MR
NEW li1 ( 130870 57630 ) L1M1_PR_MR
NEW li1 ( 117070 20910 ) L1M1_PR_MR
NEW met1 ( 124430 20910 ) M1M2_PR
NEW met1 ( 124430 57630 ) M1M2_PR
NEW li1 ( 114310 20910 ) L1M1_PR_MR
NEW met1 ( 113850 26010 ) M1M2_PR
NEW met1 ( 113850 20910 ) M1M2_PR
NEW li1 ( 48530 25670 ) L1M1_PR_MR ;
- net92 ( ANTENNA__410__A DIODE ) ( ANTENNA__111__B DIODE ) ( input92 X ) ( _111_ B ) ( _410_ A ) + USE SIGNAL
+ ROUTED met1 ( 43010 86190 ) ( 47150 * )
NEW met1 ( 153870 83810 ) ( 154330 * )
NEW met1 ( 153870 82450 ) ( 157550 * )
NEW met2 ( 153870 82450 ) ( * 83810 )
NEW met1 ( 15410 22610 ) ( 29670 * )
NEW met1 ( 29670 22610 ) ( * 23290 )
NEW met1 ( 29670 23290 ) ( 35190 * )
NEW met1 ( 35190 22950 ) ( * 23290 )
NEW met1 ( 35190 22950 ) ( 36570 * )
NEW met1 ( 36570 22950 ) ( * 23290 )
NEW met1 ( 36570 23290 ) ( 41170 * )
NEW met2 ( 41170 23290 ) ( * 30430 )
NEW met1 ( 41170 30430 ) ( 42550 * )
NEW met2 ( 42550 30430 ) ( * 31450 )
NEW met1 ( 42550 31450 ) ( 43470 * )
NEW met2 ( 43470 31450 ) ( * 53890 )
NEW met1 ( 43470 53890 ) ( 47150 * )
NEW met2 ( 47150 53890 ) ( * 86190 )
NEW met1 ( 43010 125630 ) ( 47150 * )
NEW met1 ( 48990 128690 ) ( 49450 * )
NEW met1 ( 48990 128690 ) ( * 129030 )
NEW met1 ( 46690 129030 ) ( 48990 * )
NEW met2 ( 46690 125630 ) ( * 129030 )
NEW met1 ( 53590 126990 ) ( * 127330 )
NEW met1 ( 46690 126990 ) ( 53590 * )
NEW met2 ( 43010 86190 ) ( * 125630 )
NEW met1 ( 53590 127330 ) ( 153870 * )
NEW met2 ( 153870 83810 ) ( * 127330 )
NEW met1 ( 43010 86190 ) M1M2_PR
NEW met1 ( 47150 86190 ) M1M2_PR
NEW li1 ( 154330 83810 ) L1M1_PR_MR
NEW met1 ( 153870 83810 ) M1M2_PR
NEW li1 ( 157550 82450 ) L1M1_PR_MR
NEW met1 ( 153870 82450 ) M1M2_PR
NEW li1 ( 15410 22610 ) L1M1_PR_MR
NEW met1 ( 41170 23290 ) M1M2_PR
NEW met1 ( 41170 30430 ) M1M2_PR
NEW met1 ( 42550 30430 ) M1M2_PR
NEW met1 ( 42550 31450 ) M1M2_PR
NEW met1 ( 43470 31450 ) M1M2_PR
NEW met1 ( 43470 53890 ) M1M2_PR
NEW met1 ( 47150 53890 ) M1M2_PR
NEW li1 ( 47150 125630 ) L1M1_PR_MR
NEW met1 ( 43010 125630 ) M1M2_PR
NEW li1 ( 49450 128690 ) L1M1_PR_MR
NEW met1 ( 46690 129030 ) M1M2_PR
NEW met1 ( 46690 125630 ) M1M2_PR
NEW met1 ( 46690 126990 ) M1M2_PR
NEW met1 ( 153870 127330 ) M1M2_PR
NEW met1 ( 46690 125630 ) RECT ( -595 -70 0 70 )
NEW met2 ( 46690 126990 ) RECT ( -70 -485 70 0 ) ;
- net93 ( ANTENNA__438__A DIODE ) ( ANTENNA__109__B DIODE ) ( input93 X ) ( _109_ B ) ( _438_ A ) + USE SIGNAL
+ ROUTED met1 ( 175490 230350 ) ( 205390 * )
NEW met1 ( 175490 229670 ) ( * 230350 )
NEW met1 ( 205390 232730 ) ( 206770 * )
NEW met2 ( 205390 230350 ) ( * 232730 )
NEW met2 ( 60030 24990 ) ( 60490 * )
NEW met2 ( 60490 24990 ) ( * 45730 )
NEW met2 ( 60030 45730 ) ( 60490 * )
NEW met1 ( 54970 24990 ) ( 60030 * )
NEW met1 ( 51750 20910 ) ( 54970 * )
NEW met2 ( 54970 20910 ) ( * 24990 )
NEW met2 ( 60030 45730 ) ( * 230010 )
NEW met1 ( 60030 230010 ) ( 110400 * )
NEW met1 ( 110400 229670 ) ( * 230010 )
NEW met1 ( 110400 229670 ) ( 175490 * )
NEW li1 ( 205390 230350 ) L1M1_PR_MR
NEW li1 ( 206770 232730 ) L1M1_PR_MR
NEW met1 ( 205390 232730 ) M1M2_PR
NEW met1 ( 205390 230350 ) M1M2_PR
NEW li1 ( 60030 24990 ) L1M1_PR_MR
NEW met1 ( 60030 24990 ) M1M2_PR
NEW li1 ( 54970 24990 ) L1M1_PR_MR
NEW li1 ( 51750 20910 ) L1M1_PR_MR
NEW met1 ( 54970 20910 ) M1M2_PR
NEW met1 ( 54970 24990 ) M1M2_PR
NEW met1 ( 60030 230010 ) M1M2_PR
NEW met1 ( 205390 230350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 60030 24990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 54970 24990 ) RECT ( -595 -70 0 70 ) ;
- net94 ( ANTENNA__439__A DIODE ) ( ANTENNA__109__A DIODE ) ( input94 X ) ( _109_ A ) ( _439_ A ) + USE SIGNAL
+ ROUTED met1 ( 175030 95710 ) ( 176870 * )
NEW met2 ( 176870 74970 ) ( * 95710 )
NEW met1 ( 172730 74970 ) ( 176870 * )
NEW met1 ( 175950 98770 ) ( 176870 * )
NEW met2 ( 176870 95710 ) ( * 98770 )
NEW met1 ( 101890 22610 ) ( * 23290 )
NEW met1 ( 101890 22610 ) ( 109250 * )
NEW met1 ( 109250 22610 ) ( * 23290 )
NEW met2 ( 177790 22950 ) ( * 38590 )
NEW met1 ( 172730 38590 ) ( 177790 * )
NEW met2 ( 172730 38590 ) ( * 74970 )
NEW met1 ( 142830 22950 ) ( * 23290 )
NEW met1 ( 109250 23290 ) ( 142830 * )
NEW met1 ( 142830 22950 ) ( 177790 * )
NEW met2 ( 64170 23630 ) ( * 25670 )
NEW met1 ( 64170 23630 ) ( 77050 * )
NEW met1 ( 77050 23290 ) ( * 23630 )
NEW met2 ( 59570 23970 ) ( * 25670 )
NEW met1 ( 59570 23970 ) ( 64170 * )
NEW met1 ( 64170 23630 ) ( * 23970 )
NEW met1 ( 58650 21250 ) ( 59570 * )
NEW met2 ( 59570 21250 ) ( * 23970 )
NEW met1 ( 77050 23290 ) ( 101890 * )
NEW li1 ( 175030 95710 ) L1M1_PR_MR
NEW met1 ( 176870 95710 ) M1M2_PR
NEW met1 ( 176870 74970 ) M1M2_PR
NEW met1 ( 172730 74970 ) M1M2_PR
NEW li1 ( 175950 98770 ) L1M1_PR_MR
NEW met1 ( 176870 98770 ) M1M2_PR
NEW met1 ( 177790 22950 ) M1M2_PR
NEW met1 ( 177790 38590 ) M1M2_PR
NEW met1 ( 172730 38590 ) M1M2_PR
NEW li1 ( 64170 25670 ) L1M1_PR_MR
NEW met1 ( 64170 25670 ) M1M2_PR
NEW met1 ( 64170 23630 ) M1M2_PR
NEW li1 ( 59570 25670 ) L1M1_PR_MR
NEW met1 ( 59570 25670 ) M1M2_PR
NEW met1 ( 59570 23970 ) M1M2_PR
NEW li1 ( 58650 21250 ) L1M1_PR_MR
NEW met1 ( 59570 21250 ) M1M2_PR
NEW met1 ( 64170 25670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 59570 25670 ) RECT ( 0 -70 355 70 ) ;
- net95 ( ANTENNA__411__A DIODE ) ( ANTENNA__111__A DIODE ) ( input95 X ) ( _111_ A ) ( _411_ A ) + USE SIGNAL
+ ROUTED met1 ( 97290 169490 ) ( 98670 * )
NEW met2 ( 97290 168130 ) ( * 169490 )
NEW met1 ( 62100 168130 ) ( 97290 * )
NEW met1 ( 44850 167790 ) ( 62100 * )
NEW met1 ( 62100 167790 ) ( * 168130 )
NEW met1 ( 20930 23970 ) ( 32430 * )
NEW met1 ( 32430 23630 ) ( * 23970 )
NEW met1 ( 32430 23630 ) ( 41630 * )
NEW met2 ( 41630 23630 ) ( * 25670 )
NEW met1 ( 41630 25670 ) ( 46230 * )
NEW met2 ( 46230 25670 ) ( * 36380 )
NEW met2 ( 44850 36380 ) ( 46230 * )
NEW met1 ( 44850 130050 ) ( 45310 * )
NEW met2 ( 44850 36380 ) ( * 130050 )
NEW met2 ( 44850 130050 ) ( * 167790 )
NEW met1 ( 49910 129030 ) ( 50370 * )
NEW met1 ( 50370 129030 ) ( * 130050 )
NEW met1 ( 45310 130050 ) ( 50370 * )
NEW li1 ( 97290 168130 ) L1M1_PR_MR
NEW li1 ( 98670 169490 ) L1M1_PR_MR
NEW met1 ( 97290 169490 ) M1M2_PR
NEW met1 ( 97290 168130 ) M1M2_PR
NEW met1 ( 44850 167790 ) M1M2_PR
NEW li1 ( 20930 23970 ) L1M1_PR_MR
NEW met1 ( 41630 23630 ) M1M2_PR
NEW met1 ( 41630 25670 ) M1M2_PR
NEW met1 ( 46230 25670 ) M1M2_PR
NEW li1 ( 45310 130050 ) L1M1_PR_MR
NEW met1 ( 44850 130050 ) M1M2_PR
NEW li1 ( 49910 129030 ) L1M1_PR_MR
NEW met1 ( 97290 168130 ) RECT ( -595 -70 0 70 ) ;
- net96 ( ANTENNA__412__A DIODE ) ( ANTENNA__111__D DIODE ) ( input96 X ) ( _111_ D ) ( _412_ A ) + USE SIGNAL
+ ROUTED met3 ( 60260 22780 ) ( * 23460 )
NEW met3 ( 57500 22780 ) ( 60260 * )
NEW met3 ( 57500 22780 ) ( * 23460 )
NEW met3 ( 18860 23460 ) ( 57500 * )
NEW met3 ( 18860 23460 ) ( * 25500 )
NEW met3 ( 15410 25500 ) ( 18860 * )
NEW met2 ( 15410 25500 ) ( * 25670 )
NEW met1 ( 14950 25670 ) ( 15410 * )
NEW met2 ( 43010 62100 ) ( 43930 * )
NEW met2 ( 43010 33660 ) ( * 62100 )
NEW met3 ( 42780 33660 ) ( 43010 * )
NEW met4 ( 42780 23460 ) ( * 33660 )
NEW met1 ( 43930 131070 ) ( 45310 * )
NEW met1 ( 43930 129370 ) ( 48070 * )
NEW met2 ( 43930 62100 ) ( * 131070 )
NEW met1 ( 221490 38590 ) ( 221950 * )
NEW met2 ( 221490 23460 ) ( * 38590 )
NEW met1 ( 221490 39270 ) ( 228390 * )
NEW met2 ( 221490 38590 ) ( * 39270 )
NEW met3 ( 60260 23460 ) ( 221490 * )
NEW met2 ( 15410 25500 ) M2M3_PR_M
NEW met1 ( 15410 25670 ) M1M2_PR
NEW li1 ( 14950 25670 ) L1M1_PR_MR
NEW met2 ( 43010 33660 ) M2M3_PR_M
NEW met3 ( 42780 33660 ) M3M4_PR_M
NEW met3 ( 42780 23460 ) M3M4_PR_M
NEW li1 ( 45310 131070 ) L1M1_PR_MR
NEW met1 ( 43930 131070 ) M1M2_PR
NEW li1 ( 48070 129370 ) L1M1_PR_MR
NEW met1 ( 43930 129370 ) M1M2_PR
NEW li1 ( 221950 38590 ) L1M1_PR_MR
NEW met1 ( 221490 38590 ) M1M2_PR
NEW met2 ( 221490 23460 ) M2M3_PR_M
NEW li1 ( 228390 39270 ) L1M1_PR_MR
NEW met1 ( 221490 39270 ) M1M2_PR
NEW met3 ( 43010 33660 ) RECT ( 0 -150 390 150 )
NEW met3 ( 42780 23460 ) RECT ( -800 -150 0 150 )
NEW met2 ( 43930 129370 ) RECT ( -70 -485 70 0 ) ;
- net97 ( ANTENNA__413__A DIODE ) ( ANTENNA__111__C DIODE ) ( input97 X ) ( _111_ C ) ( _413_ A ) + USE SIGNAL
+ ROUTED met1 ( 43930 52190 ) ( 47150 * )
NEW met1 ( 47150 53210 ) ( 49910 * )
NEW met2 ( 47150 52190 ) ( * 53210 )
NEW met1 ( 48070 128350 ) ( 48760 * )
NEW met1 ( 43010 128690 ) ( 48070 * )
NEW met1 ( 48070 128350 ) ( * 128690 )
NEW met2 ( 48070 53210 ) ( * 128350 )
NEW met1 ( 15410 12750 ) ( 34270 * )
NEW met1 ( 34270 12750 ) ( * 13090 )
NEW met1 ( 34270 13090 ) ( 43470 * )
NEW met2 ( 43470 13090 ) ( * 20230 )
NEW met2 ( 43470 20230 ) ( 43930 * )
NEW met2 ( 43930 20230 ) ( * 52190 )
NEW li1 ( 47150 52190 ) L1M1_PR_MR
NEW met1 ( 43930 52190 ) M1M2_PR
NEW li1 ( 49910 53210 ) L1M1_PR_MR
NEW met1 ( 47150 53210 ) M1M2_PR
NEW met1 ( 47150 52190 ) M1M2_PR
NEW met1 ( 48070 53210 ) M1M2_PR
NEW li1 ( 48760 128350 ) L1M1_PR_MR
NEW met1 ( 48070 128350 ) M1M2_PR
NEW li1 ( 43010 128690 ) L1M1_PR_MR
NEW li1 ( 15410 12750 ) L1M1_PR_MR
NEW met1 ( 43470 13090 ) M1M2_PR
NEW met1 ( 47150 52190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 48070 53210 ) RECT ( -595 -70 0 70 ) ;
- net98 ( ANTENNA__414__A DIODE ) ( ANTENNA__113__B DIODE ) ( input98 X ) ( _113_ B ) ( _414_ A ) + USE SIGNAL
+ ROUTED met1 ( 69230 80410 ) ( * 80750 )
NEW met1 ( 66930 80410 ) ( 69230 * )
NEW met2 ( 66930 36890 ) ( * 80410 )
NEW met1 ( 62100 36890 ) ( 66930 * )
NEW met1 ( 15410 37230 ) ( 62100 * )
NEW met1 ( 62100 36890 ) ( * 37230 )
NEW met1 ( 134550 230010 ) ( * 230350 )
NEW met1 ( 130410 230010 ) ( 134550 * )
NEW met2 ( 130410 83300 ) ( * 231710 )
NEW met2 ( 97290 80750 ) ( * 83300 )
NEW met1 ( 69230 80750 ) ( 97290 * )
NEW met3 ( 97290 83300 ) ( 130410 * )
NEW li1 ( 69230 80410 ) L1M1_PR_MR
NEW li1 ( 66930 80410 ) L1M1_PR_MR
NEW met1 ( 66930 80410 ) M1M2_PR
NEW met1 ( 66930 36890 ) M1M2_PR
NEW li1 ( 15410 37230 ) L1M1_PR_MR
NEW li1 ( 130410 231710 ) L1M1_PR_MR
NEW met1 ( 130410 231710 ) M1M2_PR
NEW li1 ( 134550 230350 ) L1M1_PR_MR
NEW met1 ( 130410 230010 ) M1M2_PR
NEW met2 ( 130410 83300 ) M2M3_PR_M
NEW met1 ( 97290 80750 ) M1M2_PR
NEW met2 ( 97290 83300 ) M2M3_PR_M
NEW met1 ( 66930 80410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 130410 231710 ) RECT ( -355 -70 0 70 )
NEW met2 ( 130410 230010 ) RECT ( -70 -485 70 0 ) ;
- net99 ( ANTENNA__415__A DIODE ) ( ANTENNA__113__A DIODE ) ( input99 X ) ( _113_ A ) ( _415_ A ) + USE SIGNAL
+ ROUTED met1 ( 137770 94010 ) ( 149730 * )
NEW met1 ( 137770 94010 ) ( * 94350 )
NEW met1 ( 134550 94350 ) ( 137770 * )
NEW met2 ( 134550 94350 ) ( * 94860 )
NEW met3 ( 131790 94860 ) ( 134550 * )
NEW met1 ( 152950 93670 ) ( * 94010 )
NEW met1 ( 149730 94010 ) ( 152950 * )
NEW met2 ( 26910 31450 ) ( * 48450 )
NEW met1 ( 26910 48450 ) ( 30590 * )
NEW met2 ( 30590 48450 ) ( * 93670 )
NEW met1 ( 129950 228990 ) ( 131790 * )
NEW met2 ( 135010 228990 ) ( * 230010 )
NEW met1 ( 131790 228990 ) ( 135010 * )
NEW met2 ( 131790 94860 ) ( * 228990 )
NEW met2 ( 94990 93670 ) ( * 94860 )
NEW met1 ( 30590 93670 ) ( 94990 * )
NEW met3 ( 94990 94860 ) ( 131790 * )
NEW met1 ( 30590 93670 ) M1M2_PR
NEW met2 ( 131790 94860 ) M2M3_PR_M
NEW li1 ( 149730 94010 ) L1M1_PR_MR
NEW met1 ( 134550 94350 ) M1M2_PR
NEW met2 ( 134550 94860 ) M2M3_PR_M
NEW li1 ( 152950 93670 ) L1M1_PR_MR
NEW li1 ( 26910 31450 ) L1M1_PR_MR
NEW met1 ( 26910 31450 ) M1M2_PR
NEW met1 ( 26910 48450 ) M1M2_PR
NEW met1 ( 30590 48450 ) M1M2_PR
NEW li1 ( 129950 228990 ) L1M1_PR_MR
NEW met1 ( 131790 228990 ) M1M2_PR
NEW li1 ( 135010 230010 ) L1M1_PR_MR
NEW met1 ( 135010 230010 ) M1M2_PR
NEW met1 ( 135010 228990 ) M1M2_PR
NEW met1 ( 94990 93670 ) M1M2_PR
NEW met2 ( 94990 94860 ) M2M3_PR_M
NEW met1 ( 26910 31450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135010 230010 ) RECT ( 0 -70 355 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) ( ANTENNA__440__A1 DIODE ) ( _440_ A1 ) + USE CLOCK
+ ROUTED met2 ( 230 3740 0 ) ( * 101490 )
NEW met1 ( 97290 103870 ) ( 98670 * )
NEW met2 ( 97290 101490 ) ( * 103870 )
NEW met1 ( 96370 101490 ) ( 97290 * )
NEW met1 ( 230 101490 ) ( 96370 * )
NEW met1 ( 230 101490 ) M1M2_PR
NEW li1 ( 96370 101490 ) L1M1_PR_MR
NEW li1 ( 98670 103870 ) L1M1_PR_MR
NEW met1 ( 97290 103870 ) M1M2_PR
NEW met1 ( 97290 101490 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( ANTENNA_input69_A DIODE ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 2990 12070 ) ( 7130 * )
NEW met2 ( 690 3740 0 ) ( * 12070 )
NEW met1 ( 690 12070 ) ( 2990 * )
NEW met1 ( 2990 57630 ) ( 7130 * )
NEW met2 ( 2990 12070 ) ( * 57630 )
NEW li1 ( 7130 12070 ) L1M1_PR_MR
NEW met1 ( 2990 12070 ) M1M2_PR
NEW met1 ( 690 12070 ) M1M2_PR
NEW met1 ( 2990 57630 ) M1M2_PR
NEW li1 ( 7130 57630 ) L1M1_PR_MR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( output349 X ) + USE SIGNAL
+ ROUTED met2 ( 1150 3740 0 ) ( * 24990 )
NEW met1 ( 1150 24990 ) ( 13800 * )
NEW met1 ( 13800 24990 ) ( * 25330 )
NEW met1 ( 13800 25330 ) ( 28290 * )
NEW met1 ( 1150 24990 ) M1M2_PR
NEW li1 ( 28290 25330 ) L1M1_PR_MR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( ANTENNA_input70_A DIODE ) ( input70 A ) + USE SIGNAL
+ ROUTED met1 ( 2530 17170 ) ( 8970 * )
NEW met2 ( 2530 11390 ) ( * 17170 )
NEW met2 ( 2530 11390 ) ( 2990 * )
NEW met2 ( 2990 3740 0 ) ( * 11390 )
NEW met2 ( 4370 17170 ) ( * 34850 )
NEW met2 ( 16330 34850 ) ( * 47090 )
NEW met1 ( 16330 47090 ) ( 25990 * )
NEW met1 ( 4370 34850 ) ( 16330 * )
NEW li1 ( 8970 17170 ) L1M1_PR_MR
NEW met1 ( 2530 17170 ) M1M2_PR
NEW met1 ( 4370 17170 ) M1M2_PR
NEW met1 ( 4370 34850 ) M1M2_PR
NEW met1 ( 16330 34850 ) M1M2_PR
NEW met1 ( 16330 47090 ) M1M2_PR
NEW li1 ( 25990 47090 ) L1M1_PR_MR
NEW met1 ( 4370 17170 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( ANTENNA_input71_A DIODE ) ( input71 A ) + USE SIGNAL
+ ROUTED met2 ( 19550 6460 ) ( 20010 * )
NEW met2 ( 19550 3740 0 ) ( * 6460 )
NEW met1 ( 20010 12070 ) ( 20470 * )
NEW met1 ( 20010 20570 ) ( 23230 * )
NEW met2 ( 23230 20570 ) ( * 49470 )
NEW met1 ( 22310 49470 ) ( 23230 * )
NEW met2 ( 20010 6460 ) ( * 20570 )
NEW li1 ( 20470 12070 ) L1M1_PR_MR
NEW met1 ( 20010 12070 ) M1M2_PR
NEW met1 ( 20010 20570 ) M1M2_PR
NEW met1 ( 23230 20570 ) M1M2_PR
NEW met1 ( 23230 49470 ) M1M2_PR
NEW li1 ( 22310 49470 ) L1M1_PR_MR
NEW met2 ( 20010 12070 ) RECT ( -70 -485 70 0 ) ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( ANTENNA_input72_A DIODE ) ( input72 A ) + USE SIGNAL
+ ROUTED met1 ( 25990 11730 ) ( * 12070 )
NEW met1 ( 25990 11730 ) ( 31050 * )
NEW met1 ( 31050 11390 ) ( * 11730 )
NEW met2 ( 31050 11220 ) ( * 11390 )
NEW met3 ( 28980 11220 ) ( 31050 * )
NEW met2 ( 20930 3740 0 ) ( * 5950 )
NEW li1 ( 20930 5950 ) ( * 7650 )
NEW met1 ( 20930 7650 ) ( 21850 * )
NEW met2 ( 21850 7650 ) ( * 11730 )
NEW met1 ( 21850 11730 ) ( 25990 * )
NEW met3 ( 28980 15980 ) ( 29210 * )
NEW met2 ( 29210 15980 ) ( * 44030 )
NEW met4 ( 28980 11220 ) ( * 15980 )
NEW li1 ( 25990 12070 ) L1M1_PR_MR
NEW met1 ( 31050 11390 ) M1M2_PR
NEW met2 ( 31050 11220 ) M2M3_PR_M
NEW met3 ( 28980 11220 ) M3M4_PR_M
NEW li1 ( 20930 5950 ) L1M1_PR_MR
NEW met1 ( 20930 5950 ) M1M2_PR
NEW li1 ( 20930 7650 ) L1M1_PR_MR
NEW met1 ( 21850 7650 ) M1M2_PR
NEW met1 ( 21850 11730 ) M1M2_PR
NEW met3 ( 28980 15980 ) M3M4_PR_M
NEW met2 ( 29210 15980 ) M2M3_PR_M
NEW li1 ( 29210 44030 ) L1M1_PR_MR
NEW met1 ( 29210 44030 ) M1M2_PR
NEW met1 ( 20930 5950 ) RECT ( -355 -70 0 70 )
NEW met3 ( 28980 15980 ) RECT ( -390 -150 0 150 )
NEW met1 ( 29210 44030 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( ANTENNA_input73_A DIODE ) ( input73 A ) + USE SIGNAL
+ ROUTED met1 ( 24610 20230 ) ( * 20570 )
NEW met1 ( 22310 20230 ) ( 24610 * )
NEW met2 ( 22310 20230 ) ( * 23630 )
NEW met1 ( 22310 23630 ) ( 25070 * )
NEW met2 ( 25070 23630 ) ( * 30260 )
NEW met3 ( 25070 30260 ) ( 29670 * )
NEW met2 ( 29670 30260 ) ( * 44030 )
NEW met1 ( 29670 44030 ) ( 31970 * )
NEW met2 ( 22310 3740 0 ) ( * 11220 )
NEW met3 ( 17940 11220 ) ( 22310 * )
NEW met4 ( 17940 11220 ) ( * 20060 )
NEW met3 ( 17940 20060 ) ( 22310 * )
NEW met2 ( 22310 20060 ) ( * 20230 )
NEW li1 ( 24610 20570 ) L1M1_PR_MR
NEW met1 ( 22310 20230 ) M1M2_PR
NEW met1 ( 22310 23630 ) M1M2_PR
NEW met1 ( 25070 23630 ) M1M2_PR
NEW met2 ( 25070 30260 ) M2M3_PR_M
NEW met2 ( 29670 30260 ) M2M3_PR_M
NEW met1 ( 29670 44030 ) M1M2_PR
NEW li1 ( 31970 44030 ) L1M1_PR_MR
NEW met2 ( 22310 11220 ) M2M3_PR_M
NEW met3 ( 17940 11220 ) M3M4_PR_M
NEW met3 ( 17940 20060 ) M3M4_PR_M
NEW met2 ( 22310 20060 ) M2M3_PR_M ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( ANTENNA_input74_A DIODE ) ( input74 A ) + USE SIGNAL
+ ROUTED li1 ( 38410 6970 ) ( * 10030 )
NEW met1 ( 34730 6970 ) ( 38410 * )
NEW met1 ( 34730 6290 ) ( * 6970 )
NEW met1 ( 23690 6290 ) ( 34730 * )
NEW met1 ( 23690 5950 ) ( * 6290 )
NEW met2 ( 23690 3740 0 ) ( * 5950 )
NEW met1 ( 38410 11730 ) ( 39790 * )
NEW met1 ( 38410 38590 ) ( 40250 * )
NEW met2 ( 38410 10030 ) ( * 38590 )
NEW li1 ( 38410 10030 ) L1M1_PR_MR
NEW met1 ( 38410 10030 ) M1M2_PR
NEW li1 ( 38410 6970 ) L1M1_PR_MR
NEW met1 ( 23690 5950 ) M1M2_PR
NEW li1 ( 39790 11730 ) L1M1_PR_MR
NEW met1 ( 38410 11730 ) M1M2_PR
NEW met1 ( 38410 38590 ) M1M2_PR
NEW li1 ( 40250 38590 ) L1M1_PR_MR
NEW met1 ( 38410 10030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 38410 11730 ) RECT ( -70 -485 70 0 ) ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( ANTENNA_input75_A DIODE ) ( input75 A ) + USE SIGNAL
+ ROUTED met1 ( 25990 22950 ) ( 28750 * )
NEW met2 ( 28750 22950 ) ( * 46750 )
NEW met1 ( 25070 22950 ) ( 25990 * )
NEW met2 ( 25070 3740 0 ) ( * 22950 )
NEW li1 ( 25990 22950 ) L1M1_PR_MR
NEW met1 ( 28750 22950 ) M1M2_PR
NEW li1 ( 28750 46750 ) L1M1_PR_MR
NEW met1 ( 28750 46750 ) M1M2_PR
NEW met1 ( 25070 22950 ) M1M2_PR
NEW met1 ( 28750 46750 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( ANTENNA_input76_A DIODE ) ( input76 A ) + USE SIGNAL
+ ROUTED met2 ( 26450 3740 0 ) ( * 12580 )
NEW met3 ( 26220 12580 ) ( 26450 * )
NEW met1 ( 31970 20570 ) ( * 20910 )
NEW met1 ( 31970 20910 ) ( 33350 * )
NEW met2 ( 33350 20910 ) ( * 41650 )
NEW met1 ( 33350 41650 ) ( 34730 * )
NEW met3 ( 26220 20060 ) ( 28750 * )
NEW met2 ( 28750 20060 ) ( * 20570 )
NEW met1 ( 28750 20570 ) ( 31970 * )
NEW met4 ( 26220 12580 ) ( * 20060 )
NEW met2 ( 26450 12580 ) M2M3_PR_M
NEW met3 ( 26220 12580 ) M3M4_PR_M
NEW li1 ( 31970 20570 ) L1M1_PR_MR
NEW met1 ( 33350 20910 ) M1M2_PR
NEW met1 ( 33350 41650 ) M1M2_PR
NEW li1 ( 34730 41650 ) L1M1_PR_MR
NEW met3 ( 26220 20060 ) M3M4_PR_M
NEW met2 ( 28750 20060 ) M2M3_PR_M
NEW met1 ( 28750 20570 ) M1M2_PR
NEW met3 ( 26450 12580 ) RECT ( 0 -150 390 150 ) ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( ANTENNA_input77_A DIODE ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 27830 3740 0 ) ( * 6970 )
NEW met1 ( 27830 6970 ) ( 28750 * )
NEW met1 ( 30130 22950 ) ( 31970 * )
NEW met2 ( 31970 22950 ) ( * 27710 )
NEW met1 ( 31970 27710 ) ( 32890 * )
NEW met2 ( 32890 27710 ) ( * 43010 )
NEW met1 ( 32890 43010 ) ( 37490 * )
NEW met3 ( 28750 13940 ) ( 29900 * )
NEW met3 ( 29900 13940 ) ( * 14620 )
NEW met3 ( 29900 14620 ) ( 32430 * )
NEW met2 ( 32430 14620 ) ( * 16660 )
NEW met2 ( 31970 16660 ) ( 32430 * )
NEW met2 ( 31970 16660 ) ( * 22950 )
NEW met2 ( 28750 6970 ) ( * 13940 )
NEW met1 ( 27830 6970 ) M1M2_PR
NEW met1 ( 28750 6970 ) M1M2_PR
NEW li1 ( 30130 22950 ) L1M1_PR_MR
NEW met1 ( 31970 22950 ) M1M2_PR
NEW met1 ( 31970 27710 ) M1M2_PR
NEW met1 ( 32890 27710 ) M1M2_PR
NEW met1 ( 32890 43010 ) M1M2_PR
NEW li1 ( 37490 43010 ) L1M1_PR_MR
NEW met2 ( 28750 13940 ) M2M3_PR_M
NEW met2 ( 32430 14620 ) M2M3_PR_M ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( ANTENNA_input78_A DIODE ) ( input78 A ) + USE SIGNAL
+ ROUTED met2 ( 29670 3740 0 ) ( * 12580 )
NEW met3 ( 29670 12580 ) ( 29900 * )
NEW met3 ( 29900 28900 ) ( 42090 * )
NEW met2 ( 42090 28900 ) ( * 35870 )
NEW met1 ( 42090 35870 ) ( 43010 * )
NEW met1 ( 22310 15130 ) ( * 15470 )
NEW met1 ( 22310 15470 ) ( 27370 * )
NEW met2 ( 27370 15300 ) ( * 15470 )
NEW met3 ( 27370 15300 ) ( 29900 * )
NEW met4 ( 29900 12580 ) ( * 28900 )
NEW met2 ( 29670 12580 ) M2M3_PR_M
NEW met3 ( 29900 12580 ) M3M4_PR_M
NEW met3 ( 29900 28900 ) M3M4_PR_M
NEW met2 ( 42090 28900 ) M2M3_PR_M
NEW met1 ( 42090 35870 ) M1M2_PR
NEW li1 ( 43010 35870 ) L1M1_PR_MR
NEW li1 ( 22310 15130 ) L1M1_PR_MR
NEW met1 ( 27370 15470 ) M1M2_PR
NEW met2 ( 27370 15300 ) M2M3_PR_M
NEW met3 ( 29900 15300 ) M3M4_PR_M
NEW met3 ( 29670 12580 ) RECT ( -390 -150 0 150 )
NEW met4 ( 29900 15300 ) RECT ( -150 -800 150 0 ) ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( ANTENNA_input79_A DIODE ) ( input79 A ) + USE SIGNAL
+ ROUTED met2 ( 31050 3740 0 ) ( * 6460 )
NEW met2 ( 30590 6460 ) ( 31050 * )
NEW met1 ( 39330 17170 ) ( * 17510 )
NEW met1 ( 39330 17170 ) ( 43930 * )
NEW met2 ( 43930 17170 ) ( * 19890 )
NEW met2 ( 43930 19890 ) ( 44390 * )
NEW met2 ( 44390 19890 ) ( * 21250 )
NEW met1 ( 44390 21250 ) ( 45770 * )
NEW met2 ( 45770 21250 ) ( * 35870 )
NEW met1 ( 30590 15130 ) ( * 15470 )
NEW met1 ( 30590 15470 ) ( 34730 * )
NEW met2 ( 34730 15470 ) ( * 16830 )
NEW met1 ( 34730 16830 ) ( 39330 * )
NEW met1 ( 39330 16830 ) ( * 17170 )
NEW met2 ( 30590 6460 ) ( * 15130 )
NEW li1 ( 39330 17510 ) L1M1_PR_MR
NEW met1 ( 43930 17170 ) M1M2_PR
NEW met1 ( 44390 21250 ) M1M2_PR
NEW met1 ( 45770 21250 ) M1M2_PR
NEW li1 ( 45770 35870 ) L1M1_PR_MR
NEW met1 ( 45770 35870 ) M1M2_PR
NEW met1 ( 30590 15130 ) M1M2_PR
NEW met1 ( 34730 15470 ) M1M2_PR
NEW met1 ( 34730 16830 ) M1M2_PR
NEW met1 ( 45770 35870 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( ANTENNA_input80_A DIODE ) ( input80 A ) + USE SIGNAL
+ ROUTED met1 ( 38410 20570 ) ( 39330 * )
NEW met2 ( 39330 20570 ) ( * 23970 )
NEW met3 ( 32430 13940 ) ( 33580 * )
NEW met3 ( 33580 13940 ) ( * 14620 )
NEW met3 ( 33580 14620 ) ( 36110 * )
NEW met2 ( 36110 14620 ) ( * 20570 )
NEW met1 ( 36110 20570 ) ( 38410 * )
NEW met2 ( 32430 3740 0 ) ( * 13940 )
NEW met2 ( 49910 23970 ) ( * 24140 )
NEW met3 ( 49910 24140 ) ( 50140 * )
NEW met4 ( 50140 24140 ) ( * 31620 )
NEW met3 ( 50140 31620 ) ( 50370 * )
NEW met2 ( 50370 31620 ) ( * 35870 )
NEW met1 ( 48990 35870 ) ( 50370 * )
NEW met1 ( 39330 23970 ) ( 49910 * )
NEW li1 ( 38410 20570 ) L1M1_PR_MR
NEW met1 ( 39330 20570 ) M1M2_PR
NEW met1 ( 39330 23970 ) M1M2_PR
NEW met2 ( 32430 13940 ) M2M3_PR_M
NEW met2 ( 36110 14620 ) M2M3_PR_M
NEW met1 ( 36110 20570 ) M1M2_PR
NEW met1 ( 49910 23970 ) M1M2_PR
NEW met2 ( 49910 24140 ) M2M3_PR_M
NEW met3 ( 50140 24140 ) M3M4_PR_M
NEW met3 ( 50140 31620 ) M3M4_PR_M
NEW met2 ( 50370 31620 ) M2M3_PR_M
NEW met1 ( 50370 35870 ) M1M2_PR
NEW li1 ( 48990 35870 ) L1M1_PR_MR
NEW met3 ( 49910 24140 ) RECT ( -390 -150 0 150 )
NEW met3 ( 50140 31620 ) RECT ( -390 -150 0 150 ) ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( ANTENNA_input81_A DIODE ) ( input81 A ) + USE SIGNAL
+ ROUTED met1 ( 8970 20230 ) ( * 20910 )
NEW met1 ( 4830 20230 ) ( 8970 * )
NEW met2 ( 4830 3740 0 ) ( * 20230 )
NEW met1 ( 8970 20910 ) ( 10350 * )
NEW met2 ( 10350 20910 ) ( * 57630 )
NEW li1 ( 8970 20910 ) L1M1_PR_MR
NEW met1 ( 4830 20230 ) M1M2_PR
NEW met1 ( 10350 20910 ) M1M2_PR
NEW li1 ( 10350 57630 ) L1M1_PR_MR
NEW met1 ( 10350 57630 ) M1M2_PR
NEW met1 ( 10350 57630 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( ANTENNA_input82_A DIODE ) ( input82 A ) + USE SIGNAL
+ ROUTED met2 ( 33810 3740 0 ) ( * 13090 )
NEW met1 ( 31050 13090 ) ( 33810 * )
NEW met2 ( 34730 22950 ) ( * 44030 )
NEW met1 ( 31050 19890 ) ( 34730 * )
NEW met2 ( 34730 19890 ) ( * 22950 )
NEW met2 ( 31050 13090 ) ( * 19890 )
NEW met1 ( 33810 13090 ) M1M2_PR
NEW met1 ( 31050 13090 ) M1M2_PR
NEW li1 ( 34730 22950 ) L1M1_PR_MR
NEW met1 ( 34730 22950 ) M1M2_PR
NEW li1 ( 34730 44030 ) L1M1_PR_MR
NEW met1 ( 34730 44030 ) M1M2_PR
NEW met1 ( 31050 19890 ) M1M2_PR
NEW met1 ( 34730 19890 ) M1M2_PR
NEW met1 ( 34730 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 34730 44030 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( ANTENNA_input83_A DIODE ) ( input83 A ) + USE SIGNAL
+ ROUTED met2 ( 35190 3740 0 ) ( * 13260 )
NEW met3 ( 35190 13260 ) ( 40020 * )
NEW met1 ( 40710 20570 ) ( 41170 * )
NEW met1 ( 40710 20570 ) ( * 20910 )
NEW met2 ( 40710 20910 ) ( * 29410 )
NEW met3 ( 40020 20740 ) ( 40710 * )
NEW met2 ( 40710 20740 ) ( * 20910 )
NEW met4 ( 40020 13260 ) ( * 20740 )
NEW met2 ( 50830 29410 ) ( * 33150 )
NEW met1 ( 50830 33150 ) ( 53130 * )
NEW met1 ( 40710 29410 ) ( 50830 * )
NEW met2 ( 35190 13260 ) M2M3_PR_M
NEW met3 ( 40020 13260 ) M3M4_PR_M
NEW li1 ( 41170 20570 ) L1M1_PR_MR
NEW met1 ( 40710 20910 ) M1M2_PR
NEW met1 ( 40710 29410 ) M1M2_PR
NEW met3 ( 40020 20740 ) M3M4_PR_M
NEW met2 ( 40710 20740 ) M2M3_PR_M
NEW met1 ( 50830 29410 ) M1M2_PR
NEW met1 ( 50830 33150 ) M1M2_PR
NEW li1 ( 53130 33150 ) L1M1_PR_MR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( ANTENNA_input84_A DIODE ) ( input84 A ) + USE SIGNAL
+ ROUTED met1 ( 39330 26010 ) ( 41170 * )
NEW met2 ( 39330 26010 ) ( * 41650 )
NEW met1 ( 39330 41650 ) ( 40250 * )
NEW met2 ( 36570 25500 ) ( 37030 * )
NEW met2 ( 37030 25500 ) ( * 26010 )
NEW met1 ( 37030 26010 ) ( 39330 * )
NEW met2 ( 36570 3740 0 ) ( * 25500 )
NEW li1 ( 41170 26010 ) L1M1_PR_MR
NEW met1 ( 39330 26010 ) M1M2_PR
NEW met1 ( 39330 41650 ) M1M2_PR
NEW li1 ( 40250 41650 ) L1M1_PR_MR
NEW met1 ( 37030 26010 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( ANTENNA_input85_A DIODE ) ( input85 A ) + USE SIGNAL
+ ROUTED met2 ( 62790 16660 ) ( * 19890 )
NEW met1 ( 62790 19890 ) ( * 20230 )
NEW met1 ( 62790 20230 ) ( 73370 * )
NEW met1 ( 38870 15130 ) ( 41170 * )
NEW met2 ( 41170 15130 ) ( * 16660 )
NEW met2 ( 37950 3740 0 ) ( * 14450 )
NEW met1 ( 37950 14450 ) ( 38870 * )
NEW met1 ( 38870 14450 ) ( * 15130 )
NEW met3 ( 41170 16660 ) ( 62790 * )
NEW met2 ( 62790 16660 ) M2M3_PR_M
NEW met1 ( 62790 19890 ) M1M2_PR
NEW li1 ( 73370 20230 ) L1M1_PR_MR
NEW li1 ( 38870 15130 ) L1M1_PR_MR
NEW met1 ( 41170 15130 ) M1M2_PR
NEW met2 ( 41170 16660 ) M2M3_PR_M
NEW met1 ( 37950 14450 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( ANTENNA_input86_A DIODE ) ( input86 A ) + USE SIGNAL
+ ROUTED met1 ( 40250 28390 ) ( 41630 * )
NEW met2 ( 41630 28390 ) ( * 38590 )
NEW met1 ( 41630 38590 ) ( 44850 * )
NEW met1 ( 39790 28390 ) ( 40250 * )
NEW met2 ( 39790 3740 0 ) ( * 28390 )
NEW li1 ( 40250 28390 ) L1M1_PR_MR
NEW met1 ( 41630 28390 ) M1M2_PR
NEW met1 ( 41630 38590 ) M1M2_PR
NEW li1 ( 44850 38590 ) L1M1_PR_MR
NEW met1 ( 39790 28390 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( ANTENNA_input87_A DIODE ) ( input87 A ) + USE SIGNAL
+ ROUTED met2 ( 41170 3740 0 ) ( * 10540 )
NEW met3 ( 40940 10540 ) ( 41170 * )
NEW met1 ( 62100 21250 ) ( 76130 * )
NEW met1 ( 43930 20910 ) ( 46230 * )
NEW met1 ( 43930 20910 ) ( * 21250 )
NEW met1 ( 41170 21250 ) ( 43930 * )
NEW met2 ( 41170 17340 ) ( * 21250 )
NEW met3 ( 40940 17340 ) ( 41170 * )
NEW met1 ( 62100 20910 ) ( * 21250 )
NEW met1 ( 58190 20910 ) ( 62100 * )
NEW met1 ( 58190 20910 ) ( * 21250 )
NEW met1 ( 46230 21250 ) ( 58190 * )
NEW met1 ( 46230 20910 ) ( * 21250 )
NEW met4 ( 40940 10540 ) ( * 17340 )
NEW li1 ( 76130 21250 ) L1M1_PR_MR
NEW met2 ( 41170 10540 ) M2M3_PR_M
NEW met3 ( 40940 10540 ) M3M4_PR_M
NEW li1 ( 46230 20910 ) L1M1_PR_MR
NEW met1 ( 41170 21250 ) M1M2_PR
NEW met2 ( 41170 17340 ) M2M3_PR_M
NEW met3 ( 40940 17340 ) M3M4_PR_M
NEW met3 ( 41170 10540 ) RECT ( 0 -150 390 150 )
NEW met3 ( 41170 17340 ) RECT ( 0 -150 390 150 ) ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( ANTENNA_input88_A DIODE ) ( input88 A ) + USE SIGNAL
+ ROUTED met2 ( 42550 3740 0 ) ( * 5780 )
NEW met2 ( 42090 5780 ) ( 42550 * )
NEW met2 ( 42090 5780 ) ( * 12410 )
NEW met1 ( 42090 12410 ) ( * 12750 )
NEW met1 ( 42090 12750 ) ( 50830 * )
NEW met2 ( 50830 12750 ) ( * 13800 )
NEW met1 ( 50830 17510 ) ( 51290 * )
NEW met2 ( 51290 17510 ) ( * 35870 )
NEW met2 ( 50830 13800 ) ( 51290 * )
NEW met2 ( 51290 13800 ) ( * 17510 )
NEW met1 ( 42090 12410 ) M1M2_PR
NEW met1 ( 50830 12750 ) M1M2_PR
NEW li1 ( 50830 17510 ) L1M1_PR_MR
NEW met1 ( 51290 17510 ) M1M2_PR
NEW li1 ( 51290 35870 ) L1M1_PR_MR
NEW met1 ( 51290 35870 ) M1M2_PR
NEW met1 ( 51290 35870 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( ANTENNA_input89_A DIODE ) ( input89 A ) + USE SIGNAL
+ ROUTED met2 ( 72450 15130 ) ( * 18190 )
NEW met1 ( 72450 15130 ) ( 79810 * )
NEW met1 ( 62100 18190 ) ( 72450 * )
NEW met1 ( 62100 17850 ) ( * 18190 )
NEW met1 ( 60030 17850 ) ( 62100 * )
NEW met1 ( 60030 17850 ) ( * 18190 )
NEW met2 ( 60030 15130 ) ( * 17850 )
NEW met2 ( 43930 3740 0 ) ( * 15980 )
NEW met2 ( 43930 15980 ) ( 44390 * )
NEW met2 ( 44390 15980 ) ( * 17170 )
NEW met1 ( 44390 17170 ) ( 45770 * )
NEW met1 ( 45770 17170 ) ( * 17510 )
NEW met1 ( 45770 17510 ) ( 47610 * )
NEW met1 ( 47610 17510 ) ( * 18190 )
NEW met1 ( 47610 18190 ) ( 60030 * )
NEW met1 ( 72450 18190 ) M1M2_PR
NEW met1 ( 72450 15130 ) M1M2_PR
NEW li1 ( 79810 15130 ) L1M1_PR_MR
NEW li1 ( 60030 15130 ) L1M1_PR_MR
NEW met1 ( 60030 15130 ) M1M2_PR
NEW met1 ( 60030 17850 ) M1M2_PR
NEW met1 ( 44390 17170 ) M1M2_PR
NEW met1 ( 60030 15130 ) RECT ( 0 -70 355 70 )
NEW met1 ( 60030 17850 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( ANTENNA_input90_A DIODE ) ( input90 A ) + USE SIGNAL
+ ROUTED met2 ( 64170 9690 ) ( * 11730 )
NEW met1 ( 64170 11730 ) ( 76590 * )
NEW met1 ( 76590 14450 ) ( 83490 * )
NEW met2 ( 76590 11730 ) ( * 14450 )
NEW met2 ( 45310 3740 0 ) ( * 9690 )
NEW met1 ( 45310 9690 ) ( 64170 * )
NEW li1 ( 64170 11730 ) L1M1_PR_MR
NEW met1 ( 64170 11730 ) M1M2_PR
NEW met1 ( 64170 9690 ) M1M2_PR
NEW met1 ( 76590 11730 ) M1M2_PR
NEW met1 ( 76590 14450 ) M1M2_PR
NEW li1 ( 83490 14450 ) L1M1_PR_MR
NEW met1 ( 45310 9690 ) M1M2_PR
NEW met1 ( 64170 11730 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( ANTENNA_input91_A DIODE ) ( input91 A ) + USE SIGNAL
+ ROUTED met2 ( 47610 25500 ) ( * 26350 )
NEW met3 ( 46460 25500 ) ( 47610 * )
NEW met4 ( 46460 15980 ) ( * 25500 )
NEW met3 ( 46460 15980 ) ( 46690 * )
NEW met2 ( 46690 3740 0 ) ( * 15980 )
NEW met2 ( 48070 26350 ) ( * 38590 )
NEW met2 ( 47610 26350 ) ( 48070 * )
NEW li1 ( 47610 26350 ) L1M1_PR_MR
NEW met1 ( 47610 26350 ) M1M2_PR
NEW met2 ( 47610 25500 ) M2M3_PR_M
NEW met3 ( 46460 25500 ) M3M4_PR_M
NEW met3 ( 46460 15980 ) M3M4_PR_M
NEW met2 ( 46690 15980 ) M2M3_PR_M
NEW li1 ( 48070 38590 ) L1M1_PR_MR
NEW met1 ( 48070 38590 ) M1M2_PR
NEW met1 ( 47610 26350 ) RECT ( -355 -70 0 70 )
NEW met3 ( 46460 15980 ) RECT ( -390 -150 0 150 )
NEW met1 ( 48070 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( ANTENNA_input92_A DIODE ) ( input92 A ) + USE SIGNAL
+ ROUTED met1 ( 25070 49470 ) ( 25530 * )
NEW met1 ( 14185 22950 ) ( 14950 * )
NEW met2 ( 14950 22950 ) ( * 26690 )
NEW met1 ( 14950 26690 ) ( 26450 * )
NEW met2 ( 26450 26690 ) ( * 36380 )
NEW met2 ( 25530 36380 ) ( 26450 * )
NEW met2 ( 6670 3740 0 ) ( * 14620 )
NEW met2 ( 6210 14620 ) ( 6670 * )
NEW met2 ( 6210 14620 ) ( * 22610 )
NEW met1 ( 6210 22610 ) ( 14030 * )
NEW met1 ( 14030 22610 ) ( * 22950 )
NEW met1 ( 14030 22950 ) ( 14185 * )
NEW met2 ( 25530 36380 ) ( * 49470 )
NEW met1 ( 25530 49470 ) M1M2_PR
NEW li1 ( 25070 49470 ) L1M1_PR_MR
NEW li1 ( 14185 22950 ) L1M1_PR_MR
NEW met1 ( 14950 22950 ) M1M2_PR
NEW met1 ( 14950 26690 ) M1M2_PR
NEW met1 ( 26450 26690 ) M1M2_PR
NEW met1 ( 6210 22610 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( ANTENNA_input93_A DIODE ) ( input93 A ) + USE SIGNAL
+ ROUTED met1 ( 50370 20570 ) ( 56350 * )
NEW met2 ( 56350 20570 ) ( * 33150 )
NEW met1 ( 48530 20570 ) ( 50370 * )
NEW met2 ( 48530 3740 0 ) ( * 20570 )
NEW li1 ( 50370 20570 ) L1M1_PR_MR
NEW met1 ( 56350 20570 ) M1M2_PR
NEW li1 ( 56350 33150 ) L1M1_PR_MR
NEW met1 ( 56350 33150 ) M1M2_PR
NEW met1 ( 48530 20570 ) M1M2_PR
NEW met1 ( 56350 33150 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( ANTENNA_input94_A DIODE ) ( input94 A ) + USE SIGNAL
+ ROUTED met1 ( 57730 20230 ) ( * 20570 )
NEW met1 ( 50830 20230 ) ( 57730 * )
NEW met2 ( 50370 20230 ) ( 50830 * )
NEW met2 ( 50370 7990 ) ( * 20230 )
NEW met2 ( 49910 7990 ) ( 50370 * )
NEW met2 ( 49910 3740 0 ) ( * 7990 )
NEW met1 ( 63250 28390 ) ( 64630 * )
NEW met2 ( 63250 24990 ) ( * 28390 )
NEW met2 ( 62330 24990 ) ( 63250 * )
NEW met2 ( 62330 20570 ) ( * 24990 )
NEW met1 ( 57730 20570 ) ( 62330 * )
NEW li1 ( 57730 20570 ) L1M1_PR_MR
NEW met1 ( 50830 20230 ) M1M2_PR
NEW li1 ( 64630 28390 ) L1M1_PR_MR
NEW met1 ( 63250 28390 ) M1M2_PR
NEW met1 ( 62330 20570 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( ANTENNA_input95_A DIODE ) ( input95 A ) + USE SIGNAL
+ ROUTED met1 ( 20010 49810 ) ( 27370 * )
NEW met1 ( 19550 22950 ) ( * 23290 )
NEW met1 ( 15410 23290 ) ( 19550 * )
NEW met2 ( 15410 20740 ) ( * 23290 )
NEW met3 ( 8050 20740 ) ( 15410 * )
NEW met3 ( 8050 19380 ) ( * 20740 )
NEW met2 ( 8050 13940 ) ( * 19380 )
NEW met2 ( 8050 13940 ) ( 8510 * )
NEW met2 ( 8510 3740 0 ) ( * 13940 )
NEW met3 ( 16100 41140 ) ( 20010 * )
NEW met4 ( 16100 20740 ) ( * 41140 )
NEW met3 ( 15410 20740 ) ( 16100 * )
NEW met2 ( 20010 41140 ) ( * 49810 )
NEW met1 ( 20010 49810 ) M1M2_PR
NEW li1 ( 27370 49810 ) L1M1_PR_MR
NEW li1 ( 19550 22950 ) L1M1_PR_MR
NEW met1 ( 15410 23290 ) M1M2_PR
NEW met2 ( 15410 20740 ) M2M3_PR_M
NEW met2 ( 8050 19380 ) M2M3_PR_M
NEW met2 ( 20010 41140 ) M2M3_PR_M
NEW met3 ( 16100 41140 ) M3M4_PR_M
NEW met3 ( 16100 20740 ) M3M4_PR_M ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( ANTENNA_input96_A DIODE ) ( input96 A ) + USE SIGNAL
+ ROUTED met1 ( 13570 25670 ) ( * 26010 )
NEW met1 ( 9890 25670 ) ( 13570 * )
NEW met2 ( 9890 20060 ) ( * 25670 )
NEW met2 ( 9890 20060 ) ( 10350 * )
NEW met2 ( 10350 12580 ) ( * 20060 )
NEW met2 ( 10350 12580 ) ( 10810 * )
NEW met2 ( 10810 3740 0 ) ( * 12580 )
NEW met1 ( 6670 34170 ) ( 9890 * )
NEW met2 ( 9890 25670 ) ( * 34170 )
NEW met2 ( 6670 36380 ) ( 7130 * )
NEW met2 ( 7130 36380 ) ( * 47430 )
NEW met2 ( 6670 34170 ) ( * 36380 )
NEW met1 ( 7130 47430 ) ( 31970 * )
NEW li1 ( 13570 26010 ) L1M1_PR_MR
NEW met1 ( 9890 25670 ) M1M2_PR
NEW met1 ( 6670 34170 ) M1M2_PR
NEW met1 ( 9890 34170 ) M1M2_PR
NEW met1 ( 7130 47430 ) M1M2_PR
NEW li1 ( 31970 47430 ) L1M1_PR_MR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( ANTENNA_input97_A DIODE ) ( input97 A ) + USE SIGNAL
+ ROUTED met2 ( 12190 10540 ) ( * 11730 )
NEW met2 ( 12190 3740 0 ) ( * 10540 )
NEW met2 ( 86250 10540 ) ( * 11390 )
NEW met1 ( 12190 11730 ) ( 13800 * )
NEW met3 ( 12190 10540 ) ( 13800 * )
NEW met3 ( 62100 10540 ) ( 86250 * )
NEW met1 ( 13800 11730 ) ( * 12070 )
NEW met1 ( 13800 12070 ) ( 14490 * )
NEW met3 ( 13800 8500 ) ( * 10540 )
NEW met3 ( 13800 8500 ) ( 62100 * )
NEW met3 ( 62100 8500 ) ( * 10540 )
NEW met1 ( 12190 11730 ) M1M2_PR
NEW met2 ( 12190 10540 ) M2M3_PR_M
NEW met2 ( 86250 10540 ) M2M3_PR_M
NEW li1 ( 86250 11390 ) L1M1_PR_MR
NEW met1 ( 86250 11390 ) M1M2_PR
NEW li1 ( 14490 12070 ) L1M1_PR_MR
NEW met1 ( 86250 11390 ) RECT ( -355 -70 0 70 ) ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( ANTENNA_input98_A DIODE ) ( input98 A ) + USE SIGNAL
+ ROUTED met2 ( 13570 3740 0 ) ( * 36890 )
NEW met1 ( 14030 36890 ) ( 14490 * )
NEW met2 ( 14490 36890 ) ( * 50150 )
NEW met1 ( 14490 50150 ) ( 30130 * )
NEW met1 ( 13570 36890 ) ( 14030 * )
NEW met1 ( 13570 36890 ) M1M2_PR
NEW li1 ( 14030 36890 ) L1M1_PR_MR
NEW met1 ( 14490 36890 ) M1M2_PR
NEW met1 ( 14490 50150 ) M1M2_PR
NEW li1 ( 30130 50150 ) L1M1_PR_MR
NEW met1 ( 14030 36890 ) RECT ( 0 -70 135 70 ) ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( ANTENNA_input99_A DIODE ) ( input99 A ) + USE SIGNAL
+ ROUTED met1 ( 22770 31450 ) ( 25530 * )
NEW met2 ( 22770 31450 ) ( * 31620 )
NEW met3 ( 18860 31620 ) ( 22770 * )
NEW met4 ( 18860 5100 ) ( * 31620 )
NEW met3 ( 14950 5100 ) ( 18860 * )
NEW met2 ( 14950 3740 0 ) ( * 5100 )
NEW met1 ( 33350 44370 ) ( 37490 * )
NEW met2 ( 33350 43860 ) ( * 44370 )
NEW met3 ( 32660 43860 ) ( 33350 * )
NEW met4 ( 32660 31620 ) ( * 43860 )
NEW met3 ( 22770 31620 ) ( 32660 * )
NEW li1 ( 25530 31450 ) L1M1_PR_MR
NEW met1 ( 22770 31450 ) M1M2_PR
NEW met2 ( 22770 31620 ) M2M3_PR_M
NEW met3 ( 18860 31620 ) M3M4_PR_M
NEW met3 ( 18860 5100 ) M3M4_PR_M
NEW met2 ( 14950 5100 ) M2M3_PR_M
NEW li1 ( 37490 44370 ) L1M1_PR_MR
NEW met1 ( 33350 44370 ) M1M2_PR
NEW met2 ( 33350 43860 ) M2M3_PR_M
NEW met3 ( 32660 43860 ) M3M4_PR_M
NEW met3 ( 32660 31620 ) M3M4_PR_M ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( ANTENNA_input100_A DIODE ) ( input100 A ) + USE SIGNAL
+ ROUTED met1 ( 16330 18530 ) ( 18630 * )
NEW met2 ( 18630 18530 ) ( * 26010 )
NEW met2 ( 16330 3740 0 ) ( * 18530 )
NEW li1 ( 16330 18530 ) L1M1_PR_MR
NEW met1 ( 18630 18530 ) M1M2_PR
NEW li1 ( 18630 26010 ) L1M1_PR_MR
NEW met1 ( 18630 26010 ) M1M2_PR
NEW met1 ( 16330 18530 ) M1M2_PR
NEW met1 ( 18630 26010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 16330 18530 ) RECT ( -595 -70 0 70 ) ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( ANTENNA_input101_A DIODE ) ( input101 A ) + USE SIGNAL
+ ROUTED met1 ( 17710 28390 ) ( 19090 * )
NEW met2 ( 17710 3740 0 ) ( * 28390 )
NEW met1 ( 11730 35870 ) ( 14030 * )
NEW met1 ( 14030 35870 ) ( * 36210 )
NEW met1 ( 14030 36210 ) ( 17710 * )
NEW met2 ( 17710 28390 ) ( * 36210 )
NEW li1 ( 19090 28390 ) L1M1_PR_MR
NEW met1 ( 17710 28390 ) M1M2_PR
NEW li1 ( 11730 35870 ) L1M1_PR_MR
NEW met1 ( 17710 36210 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( ANTENNA_input102_A DIODE ) ( input102 A ) + USE SIGNAL
+ ROUTED met1 ( 1610 14110 ) ( 8050 * )
NEW met2 ( 1610 3740 0 ) ( * 14110 )
NEW met2 ( 9430 14110 ) ( * 28050 )
NEW met1 ( 8050 14110 ) ( 9430 * )
NEW li1 ( 8050 14110 ) L1M1_PR_MR
NEW met1 ( 1610 14110 ) M1M2_PR
NEW li1 ( 9430 28050 ) L1M1_PR_MR
NEW met1 ( 9430 28050 ) M1M2_PR
NEW met1 ( 9430 14110 ) M1M2_PR
NEW met1 ( 9430 28050 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( ANTENNA_input103_A DIODE ) ( input103 A ) + USE SIGNAL
+ ROUTED met1 ( 3450 14450 ) ( 10810 * )
NEW met2 ( 3450 3740 0 ) ( * 14450 )
NEW met1 ( 7590 22950 ) ( 8510 * )
NEW met2 ( 8510 14450 ) ( * 22950 )
NEW li1 ( 10810 14450 ) L1M1_PR_MR
NEW met1 ( 3450 14450 ) M1M2_PR
NEW li1 ( 7590 22950 ) L1M1_PR_MR
NEW met1 ( 8510 22950 ) M1M2_PR
NEW met1 ( 8510 14450 ) M1M2_PR
NEW met1 ( 8510 14450 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( ANTENNA_input104_A DIODE ) ( input104 A ) + USE SIGNAL
+ ROUTED met2 ( 20010 3740 0 ) ( * 5780 )
NEW met3 ( 20010 5780 ) ( 24380 * )
NEW met1 ( 25070 25670 ) ( * 26010 )
NEW met1 ( 25070 25670 ) ( 31050 * )
NEW met2 ( 31050 25670 ) ( * 35870 )
NEW met1 ( 31050 35870 ) ( 31970 * )
NEW met3 ( 24380 17340 ) ( 26450 * )
NEW met2 ( 26450 17340 ) ( * 25670 )
NEW met4 ( 24380 5780 ) ( * 17340 )
NEW met2 ( 20010 5780 ) M2M3_PR_M
NEW met3 ( 24380 5780 ) M3M4_PR_M
NEW li1 ( 25070 26010 ) L1M1_PR_MR
NEW met1 ( 31050 25670 ) M1M2_PR
NEW met1 ( 31050 35870 ) M1M2_PR
NEW li1 ( 31970 35870 ) L1M1_PR_MR
NEW met3 ( 24380 17340 ) M3M4_PR_M
NEW met2 ( 26450 17340 ) M2M3_PR_M
NEW met1 ( 26450 25670 ) M1M2_PR
NEW met1 ( 26450 25670 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( ANTENNA_input105_A DIODE ) ( input105 A ) + USE SIGNAL
+ ROUTED met2 ( 21390 3740 0 ) ( * 6460 )
NEW met2 ( 20930 6460 ) ( 21390 * )
NEW met2 ( 20930 6460 ) ( * 12580 )
NEW met3 ( 19780 12580 ) ( 20930 * )
NEW met1 ( 21390 28390 ) ( 25990 * )
NEW met2 ( 21390 25500 ) ( * 28390 )
NEW met3 ( 19780 25500 ) ( 21390 * )
NEW met1 ( 35190 36210 ) ( 37950 * )
NEW met2 ( 37950 33150 ) ( * 36210 )
NEW met1 ( 36110 33150 ) ( 37950 * )
NEW met2 ( 36110 28730 ) ( * 33150 )
NEW met1 ( 34270 28730 ) ( 36110 * )
NEW met1 ( 34270 28050 ) ( * 28730 )
NEW met1 ( 25990 28050 ) ( 34270 * )
NEW met1 ( 25990 28050 ) ( * 28390 )
NEW met4 ( 19780 12580 ) ( * 25500 )
NEW met2 ( 20930 12580 ) M2M3_PR_M
NEW met3 ( 19780 12580 ) M3M4_PR_M
NEW li1 ( 25990 28390 ) L1M1_PR_MR
NEW met1 ( 21390 28390 ) M1M2_PR
NEW met2 ( 21390 25500 ) M2M3_PR_M
NEW met3 ( 19780 25500 ) M3M4_PR_M
NEW li1 ( 35190 36210 ) L1M1_PR_MR
NEW met1 ( 37950 36210 ) M1M2_PR
NEW met1 ( 37950 33150 ) M1M2_PR
NEW met1 ( 36110 33150 ) M1M2_PR
NEW met1 ( 36110 28730 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( ANTENNA_input106_A DIODE ) ( input106 A ) + USE SIGNAL
+ ROUTED met1 ( 31970 26010 ) ( 32890 * )
NEW met2 ( 32890 18190 ) ( * 26010 )
NEW met1 ( 22770 18190 ) ( 32890 * )
NEW met2 ( 22770 14110 ) ( * 18190 )
NEW met2 ( 22770 14110 ) ( 23230 * )
NEW met2 ( 23230 11390 ) ( * 14110 )
NEW met2 ( 22770 11390 ) ( 23230 * )
NEW met2 ( 22770 3740 0 ) ( * 11390 )
NEW met2 ( 32430 26010 ) ( * 38590 )
NEW met2 ( 32430 26010 ) ( 32890 * )
NEW li1 ( 31970 26010 ) L1M1_PR_MR
NEW met1 ( 32890 26010 ) M1M2_PR
NEW met1 ( 32890 18190 ) M1M2_PR
NEW met1 ( 22770 18190 ) M1M2_PR
NEW li1 ( 32430 38590 ) L1M1_PR_MR
NEW met1 ( 32430 38590 ) M1M2_PR
NEW met1 ( 32430 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( ANTENNA_input107_A DIODE ) ( input107 A ) + USE SIGNAL
+ ROUTED met1 ( 25070 15130 ) ( 27830 * )
NEW met1 ( 27830 15130 ) ( * 15470 )
NEW met2 ( 27830 15470 ) ( * 41650 )
NEW met1 ( 24150 15130 ) ( 25070 * )
NEW met2 ( 24150 3740 0 ) ( * 15130 )
NEW li1 ( 25070 15130 ) L1M1_PR_MR
NEW met1 ( 27830 15470 ) M1M2_PR
NEW li1 ( 27830 41650 ) L1M1_PR_MR
NEW met1 ( 27830 41650 ) M1M2_PR
NEW met1 ( 24150 15130 ) M1M2_PR
NEW met1 ( 27830 41650 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( ANTENNA_input108_A DIODE ) ( input108 A ) + USE SIGNAL
+ ROUTED met1 ( 29210 17510 ) ( 35190 * )
NEW met2 ( 35190 17510 ) ( * 18700 )
NEW met3 ( 35190 18700 ) ( 35420 * )
NEW met4 ( 35420 18700 ) ( * 28220 )
NEW met3 ( 35420 28220 ) ( 35650 * )
NEW met2 ( 35650 28220 ) ( * 35870 )
NEW met1 ( 35650 35870 ) ( 37490 * )
NEW met2 ( 25530 3740 0 ) ( * 9860 )
NEW met3 ( 25530 9860 ) ( 35420 * )
NEW met4 ( 35420 9860 ) ( * 18700 )
NEW li1 ( 29210 17510 ) L1M1_PR_MR
NEW met1 ( 35190 17510 ) M1M2_PR
NEW met2 ( 35190 18700 ) M2M3_PR_M
NEW met3 ( 35420 18700 ) M3M4_PR_M
NEW met3 ( 35420 28220 ) M3M4_PR_M
NEW met2 ( 35650 28220 ) M2M3_PR_M
NEW met1 ( 35650 35870 ) M1M2_PR
NEW li1 ( 37490 35870 ) L1M1_PR_MR
NEW met2 ( 25530 9860 ) M2M3_PR_M
NEW met3 ( 35420 9860 ) M3M4_PR_M
NEW met3 ( 35190 18700 ) RECT ( -390 -150 0 150 )
NEW met3 ( 35420 28220 ) RECT ( -390 -150 0 150 ) ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( ANTENNA_input109_A DIODE ) ( input109 A ) + USE SIGNAL
+ ROUTED met1 ( 32430 15130 ) ( 37950 * )
NEW met2 ( 37950 15130 ) ( * 26690 )
NEW met2 ( 37030 26690 ) ( 37950 * )
NEW met2 ( 37030 26690 ) ( * 38930 )
NEW met1 ( 35190 38930 ) ( 37030 * )
NEW met2 ( 26910 3740 0 ) ( * 12580 )
NEW met2 ( 26910 12580 ) ( 27370 * )
NEW met3 ( 27370 12580 ) ( 28060 * )
NEW met3 ( 28060 12580 ) ( * 14620 )
NEW met3 ( 28060 14620 ) ( 28750 * )
NEW met2 ( 28750 14620 ) ( * 14790 )
NEW met1 ( 28750 14790 ) ( 32430 * )
NEW met1 ( 32430 14790 ) ( * 15130 )
NEW li1 ( 32430 15130 ) L1M1_PR_MR
NEW met1 ( 37950 15130 ) M1M2_PR
NEW met1 ( 37030 38930 ) M1M2_PR
NEW li1 ( 35190 38930 ) L1M1_PR_MR
NEW met2 ( 27370 12580 ) M2M3_PR_M
NEW met2 ( 28750 14620 ) M2M3_PR_M
NEW met1 ( 28750 14790 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( ANTENNA_input110_A DIODE ) ( input110 A ) + USE SIGNAL
+ ROUTED met1 ( 28750 28390 ) ( 31970 * )
NEW met2 ( 31970 28390 ) ( * 41650 )
NEW met1 ( 28290 28390 ) ( 28750 * )
NEW met2 ( 28290 3740 0 ) ( * 28390 )
NEW li1 ( 28750 28390 ) L1M1_PR_MR
NEW met1 ( 31970 28390 ) M1M2_PR
NEW li1 ( 31970 41650 ) L1M1_PR_MR
NEW met1 ( 31970 41650 ) M1M2_PR
NEW met1 ( 28290 28390 ) M1M2_PR
NEW met1 ( 31970 41650 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( ANTENNA_input111_A DIODE ) ( input111 A ) + USE SIGNAL
+ ROUTED met1 ( 62330 17170 ) ( 70610 * )
NEW met2 ( 62330 11390 ) ( * 17170 )
NEW met1 ( 37030 12070 ) ( 38870 * )
NEW met2 ( 38870 10030 ) ( * 12070 )
NEW met1 ( 38870 10030 ) ( 54050 * )
NEW met2 ( 54050 10030 ) ( * 11390 )
NEW met2 ( 30130 3740 0 ) ( * 5270 )
NEW met1 ( 30130 5270 ) ( 34730 * )
NEW li1 ( 34730 5270 ) ( * 9690 )
NEW met1 ( 34730 9690 ) ( 38870 * )
NEW met1 ( 38870 9690 ) ( * 10030 )
NEW met1 ( 54050 11390 ) ( 62330 * )
NEW met1 ( 62330 11390 ) M1M2_PR
NEW met1 ( 62330 17170 ) M1M2_PR
NEW li1 ( 70610 17170 ) L1M1_PR_MR
NEW li1 ( 37030 12070 ) L1M1_PR_MR
NEW met1 ( 38870 12070 ) M1M2_PR
NEW met1 ( 38870 10030 ) M1M2_PR
NEW met1 ( 54050 10030 ) M1M2_PR
NEW met1 ( 54050 11390 ) M1M2_PR
NEW met1 ( 30130 5270 ) M1M2_PR
NEW li1 ( 34730 5270 ) L1M1_PR_MR
NEW li1 ( 34730 9690 ) L1M1_PR_MR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( ANTENNA_input112_A DIODE ) ( input112 A ) + USE SIGNAL
+ ROUTED met1 ( 39330 22950 ) ( 40250 * )
NEW met2 ( 40250 22950 ) ( * 30940 )
NEW met2 ( 39790 30940 ) ( 40250 * )
NEW met2 ( 39790 30940 ) ( * 35870 )
NEW met1 ( 39790 35870 ) ( 40250 * )
NEW met1 ( 31510 17850 ) ( 32430 * )
NEW met2 ( 32430 17850 ) ( * 20570 )
NEW met1 ( 32430 20570 ) ( 34730 * )
NEW met1 ( 34730 20570 ) ( * 20910 )
NEW met1 ( 34730 20910 ) ( 40250 * )
NEW met2 ( 40250 20910 ) ( * 22950 )
NEW met2 ( 31510 3740 0 ) ( * 17850 )
NEW li1 ( 39330 22950 ) L1M1_PR_MR
NEW met1 ( 40250 22950 ) M1M2_PR
NEW met1 ( 39790 35870 ) M1M2_PR
NEW li1 ( 40250 35870 ) L1M1_PR_MR
NEW met1 ( 31510 17850 ) M1M2_PR
NEW met1 ( 32430 17850 ) M1M2_PR
NEW met1 ( 32430 20570 ) M1M2_PR
NEW met1 ( 40250 20910 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( ANTENNA_input113_A DIODE ) ( input113 A ) + USE SIGNAL
+ ROUTED met1 ( 36570 17510 ) ( 37030 * )
NEW met2 ( 37030 17510 ) ( * 21420 )
NEW met3 ( 37030 21420 ) ( 43010 * )
NEW met2 ( 43010 21420 ) ( * 33150 )
NEW met1 ( 43010 33150 ) ( 44850 * )
NEW met2 ( 32890 3740 0 ) ( * 17170 )
NEW met1 ( 32890 17170 ) ( 36570 * )
NEW met1 ( 36570 17170 ) ( * 17510 )
NEW li1 ( 36570 17510 ) L1M1_PR_MR
NEW met1 ( 37030 17510 ) M1M2_PR
NEW met2 ( 37030 21420 ) M2M3_PR_M
NEW met2 ( 43010 21420 ) M2M3_PR_M
NEW met1 ( 43010 33150 ) M1M2_PR
NEW li1 ( 44850 33150 ) L1M1_PR_MR
NEW met1 ( 32890 17170 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( ANTENNA_input114_A DIODE ) ( input114 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 31450 ) ( 7590 * )
NEW met2 ( 7590 20570 ) ( * 31450 )
NEW met1 ( 5290 20570 ) ( 7590 * )
NEW met2 ( 5290 3740 0 ) ( * 20570 )
NEW met1 ( 7590 31450 ) ( 8510 * )
NEW met1 ( 7590 46750 ) ( 8510 * )
NEW met2 ( 8510 31450 ) ( * 46750 )
NEW li1 ( 7130 31450 ) L1M1_PR_MR
NEW met1 ( 7590 31450 ) M1M2_PR
NEW met1 ( 7590 20570 ) M1M2_PR
NEW met1 ( 5290 20570 ) M1M2_PR
NEW met1 ( 8510 31450 ) M1M2_PR
NEW met1 ( 8510 46750 ) M1M2_PR
NEW li1 ( 7590 46750 ) L1M1_PR_MR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( ANTENNA_input115_A DIODE ) ( input115 A ) + USE SIGNAL
+ ROUTED met1 ( 64170 15470 ) ( * 15810 )
NEW met1 ( 64170 15810 ) ( 74290 * )
NEW met1 ( 48070 12070 ) ( 49910 * )
NEW met2 ( 49910 8670 ) ( * 12070 )
NEW met1 ( 34270 8670 ) ( 49910 * )
NEW li1 ( 34270 5950 ) ( * 8670 )
NEW met2 ( 34270 3740 0 ) ( * 5950 )
NEW met1 ( 49910 8670 ) ( 55430 * )
NEW met2 ( 55430 8670 ) ( * 15470 )
NEW met1 ( 55430 15470 ) ( 64170 * )
NEW li1 ( 74290 15810 ) L1M1_PR_MR
NEW li1 ( 48070 12070 ) L1M1_PR_MR
NEW met1 ( 49910 12070 ) M1M2_PR
NEW met1 ( 49910 8670 ) M1M2_PR
NEW li1 ( 34270 8670 ) L1M1_PR_MR
NEW li1 ( 34270 5950 ) L1M1_PR_MR
NEW met1 ( 34270 5950 ) M1M2_PR
NEW met1 ( 55430 8670 ) M1M2_PR
NEW met1 ( 55430 15470 ) M1M2_PR
NEW met1 ( 34270 5950 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( ANTENNA_input116_A DIODE ) ( input116 A ) + USE SIGNAL
+ ROUTED met1 ( 36570 25670 ) ( * 26010 )
NEW met1 ( 36570 25670 ) ( 37490 * )
NEW met2 ( 37490 25500 ) ( * 25670 )
NEW met3 ( 35650 25500 ) ( 37490 * )
NEW met2 ( 35650 3740 0 ) ( * 25500 )
NEW met1 ( 36570 38590 ) ( 37490 * )
NEW met2 ( 36570 26010 ) ( * 38590 )
NEW li1 ( 36570 26010 ) L1M1_PR_MR
NEW met1 ( 37490 25670 ) M1M2_PR
NEW met2 ( 37490 25500 ) M2M3_PR_M
NEW met2 ( 35650 25500 ) M2M3_PR_M
NEW li1 ( 37490 38590 ) L1M1_PR_MR
NEW met1 ( 36570 38590 ) M1M2_PR
NEW met1 ( 36570 26010 ) M1M2_PR
NEW met1 ( 36570 26010 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( ANTENNA_input117_A DIODE ) ( input117 A ) + USE SIGNAL
+ ROUTED met1 ( 42090 15130 ) ( 44850 * )
NEW met2 ( 42090 15130 ) ( * 28390 )
NEW met1 ( 42090 28390 ) ( 45310 * )
NEW met2 ( 45310 28390 ) ( * 33150 )
NEW met1 ( 45310 33150 ) ( 47610 * )
NEW met1 ( 37030 15470 ) ( 42090 * )
NEW met1 ( 42090 15130 ) ( * 15470 )
NEW met2 ( 37030 3740 0 ) ( * 15470 )
NEW li1 ( 44850 15130 ) L1M1_PR_MR
NEW met1 ( 42090 15130 ) M1M2_PR
NEW met1 ( 42090 28390 ) M1M2_PR
NEW met1 ( 45310 28390 ) M1M2_PR
NEW met1 ( 45310 33150 ) M1M2_PR
NEW li1 ( 47610 33150 ) L1M1_PR_MR
NEW met1 ( 37030 15470 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( ANTENNA_input118_A DIODE ) ( input118 A ) + USE SIGNAL
+ ROUTED met1 ( 67390 19550 ) ( 67850 * )
NEW met2 ( 67390 12070 ) ( * 19550 )
NEW met2 ( 54050 11900 ) ( * 12070 )
NEW met2 ( 54050 11900 ) ( 54510 * )
NEW met2 ( 54510 9350 ) ( * 11900 )
NEW met1 ( 38870 9350 ) ( 54510 * )
NEW met2 ( 38870 3740 0 ) ( * 9350 )
NEW met2 ( 58650 9350 ) ( * 12070 )
NEW met1 ( 54510 9350 ) ( 58650 * )
NEW met1 ( 58650 12070 ) ( 67390 * )
NEW met1 ( 67390 12070 ) M1M2_PR
NEW met1 ( 67390 19550 ) M1M2_PR
NEW li1 ( 67850 19550 ) L1M1_PR_MR
NEW li1 ( 54050 12070 ) L1M1_PR_MR
NEW met1 ( 54050 12070 ) M1M2_PR
NEW met1 ( 54510 9350 ) M1M2_PR
NEW met1 ( 38870 9350 ) M1M2_PR
NEW met1 ( 58650 12070 ) M1M2_PR
NEW met1 ( 58650 9350 ) M1M2_PR
NEW met1 ( 54050 12070 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( ANTENNA_input119_A DIODE ) ( input119 A ) + USE SIGNAL
+ ROUTED met1 ( 40250 18530 ) ( 41630 * )
NEW met2 ( 41630 18530 ) ( * 22950 )
NEW met1 ( 41630 22950 ) ( 44850 * )
NEW met2 ( 40250 3740 0 ) ( * 18530 )
NEW met2 ( 48530 22950 ) ( * 26860 )
NEW met3 ( 48530 26860 ) ( 51060 * )
NEW met4 ( 51060 26860 ) ( * 31620 )
NEW met3 ( 51060 31620 ) ( 51750 * )
NEW met2 ( 51750 31450 ) ( * 31620 )
NEW met1 ( 50830 31450 ) ( 51750 * )
NEW met1 ( 44850 22950 ) ( 48530 * )
NEW li1 ( 44850 22950 ) L1M1_PR_MR
NEW met1 ( 40250 18530 ) M1M2_PR
NEW met1 ( 41630 18530 ) M1M2_PR
NEW met1 ( 41630 22950 ) M1M2_PR
NEW met1 ( 48530 22950 ) M1M2_PR
NEW met2 ( 48530 26860 ) M2M3_PR_M
NEW met3 ( 51060 26860 ) M3M4_PR_M
NEW met3 ( 51060 31620 ) M3M4_PR_M
NEW met2 ( 51750 31620 ) M2M3_PR_M
NEW met1 ( 51750 31450 ) M1M2_PR
NEW li1 ( 50830 31450 ) L1M1_PR_MR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( ANTENNA_input120_A DIODE ) ( input120 A ) + USE SIGNAL
+ ROUTED met2 ( 41630 3740 0 ) ( * 15810 )
NEW met1 ( 41630 15810 ) ( 47610 * )
NEW met2 ( 47610 15810 ) ( * 17170 )
NEW met1 ( 47610 17170 ) ( 48300 * )
NEW met1 ( 55890 17170 ) ( 61870 * )
NEW met2 ( 61870 17170 ) ( * 18020 )
NEW met3 ( 61870 18020 ) ( 64170 * )
NEW met2 ( 64170 18020 ) ( * 22270 )
NEW met1 ( 64170 22270 ) ( 66010 * )
NEW met1 ( 48300 17170 ) ( * 17850 )
NEW met1 ( 48300 17850 ) ( 51750 * )
NEW met1 ( 51750 17510 ) ( * 17850 )
NEW met1 ( 51750 17510 ) ( 55890 * )
NEW met1 ( 55890 17170 ) ( * 17510 )
NEW met1 ( 41630 15810 ) M1M2_PR
NEW met1 ( 47610 15810 ) M1M2_PR
NEW met1 ( 47610 17170 ) M1M2_PR
NEW li1 ( 55890 17170 ) L1M1_PR_MR
NEW met1 ( 61870 17170 ) M1M2_PR
NEW met2 ( 61870 18020 ) M2M3_PR_M
NEW met2 ( 64170 18020 ) M2M3_PR_M
NEW met1 ( 64170 22270 ) M1M2_PR
NEW li1 ( 66010 22270 ) L1M1_PR_MR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( ANTENNA_input121_A DIODE ) ( input121 A ) + USE SIGNAL
+ ROUTED met1 ( 64630 19550 ) ( * 19890 )
NEW met1 ( 64630 19890 ) ( 70610 * )
NEW met2 ( 59110 18020 ) ( * 19550 )
NEW met1 ( 59110 19550 ) ( 64630 * )
NEW met3 ( 48300 18020 ) ( 59110 * )
NEW met1 ( 42550 17510 ) ( 45080 * )
NEW met2 ( 42550 6630 ) ( * 17510 )
NEW met2 ( 42550 6630 ) ( 43010 * )
NEW met2 ( 43010 3740 0 ) ( * 6630 )
NEW met3 ( 48300 17340 ) ( * 18020 )
NEW met3 ( 42550 17340 ) ( 48300 * )
NEW li1 ( 70610 19890 ) L1M1_PR_MR
NEW met2 ( 59110 18020 ) M2M3_PR_M
NEW met1 ( 59110 19550 ) M1M2_PR
NEW li1 ( 45080 17510 ) L1M1_PR_MR
NEW met1 ( 42550 17510 ) M1M2_PR
NEW met2 ( 42550 17340 ) M2M3_PR_M
NEW met2 ( 42550 17340 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( ANTENNA_input122_A DIODE ) ( input122 A ) + USE SIGNAL
+ ROUTED met2 ( 44390 3740 0 ) ( * 15470 )
NEW met1 ( 62485 15130 ) ( 64630 * )
NEW met1 ( 64630 15130 ) ( * 15470 )
NEW met1 ( 64630 15470 ) ( 77050 * )
NEW met1 ( 54970 15130 ) ( * 15470 )
NEW met1 ( 54970 15130 ) ( 59570 * )
NEW met1 ( 59570 14790 ) ( * 15130 )
NEW met1 ( 59570 14790 ) ( 62330 * )
NEW met1 ( 62330 14790 ) ( * 15130 )
NEW met1 ( 62330 15130 ) ( 62485 * )
NEW met1 ( 44390 15470 ) ( 54970 * )
NEW met1 ( 44390 15470 ) M1M2_PR
NEW li1 ( 62485 15130 ) L1M1_PR_MR
NEW li1 ( 77050 15470 ) L1M1_PR_MR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( ANTENNA_input123_A DIODE ) ( input123 A ) + USE SIGNAL
+ ROUTED met2 ( 45770 3740 0 ) ( * 20230 )
NEW met1 ( 49450 22950 ) ( 51750 * )
NEW met2 ( 51750 22950 ) ( * 30430 )
NEW met1 ( 49450 30430 ) ( 51750 * )
NEW met2 ( 49450 30430 ) ( * 33150 )
NEW met1 ( 49450 33150 ) ( 50370 * )
NEW met2 ( 49450 20230 ) ( * 22950 )
NEW met1 ( 45770 20230 ) ( 49450 * )
NEW met1 ( 45770 20230 ) M1M2_PR
NEW li1 ( 49450 22950 ) L1M1_PR_MR
NEW met1 ( 51750 22950 ) M1M2_PR
NEW met1 ( 51750 30430 ) M1M2_PR
NEW met1 ( 49450 30430 ) M1M2_PR
NEW met1 ( 49450 33150 ) M1M2_PR
NEW li1 ( 50370 33150 ) L1M1_PR_MR
NEW met1 ( 49450 20230 ) M1M2_PR
NEW met1 ( 49450 22950 ) M1M2_PR
NEW met1 ( 49450 22950 ) RECT ( 0 -70 595 70 ) ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( ANTENNA_input124_A DIODE ) ( input124 A ) + USE SIGNAL
+ ROUTED met1 ( 50830 15130 ) ( 53130 * )
NEW met2 ( 53130 15130 ) ( * 30430 )
NEW met1 ( 47150 15130 ) ( 50830 * )
NEW met2 ( 47150 3740 0 ) ( * 15130 )
NEW li1 ( 50830 15130 ) L1M1_PR_MR
NEW met1 ( 53130 15130 ) M1M2_PR
NEW li1 ( 53130 30430 ) L1M1_PR_MR
NEW met1 ( 53130 30430 ) M1M2_PR
NEW met1 ( 47150 15130 ) M1M2_PR
NEW met1 ( 53130 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( ANTENNA_input125_A DIODE ) ( input125 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 28390 ) ( 13570 * )
NEW met2 ( 10810 13090 ) ( * 28390 )
NEW met1 ( 7130 13090 ) ( 10810 * )
NEW met2 ( 7130 3740 0 ) ( * 13090 )
NEW met1 ( 10810 44030 ) ( 11730 * )
NEW met2 ( 10810 28390 ) ( * 44030 )
NEW li1 ( 13570 28390 ) L1M1_PR_MR
NEW met1 ( 10810 28390 ) M1M2_PR
NEW met1 ( 10810 13090 ) M1M2_PR
NEW met1 ( 7130 13090 ) M1M2_PR
NEW met1 ( 10810 44030 ) M1M2_PR
NEW li1 ( 11730 44030 ) L1M1_PR_MR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( ANTENNA_input126_A DIODE ) ( input126 A ) + USE SIGNAL
+ ROUTED met2 ( 83490 10030 ) ( * 11390 )
NEW met1 ( 58190 12070 ) ( * 12410 )
NEW met1 ( 48990 12410 ) ( 58190 * )
NEW met2 ( 48990 3740 0 ) ( * 12410 )
NEW met2 ( 54970 10030 ) ( * 12410 )
NEW met1 ( 54970 10030 ) ( 83490 * )
NEW met1 ( 83490 10030 ) M1M2_PR
NEW li1 ( 83490 11390 ) L1M1_PR_MR
NEW met1 ( 83490 11390 ) M1M2_PR
NEW li1 ( 58190 12070 ) L1M1_PR_MR
NEW met1 ( 48990 12410 ) M1M2_PR
NEW met1 ( 54970 10030 ) M1M2_PR
NEW met1 ( 54970 12410 ) M1M2_PR
NEW met1 ( 83490 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 54970 12410 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( ANTENNA_input127_A DIODE ) ( input127 A ) + USE SIGNAL
+ ROUTED met1 ( 63710 22270 ) ( * 22610 )
NEW met1 ( 63710 22610 ) ( 70610 * )
NEW met2 ( 50370 3740 0 ) ( * 6630 )
NEW met1 ( 50370 6630 ) ( 53590 * )
NEW met1 ( 53590 22950 ) ( 54050 * )
NEW met1 ( 59570 22270 ) ( * 22610 )
NEW met1 ( 54050 22610 ) ( 59570 * )
NEW met1 ( 54050 22610 ) ( * 22950 )
NEW met2 ( 53590 6630 ) ( * 22950 )
NEW met1 ( 59570 22270 ) ( 63710 * )
NEW li1 ( 70610 22610 ) L1M1_PR_MR
NEW met1 ( 50370 6630 ) M1M2_PR
NEW met1 ( 53590 6630 ) M1M2_PR
NEW li1 ( 54050 22950 ) L1M1_PR_MR
NEW met1 ( 53590 22950 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( ANTENNA_input128_A DIODE ) ( input128 A ) + USE SIGNAL
+ ROUTED met1 ( 8970 27710 ) ( 11730 * )
NEW met2 ( 8970 3740 0 ) ( * 27710 )
NEW met1 ( 11270 49470 ) ( 11730 * )
NEW met2 ( 11730 27710 ) ( * 49470 )
NEW met1 ( 11730 27710 ) M1M2_PR
NEW met1 ( 8970 27710 ) M1M2_PR
NEW li1 ( 11730 31450 ) L1M1_PR_MR
NEW met1 ( 11730 31450 ) M1M2_PR
NEW met1 ( 11730 49470 ) M1M2_PR
NEW li1 ( 11270 49470 ) L1M1_PR_MR
NEW met1 ( 11730 31450 ) RECT ( -355 -70 0 70 )
NEW met2 ( 11730 31450 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( ANTENNA_input129_A DIODE ) ( input129 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 3740 0 ) ( * 15810 )
NEW met1 ( 16330 31450 ) ( 16790 * )
NEW met2 ( 16790 31450 ) ( * 46750 )
NEW met1 ( 16790 46750 ) ( 17710 * )
NEW met2 ( 15410 15810 ) ( * 20060 )
NEW met3 ( 15410 20060 ) ( 16790 * )
NEW met2 ( 16790 20060 ) ( * 31450 )
NEW met1 ( 11270 15810 ) ( 15410 * )
NEW met1 ( 11270 15810 ) M1M2_PR
NEW li1 ( 16330 31450 ) L1M1_PR_MR
NEW met1 ( 16790 31450 ) M1M2_PR
NEW met1 ( 16790 46750 ) M1M2_PR
NEW li1 ( 17710 46750 ) L1M1_PR_MR
NEW met1 ( 15410 15810 ) M1M2_PR
NEW met2 ( 15410 20060 ) M2M3_PR_M
NEW met2 ( 16790 20060 ) M2M3_PR_M ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( ANTENNA_input130_A DIODE ) ( input130 A ) + USE SIGNAL
+ ROUTED met1 ( 12650 33830 ) ( 13110 * )
NEW met1 ( 12650 49470 ) ( 13570 * )
NEW met2 ( 12650 3740 0 ) ( * 49470 )
NEW li1 ( 13110 33830 ) L1M1_PR_MR
NEW met1 ( 12650 33830 ) M1M2_PR
NEW met1 ( 12650 49470 ) M1M2_PR
NEW li1 ( 13570 49470 ) L1M1_PR_MR
NEW met2 ( 12650 33830 ) RECT ( -70 -485 70 0 ) ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( ANTENNA_input131_A DIODE ) ( input131 A ) + USE SIGNAL
+ ROUTED met2 ( 20930 30770 ) ( * 31450 )
NEW met2 ( 20470 30770 ) ( 20930 * )
NEW met2 ( 20470 29410 ) ( * 30770 )
NEW met2 ( 20470 29410 ) ( 20930 * )
NEW met2 ( 20930 24990 ) ( * 29410 )
NEW met2 ( 20470 24990 ) ( 20930 * )
NEW met2 ( 20470 23970 ) ( * 24990 )
NEW met2 ( 20470 23970 ) ( 20930 * )
NEW met2 ( 20930 21250 ) ( * 23970 )
NEW met1 ( 18170 21250 ) ( 20930 * )
NEW met1 ( 18170 20230 ) ( * 21250 )
NEW met1 ( 14030 20230 ) ( 18170 * )
NEW met1 ( 20470 46750 ) ( 20930 * )
NEW met2 ( 20470 45730 ) ( * 46750 )
NEW met2 ( 20470 45730 ) ( 20930 * )
NEW met2 ( 20930 41310 ) ( * 45730 )
NEW met2 ( 20470 41310 ) ( 20930 * )
NEW met2 ( 20470 39780 ) ( * 41310 )
NEW met2 ( 20470 39780 ) ( 20930 * )
NEW met2 ( 20930 36210 ) ( * 39780 )
NEW met2 ( 20470 36210 ) ( 20930 * )
NEW met2 ( 20470 34340 ) ( * 36210 )
NEW met2 ( 20470 34340 ) ( 20930 * )
NEW met2 ( 20930 31450 ) ( * 34340 )
NEW met2 ( 14030 3740 0 ) ( * 20230 )
NEW li1 ( 20930 31450 ) L1M1_PR_MR
NEW met1 ( 20930 31450 ) M1M2_PR
NEW met1 ( 20930 21250 ) M1M2_PR
NEW met1 ( 14030 20230 ) M1M2_PR
NEW li1 ( 20930 46750 ) L1M1_PR_MR
NEW met1 ( 20470 46750 ) M1M2_PR
NEW met1 ( 20930 31450 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( ANTENNA_input132_A DIODE ) ( input132 A ) + USE SIGNAL
+ ROUTED met2 ( 15410 3740 0 ) ( * 6460 )
NEW met2 ( 14950 6460 ) ( 15410 * )
NEW met1 ( 16330 33830 ) ( 19090 * )
NEW met2 ( 16330 22270 ) ( * 33830 )
NEW met1 ( 14950 22270 ) ( 16330 * )
NEW met1 ( 24610 44030 ) ( 26450 * )
NEW met1 ( 24610 44030 ) ( * 44370 )
NEW met1 ( 18630 44370 ) ( 24610 * )
NEW met2 ( 18630 33830 ) ( * 44370 )
NEW met2 ( 14950 6460 ) ( * 22270 )
NEW li1 ( 19090 33830 ) L1M1_PR_MR
NEW met1 ( 16330 33830 ) M1M2_PR
NEW met1 ( 16330 22270 ) M1M2_PR
NEW met1 ( 14950 22270 ) M1M2_PR
NEW li1 ( 26450 44030 ) L1M1_PR_MR
NEW met1 ( 18630 44370 ) M1M2_PR
NEW met1 ( 18630 33830 ) M1M2_PR
NEW met1 ( 18630 33830 ) RECT ( -595 -70 0 70 ) ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( ANTENNA_input133_A DIODE ) ( input133 A ) + USE SIGNAL
+ ROUTED met2 ( 16790 3740 0 ) ( * 5270 )
NEW met2 ( 16790 5270 ) ( 17250 * )
NEW met2 ( 17250 5270 ) ( * 7140 )
NEW met2 ( 16790 7140 ) ( 17250 * )
NEW met2 ( 16790 7140 ) ( * 17510 )
NEW met1 ( 16790 17510 ) ( 19550 * )
NEW met2 ( 19550 17510 ) ( * 49470 )
NEW li1 ( 19550 49470 ) L1M1_PR_MR
NEW met1 ( 19550 49470 ) M1M2_PR
NEW li1 ( 19550 17510 ) L1M1_PR_MR
NEW met1 ( 19550 17510 ) M1M2_PR
NEW met1 ( 16790 17510 ) M1M2_PR
NEW met1 ( 19550 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19550 17510 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( ANTENNA_input134_A DIODE ) ( input134 A ) + USE SIGNAL
+ ROUTED met2 ( 18170 3740 0 ) ( * 6970 )
NEW met1 ( 18170 6970 ) ( 19550 * )
NEW met1 ( 19090 19550 ) ( * 20570 )
NEW met1 ( 19090 19550 ) ( 23690 * )
NEW met2 ( 23690 19550 ) ( * 46750 )
NEW met1 ( 19550 16830 ) ( 23690 * )
NEW met2 ( 23690 16830 ) ( * 19550 )
NEW met2 ( 19550 6970 ) ( * 16830 )
NEW met1 ( 18170 6970 ) M1M2_PR
NEW met1 ( 19550 6970 ) M1M2_PR
NEW li1 ( 19090 20570 ) L1M1_PR_MR
NEW met1 ( 23690 19550 ) M1M2_PR
NEW li1 ( 23690 46750 ) L1M1_PR_MR
NEW met1 ( 23690 46750 ) M1M2_PR
NEW met1 ( 19550 16830 ) M1M2_PR
NEW met1 ( 23690 16830 ) M1M2_PR
NEW met1 ( 23690 46750 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output350 X ) + USE SIGNAL
+ ROUTED met2 ( 3910 3740 0 ) ( * 17510 )
NEW met1 ( 3910 17510 ) ( 11270 * )
NEW met2 ( 11270 17510 ) ( * 38590 )
NEW met1 ( 3910 17510 ) M1M2_PR
NEW met1 ( 11270 17510 ) M1M2_PR
NEW li1 ( 11270 38590 ) L1M1_PR_MR
NEW met1 ( 11270 38590 ) M1M2_PR
NEW met1 ( 11270 38590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output351 X ) + USE SIGNAL
+ ROUTED met2 ( 20470 3740 0 ) ( * 21250 )
NEW met2 ( 20010 21250 ) ( 20470 * )
NEW met2 ( 20010 21250 ) ( * 33150 )
NEW met1 ( 20010 33150 ) ( 24150 * )
NEW met1 ( 20010 33150 ) M1M2_PR
NEW li1 ( 24150 33150 ) L1M1_PR_MR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output352 X ) + USE SIGNAL
+ ROUTED met2 ( 21850 3740 0 ) ( * 7140 )
NEW met2 ( 21390 7140 ) ( 21850 * )
NEW met2 ( 21390 7140 ) ( * 12580 )
NEW met2 ( 21390 12580 ) ( 21850 * )
NEW met3 ( 21850 12580 ) ( 24610 * )
NEW met3 ( 24610 12580 ) ( * 14620 )
NEW met2 ( 24610 14620 ) ( * 33150 )
NEW met1 ( 24610 33150 ) ( 27830 * )
NEW met2 ( 21850 12580 ) M2M3_PR_M
NEW met2 ( 24610 14620 ) M2M3_PR_M
NEW met1 ( 24610 33150 ) M1M2_PR
NEW li1 ( 27830 33150 ) L1M1_PR_MR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output353 X ) + USE SIGNAL
+ ROUTED met2 ( 23230 3740 0 ) ( * 6460 )
NEW met2 ( 23230 6460 ) ( 23690 * )
NEW met1 ( 23690 15810 ) ( 30590 * )
NEW met2 ( 30590 15810 ) ( * 31110 )
NEW met1 ( 30590 31110 ) ( 32430 * )
NEW met1 ( 32430 30770 ) ( * 31110 )
NEW met2 ( 23690 6460 ) ( * 15810 )
NEW met1 ( 23690 15810 ) M1M2_PR
NEW met1 ( 30590 15810 ) M1M2_PR
NEW met1 ( 30590 31110 ) M1M2_PR
NEW li1 ( 32430 30770 ) L1M1_PR_MR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output354 X ) + USE SIGNAL
+ ROUTED met2 ( 24610 3740 0 ) ( * 14110 )
NEW met1 ( 24610 14110 ) ( 33810 * )
NEW met2 ( 33810 14110 ) ( * 25670 )
NEW met2 ( 33810 25670 ) ( 34270 * )
NEW met2 ( 34270 25670 ) ( * 27710 )
NEW met1 ( 33810 27710 ) ( 34270 * )
NEW met1 ( 24610 14110 ) M1M2_PR
NEW met1 ( 33810 14110 ) M1M2_PR
NEW met1 ( 34270 27710 ) M1M2_PR
NEW li1 ( 33810 27710 ) L1M1_PR_MR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output355 X ) + USE SIGNAL
+ ROUTED met1 ( 25990 18530 ) ( 31510 * )
NEW met2 ( 31510 18530 ) ( * 33150 )
NEW met2 ( 25990 3740 0 ) ( * 18530 )
NEW met1 ( 25990 18530 ) M1M2_PR
NEW met1 ( 31510 18530 ) M1M2_PR
NEW li1 ( 31510 33150 ) L1M1_PR_MR
NEW met1 ( 31510 33150 ) M1M2_PR
NEW met1 ( 31510 33150 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output356 X ) + USE SIGNAL
+ ROUTED met2 ( 27370 3740 0 ) ( * 10540 )
NEW met3 ( 27140 10540 ) ( 27370 * )
NEW met3 ( 26910 18020 ) ( 27140 * )
NEW met2 ( 26910 18020 ) ( * 30430 )
NEW met1 ( 26910 30430 ) ( 36110 * )
NEW met4 ( 27140 10540 ) ( * 18020 )
NEW met2 ( 27370 10540 ) M2M3_PR_M
NEW met3 ( 27140 10540 ) M3M4_PR_M
NEW met3 ( 27140 18020 ) M3M4_PR_M
NEW met2 ( 26910 18020 ) M2M3_PR_M
NEW met1 ( 26910 30430 ) M1M2_PR
NEW li1 ( 36110 30430 ) L1M1_PR_MR
NEW met3 ( 27370 10540 ) RECT ( 0 -150 390 150 )
NEW met3 ( 27140 18020 ) RECT ( 0 -150 390 150 ) ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output357 X ) + USE SIGNAL
+ ROUTED met2 ( 29210 3740 0 ) ( * 13940 )
NEW met2 ( 29210 13940 ) ( 29670 * )
NEW met2 ( 29670 13940 ) ( * 19550 )
NEW met1 ( 29670 19550 ) ( 35190 * )
NEW met2 ( 35190 19550 ) ( * 33150 )
NEW met1 ( 29670 19550 ) M1M2_PR
NEW met1 ( 35190 19550 ) M1M2_PR
NEW li1 ( 35190 33150 ) L1M1_PR_MR
NEW met1 ( 35190 33150 ) M1M2_PR
NEW met1 ( 35190 33150 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output358 X ) + USE SIGNAL
+ ROUTED met2 ( 30590 3740 0 ) ( * 5780 )
NEW met2 ( 30130 5780 ) ( 30590 * )
NEW met2 ( 29670 22100 ) ( 30130 * )
NEW met2 ( 29670 22100 ) ( * 29410 )
NEW met1 ( 29670 29410 ) ( 39790 * )
NEW met2 ( 39790 29410 ) ( * 30430 )
NEW met2 ( 30130 5780 ) ( * 22100 )
NEW met1 ( 29670 29410 ) M1M2_PR
NEW met1 ( 39790 29410 ) M1M2_PR
NEW li1 ( 39790 30430 ) L1M1_PR_MR
NEW met1 ( 39790 30430 ) M1M2_PR
NEW met1 ( 39790 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output359 X ) + USE SIGNAL
+ ROUTED met1 ( 31970 15810 ) ( 38870 * )
NEW met2 ( 38870 15810 ) ( * 33150 )
NEW met2 ( 31970 3740 0 ) ( * 15810 )
NEW met1 ( 31970 15810 ) M1M2_PR
NEW met1 ( 38870 15810 ) M1M2_PR
NEW li1 ( 38870 33150 ) L1M1_PR_MR
NEW met1 ( 38870 33150 ) M1M2_PR
NEW met1 ( 38870 33150 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output360 X ) + USE SIGNAL
+ ROUTED met1 ( 33350 20230 ) ( 43470 * )
NEW met1 ( 43470 20230 ) ( * 20910 )
NEW met2 ( 43470 20910 ) ( * 30430 )
NEW met2 ( 33350 3740 0 ) ( * 20230 )
NEW met1 ( 33350 20230 ) M1M2_PR
NEW met1 ( 43470 20910 ) M1M2_PR
NEW li1 ( 43470 30430 ) L1M1_PR_MR
NEW met1 ( 43470 30430 ) M1M2_PR
NEW met1 ( 43470 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output361 X ) + USE SIGNAL
+ ROUTED met2 ( 5750 3740 0 ) ( * 19550 )
NEW met1 ( 4830 19550 ) ( 5750 * )
NEW li1 ( 4830 19550 ) ( * 30430 )
NEW met2 ( 14490 30430 ) ( * 35870 )
NEW met1 ( 14490 35870 ) ( 19090 * )
NEW met1 ( 4830 30430 ) ( 14490 * )
NEW met1 ( 5750 19550 ) M1M2_PR
NEW li1 ( 4830 19550 ) L1M1_PR_MR
NEW li1 ( 4830 30430 ) L1M1_PR_MR
NEW met1 ( 14490 30430 ) M1M2_PR
NEW met1 ( 14490 35870 ) M1M2_PR
NEW li1 ( 19090 35870 ) L1M1_PR_MR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output362 X ) + USE SIGNAL
+ ROUTED met2 ( 71070 10370 ) ( * 11390 )
NEW met2 ( 34730 3740 0 ) ( * 10370 )
NEW met1 ( 34730 10370 ) ( 71070 * )
NEW met1 ( 71070 10370 ) M1M2_PR
NEW li1 ( 71070 11390 ) L1M1_PR_MR
NEW met1 ( 71070 11390 ) M1M2_PR
NEW met1 ( 34730 10370 ) M1M2_PR
NEW met1 ( 71070 11390 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output363 X ) + USE SIGNAL
+ ROUTED met1 ( 36110 14110 ) ( 45310 * )
NEW met2 ( 45310 14110 ) ( * 27710 )
NEW met2 ( 36110 3740 0 ) ( * 14110 )
NEW met1 ( 36110 14110 ) M1M2_PR
NEW met1 ( 45310 14110 ) M1M2_PR
NEW li1 ( 45310 27710 ) L1M1_PR_MR
NEW met1 ( 45310 27710 ) M1M2_PR
NEW met1 ( 45310 27710 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output364 X ) + USE SIGNAL
+ ROUTED met1 ( 37490 24990 ) ( 51290 * )
NEW met2 ( 37490 3740 0 ) ( * 24990 )
NEW met1 ( 37490 24990 ) M1M2_PR
NEW li1 ( 51290 24990 ) L1M1_PR_MR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output365 X ) + USE SIGNAL
+ ROUTED met2 ( 39330 3740 0 ) ( * 14620 )
NEW met3 ( 39330 14620 ) ( 41860 * )
NEW met4 ( 41860 14620 ) ( * 30260 )
NEW met3 ( 41860 30260 ) ( 47150 * )
NEW met2 ( 47150 30260 ) ( * 30430 )
NEW met2 ( 39330 14620 ) M2M3_PR_M
NEW met3 ( 41860 14620 ) M3M4_PR_M
NEW met3 ( 41860 30260 ) M3M4_PR_M
NEW met2 ( 47150 30260 ) M2M3_PR_M
NEW li1 ( 47150 30430 ) L1M1_PR_MR
NEW met1 ( 47150 30430 ) M1M2_PR
NEW met1 ( 47150 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output366 X ) + USE SIGNAL
+ ROUTED met2 ( 40710 3740 0 ) ( * 16830 )
NEW met1 ( 40710 16830 ) ( 64170 * )
NEW li1 ( 64170 16830 ) L1M1_PR_MR
NEW met1 ( 40710 16830 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output367 X ) + USE SIGNAL
+ ROUTED met2 ( 42090 3740 0 ) ( * 5270 )
NEW li1 ( 42090 5270 ) ( * 7650 )
NEW met1 ( 42090 7650 ) ( 43470 * )
NEW met2 ( 43470 7650 ) ( * 12410 )
NEW met1 ( 43470 12410 ) ( 43930 * )
NEW li1 ( 43930 12410 ) ( * 13090 )
NEW met1 ( 43930 13090 ) ( 74750 * )
NEW li1 ( 74750 13090 ) L1M1_PR_MR
NEW li1 ( 42090 5270 ) L1M1_PR_MR
NEW met1 ( 42090 5270 ) M1M2_PR
NEW li1 ( 42090 7650 ) L1M1_PR_MR
NEW met1 ( 43470 7650 ) M1M2_PR
NEW met1 ( 43470 12410 ) M1M2_PR
NEW li1 ( 43930 12410 ) L1M1_PR_MR
NEW li1 ( 43930 13090 ) L1M1_PR_MR
NEW met1 ( 42090 5270 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output368 X ) + USE SIGNAL
+ ROUTED met2 ( 43470 3740 0 ) ( * 7140 )
NEW met3 ( 43470 7140 ) ( 43700 * )
NEW met4 ( 43700 7140 ) ( * 21420 )
NEW met3 ( 43700 21420 ) ( 47150 * )
NEW met2 ( 47150 19890 ) ( * 21420 )
NEW met2 ( 47150 19890 ) ( 48070 * )
NEW met1 ( 48070 19890 ) ( 61870 * )
NEW li1 ( 61870 19890 ) L1M1_PR_MR
NEW met2 ( 43470 7140 ) M2M3_PR_M
NEW met3 ( 43700 7140 ) M3M4_PR_M
NEW met3 ( 43700 21420 ) M3M4_PR_M
NEW met2 ( 47150 21420 ) M2M3_PR_M
NEW met1 ( 48070 19890 ) M1M2_PR
NEW met3 ( 43470 7140 ) RECT ( -390 -150 0 150 ) ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output369 X ) + USE SIGNAL
+ ROUTED met1 ( 44850 28050 ) ( 45770 * )
NEW met1 ( 45770 27710 ) ( * 28050 )
NEW met2 ( 44850 3740 0 ) ( * 28050 )
NEW met1 ( 45770 27710 ) ( 48990 * )
NEW met1 ( 44850 28050 ) M1M2_PR
NEW li1 ( 48990 27710 ) L1M1_PR_MR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output370 X ) + USE SIGNAL
+ ROUTED met1 ( 46230 22270 ) ( 59110 * )
NEW met2 ( 46230 3740 0 ) ( * 22270 )
NEW met1 ( 46230 22270 ) M1M2_PR
NEW li1 ( 59110 22270 ) L1M1_PR_MR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output371 X ) + USE SIGNAL
+ ROUTED met1 ( 66470 14110 ) ( * 14450 )
NEW met1 ( 66470 14450 ) ( 71070 * )
NEW met2 ( 47610 3740 0 ) ( * 14110 )
NEW met1 ( 47610 14110 ) ( 66470 * )
NEW li1 ( 71070 14450 ) L1M1_PR_MR
NEW met1 ( 47610 14110 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output372 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 3740 0 ) ( * 19550 )
NEW met1 ( 7590 19550 ) ( 9430 * )
NEW met1 ( 9430 19550 ) ( * 20570 )
NEW met1 ( 9430 20570 ) ( 13110 * )
NEW met1 ( 13110 20570 ) ( * 20910 )
NEW met2 ( 13110 20910 ) ( * 38590 )
NEW met1 ( 13110 38590 ) ( 14950 * )
NEW met1 ( 7590 19550 ) M1M2_PR
NEW met1 ( 13110 20910 ) M1M2_PR
NEW met1 ( 13110 38590 ) M1M2_PR
NEW li1 ( 14950 38590 ) L1M1_PR_MR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output373 X ) + USE SIGNAL
+ ROUTED met2 ( 49450 3740 0 ) ( * 12580 )
NEW met2 ( 49450 12580 ) ( 49910 * )
NEW met2 ( 49910 12580 ) ( * 20740 )
NEW met3 ( 49910 20740 ) ( 62790 * )
NEW met2 ( 62790 20740 ) ( * 23630 )
NEW met2 ( 49910 20740 ) M2M3_PR_M
NEW met2 ( 62790 20740 ) M2M3_PR_M
NEW li1 ( 62790 23630 ) L1M1_PR_MR
NEW met1 ( 62790 23630 ) M1M2_PR
NEW met1 ( 62790 23630 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output374 X ) + USE SIGNAL
+ ROUTED met2 ( 50830 3740 0 ) ( * 6460 )
NEW met2 ( 50830 6460 ) ( 51290 * )
NEW met2 ( 51290 6460 ) ( * 12750 )
NEW met1 ( 51290 12750 ) ( 78430 * )
NEW li1 ( 78430 12750 ) L1M1_PR_MR
NEW met1 ( 51290 12750 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output375 X ) + USE SIGNAL
+ ROUTED met2 ( 9890 3740 0 ) ( * 19550 )
NEW met1 ( 9890 19550 ) ( 15870 * )
NEW met2 ( 15870 19550 ) ( * 44030 )
NEW met1 ( 14950 44030 ) ( 15870 * )
NEW met1 ( 9890 19550 ) M1M2_PR
NEW met1 ( 15870 19550 ) M1M2_PR
NEW met1 ( 15870 44030 ) M1M2_PR
NEW li1 ( 14950 44030 ) L1M1_PR_MR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output376 X ) + USE SIGNAL
+ ROUTED met2 ( 11730 3740 0 ) ( * 13940 )
NEW met3 ( 11730 13940 ) ( 17020 * )
NEW met4 ( 17020 13940 ) ( * 33660 )
NEW met3 ( 17020 33660 ) ( 20010 * )
NEW met2 ( 20010 33660 ) ( * 35870 )
NEW met1 ( 20010 35870 ) ( 22770 * )
NEW met2 ( 11730 13940 ) M2M3_PR_M
NEW met3 ( 17020 13940 ) M3M4_PR_M
NEW met3 ( 17020 33660 ) M3M4_PR_M
NEW met2 ( 20010 33660 ) M2M3_PR_M
NEW met1 ( 20010 35870 ) M1M2_PR
NEW li1 ( 22770 35870 ) L1M1_PR_MR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output377 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 15470 )
NEW met1 ( 11730 15470 ) ( 13110 * )
NEW met2 ( 11730 15470 ) ( * 19890 )
NEW met1 ( 18630 19890 ) ( * 20910 )
NEW met1 ( 18630 20910 ) ( 25070 * )
NEW met1 ( 25070 20570 ) ( * 20910 )
NEW met1 ( 25070 20570 ) ( 25530 * )
NEW met2 ( 25530 20570 ) ( * 35870 )
NEW met1 ( 25530 35870 ) ( 26450 * )
NEW met1 ( 11730 19890 ) ( 18630 * )
NEW met1 ( 13110 15470 ) M1M2_PR
NEW met1 ( 11730 15470 ) M1M2_PR
NEW met1 ( 11730 19890 ) M1M2_PR
NEW met1 ( 25530 20570 ) M1M2_PR
NEW met1 ( 25530 35870 ) M1M2_PR
NEW li1 ( 26450 35870 ) L1M1_PR_MR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output378 X ) + USE SIGNAL
+ ROUTED met2 ( 14490 27540 ) ( 15410 * )
NEW met2 ( 15410 27540 ) ( * 41650 )
NEW met1 ( 15410 41650 ) ( 19550 * )
NEW met2 ( 14490 3740 0 ) ( * 27540 )
NEW met1 ( 15410 41650 ) M1M2_PR
NEW li1 ( 19550 41650 ) L1M1_PR_MR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output379 X ) + USE SIGNAL
+ ROUTED met2 ( 15870 3740 0 ) ( * 7140 )
NEW met2 ( 15410 7140 ) ( 15870 * )
NEW met1 ( 15410 15130 ) ( * 15470 )
NEW met1 ( 15410 15470 ) ( 18170 * )
NEW met2 ( 18170 15470 ) ( * 39950 )
NEW met1 ( 18170 39950 ) ( 25990 * )
NEW met2 ( 15410 7140 ) ( * 15130 )
NEW met1 ( 15410 15130 ) M1M2_PR
NEW met1 ( 18170 15470 ) M1M2_PR
NEW met1 ( 18170 39950 ) M1M2_PR
NEW li1 ( 25990 39950 ) L1M1_PR_MR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output380 X ) + USE SIGNAL
+ ROUTED met2 ( 17250 3740 0 ) ( * 4590 )
NEW met1 ( 15870 4590 ) ( 17250 * )
NEW li1 ( 15870 4590 ) ( * 7650 )
NEW met1 ( 15870 17170 ) ( 17250 * )
NEW met2 ( 17250 17170 ) ( * 43010 )
NEW met1 ( 17250 43010 ) ( 24150 * )
NEW met2 ( 15870 7650 ) ( * 17170 )
NEW met1 ( 17250 4590 ) M1M2_PR
NEW li1 ( 15870 4590 ) L1M1_PR_MR
NEW li1 ( 15870 7650 ) L1M1_PR_MR
NEW met1 ( 15870 7650 ) M1M2_PR
NEW met1 ( 15870 17170 ) M1M2_PR
NEW met1 ( 17250 17170 ) M1M2_PR
NEW met1 ( 17250 43010 ) M1M2_PR
NEW li1 ( 24150 43010 ) L1M1_PR_MR
NEW met1 ( 15870 7650 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output381 X ) + USE SIGNAL
+ ROUTED met2 ( 18630 3740 0 ) ( * 6460 )
NEW met2 ( 18630 6460 ) ( 19090 * )
NEW met2 ( 19090 6460 ) ( * 8500 )
NEW met2 ( 18630 8500 ) ( 19090 * )
NEW met1 ( 18630 17850 ) ( 19090 * )
NEW met1 ( 19090 17850 ) ( * 18530 )
NEW met1 ( 19090 18530 ) ( 24150 * )
NEW met2 ( 24150 18530 ) ( * 44030 )
NEW met1 ( 23230 44030 ) ( 24150 * )
NEW met2 ( 18630 8500 ) ( * 17850 )
NEW met1 ( 18630 17850 ) M1M2_PR
NEW met1 ( 24150 18530 ) M1M2_PR
NEW met1 ( 24150 44030 ) M1M2_PR
NEW li1 ( 23230 44030 ) L1M1_PR_MR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( ANTENNA_input135_A DIODE ) ( input135 A ) + USE SIGNAL
+ ROUTED met2 ( 4370 10030 ) ( * 15130 )
NEW met1 ( 2070 10030 ) ( 4370 * )
NEW met2 ( 2070 3740 0 ) ( * 10030 )
NEW met2 ( 6670 15130 ) ( * 33150 )
NEW met2 ( 14950 33150 ) ( * 52190 )
NEW met1 ( 14030 52190 ) ( 14950 * )
NEW met1 ( 4370 15130 ) ( 14030 * )
NEW met1 ( 6670 33150 ) ( 14950 * )
NEW met1 ( 4370 15130 ) M1M2_PR
NEW met1 ( 4370 10030 ) M1M2_PR
NEW met1 ( 2070 10030 ) M1M2_PR
NEW met1 ( 6670 33150 ) M1M2_PR
NEW met1 ( 6670 15130 ) M1M2_PR
NEW li1 ( 14030 15130 ) L1M1_PR_MR
NEW met1 ( 14950 33150 ) M1M2_PR
NEW met1 ( 14950 52190 ) M1M2_PR
NEW li1 ( 14030 52190 ) L1M1_PR_MR
NEW met1 ( 6670 15130 ) RECT ( -595 -70 0 70 ) ;
- wbs_we_i ( PIN wbs_we_i ) ( ANTENNA_input136_A DIODE ) ( input136 A ) + USE SIGNAL
+ ROUTED met2 ( 8050 28220 ) ( 8970 * )
NEW met2 ( 8050 19890 ) ( * 28220 )
NEW met1 ( 2070 19890 ) ( 8050 * )
NEW met2 ( 2070 10540 ) ( * 19890 )
NEW met2 ( 2070 10540 ) ( 2530 * )
NEW met2 ( 2530 3740 0 ) ( * 10540 )
NEW met1 ( 8050 49470 ) ( 8970 * )
NEW met2 ( 8970 28220 ) ( * 49470 )
NEW met1 ( 8050 19890 ) M1M2_PR
NEW met1 ( 2070 19890 ) M1M2_PR
NEW li1 ( 8970 33490 ) L1M1_PR_MR
NEW met1 ( 8970 33490 ) M1M2_PR
NEW met1 ( 8970 49470 ) M1M2_PR
NEW li1 ( 8050 49470 ) L1M1_PR_MR
NEW met1 ( 8970 33490 ) RECT ( -355 -70 0 70 )
NEW met2 ( 8970 33490 ) RECT ( -70 -485 70 0 ) ;
- web0 ( PIN web0 ) ( output382 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 24140 ) ( * 41650 )
NEW met3 ( 3220 24140 0 ) ( 14030 * )
NEW met1 ( 7590 41650 ) ( 14030 * )
NEW li1 ( 7590 41650 ) L1M1_PR_MR
NEW met2 ( 14030 24140 ) M2M3_PR_M
NEW met1 ( 14030 41650 ) M1M2_PR ;
END NETS
END DESIGN