blob: 2d2d2d3b185b0f4c2c1a7a427737b600aab37ab2 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "interconnect_outer")
(DATE "Sat Dec 31 14:14:26 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.2")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "interconnect_outer")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT inner_wb_4_burst input1.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_4_burst ANTENNA_input1_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_8_burst input2.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_8_burst ANTENNA_input2_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[0] input3.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[0] ANTENNA_input3_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[10] input4.A (0.034:0.034:0.034) (0.016:0.016:0.016))
(INTERCONNECT inner_wb_adr[10] ANTENNA_input4_A.DIODE (0.034:0.034:0.034) (0.016:0.016:0.016))
(INTERCONNECT inner_wb_adr[11] input5.A (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT inner_wb_adr[11] ANTENNA_input5_A.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT inner_wb_adr[12] input6.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT inner_wb_adr[12] ANTENNA_input6_A.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT inner_wb_adr[13] input7.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[13] ANTENNA_input7_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[14] input8.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[14] ANTENNA_input8_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[15] input9.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[15] ANTENNA_input9_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[16] input10.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[16] ANTENNA_input10_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[17] input11.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[17] ANTENNA_input11_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[18] input12.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[18] ANTENNA_input12_A.DIODE (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[19] input13.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[19] ANTENNA_input13_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT inner_wb_adr[1] input14.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[1] ANTENNA_input14_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[20] input15.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[20] ANTENNA_input15_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[21] input16.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[21] ANTENNA_input16_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_adr[22] input17.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[22] ANTENNA_input17_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[23] input18.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[23] ANTENNA_input18_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_adr[2] input19.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_adr[2] ANTENNA_input19_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_adr[3] input20.A (0.035:0.035:0.035) (0.016:0.016:0.016))
(INTERCONNECT inner_wb_adr[3] ANTENNA_input20_A.DIODE (0.035:0.035:0.035) (0.017:0.017:0.017))
(INTERCONNECT inner_wb_adr[4] input21.A (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT inner_wb_adr[4] ANTENNA_input21_A.DIODE (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT inner_wb_adr[5] input22.A (0.041:0.041:0.041) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_adr[5] ANTENNA_input22_A.DIODE (0.041:0.041:0.041) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_adr[6] input23.A (0.029:0.029:0.029) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_adr[6] ANTENNA_input23_A.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
(INTERCONNECT inner_wb_adr[7] input24.A (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_adr[7] ANTENNA_input24_A.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_adr[8] input25.A (0.038:0.038:0.038) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_adr[8] ANTENNA_input25_A.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_adr[9] input26.A (0.048:0.048:0.048) (0.023:0.023:0.023))
(INTERCONNECT inner_wb_adr[9] ANTENNA_input26_A.DIODE (0.048:0.048:0.048) (0.023:0.023:0.023))
(INTERCONNECT inner_wb_cyc input27.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_cyc ANTENNA_input27_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[0] input28.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[0] ANTENNA_input28_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[10] input29.A (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_o_dat[10] ANTENNA_input29_A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_o_dat[11] input30.A (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT inner_wb_o_dat[11] ANTENNA_input30_A.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT inner_wb_o_dat[12] input31.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_o_dat[12] ANTENNA_input31_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_o_dat[13] input32.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_o_dat[13] ANTENNA_input32_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_o_dat[14] input33.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[14] ANTENNA_input33_A.DIODE (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[15] input34.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[15] ANTENNA_input34_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_o_dat[1] input35.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT inner_wb_o_dat[1] ANTENNA_input35_A.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT inner_wb_o_dat[2] input36.A (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_o_dat[2] ANTENNA_input36_A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT inner_wb_o_dat[3] input37.A (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[3] ANTENNA_input37_A.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[4] input38.A (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[4] ANTENNA_input38_A.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[5] input39.A (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT inner_wb_o_dat[5] ANTENNA_input39_A.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026))
(INTERCONNECT inner_wb_o_dat[6] input40.A (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT inner_wb_o_dat[6] ANTENNA_input40_A.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT inner_wb_o_dat[7] input41.A (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[7] ANTENNA_input41_A.DIODE (0.041:0.041:0.041) (0.019:0.019:0.019))
(INTERCONNECT inner_wb_o_dat[8] input42.A (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_o_dat[8] ANTENNA_input42_A.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_o_dat[9] input43.A (0.038:0.038:0.038) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_o_dat[9] ANTENNA_input43_A.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018))
(INTERCONNECT inner_wb_sel[0] input44.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_sel[0] ANTENNA_input44_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT inner_wb_sel[1] input45.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT inner_wb_sel[1] ANTENNA_input45_A.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT inner_wb_stb input46.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_stb ANTENNA_input46_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_we input47.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT inner_wb_we ANTENNA_input47_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT iram_o_data[0] input48.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT iram_o_data[0] ANTENNA_input48_A.DIODE (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT iram_o_data[10] input49.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[10] ANTENNA_input49_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[11] input50.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[11] ANTENNA_input50_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[12] input51.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[12] ANTENNA_input51_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[13] input52.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[13] ANTENNA_input52_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[14] input53.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[14] ANTENNA_input53_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[15] input54.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT iram_o_data[15] ANTENNA_input54_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT iram_o_data[1] input55.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[1] ANTENNA_input55_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[2] input56.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[2] ANTENNA_input56_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[3] input57.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[3] ANTENNA_input57_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[4] input58.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[4] ANTENNA_input58_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[5] input59.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[5] ANTENNA_input59_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[6] input60.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[6] ANTENNA_input60_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[7] input61.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[7] ANTENNA_input61_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[8] input62.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[8] ANTENNA_input62_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[9] input63.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT iram_o_data[9] ANTENNA_input63_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[0] hold880.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT la_data_in[0] ANTENNA_hold880_A.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT la_oenb[0] hold969.A (0.048:0.048:0.048) (0.023:0.023:0.023))
(INTERCONNECT la_oenb[0] ANTENNA_hold969_A.DIODE (0.048:0.048:0.048) (0.023:0.023:0.023))
(INTERCONNECT m_io_in[0] input66.A (0.058:0.058:0.058) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[0] ANTENNA_input66_A.DIODE (0.059:0.059:0.059) (0.029:0.029:0.029))
(INTERCONNECT m_io_in[10] input67.A (0.073:0.073:0.073) (0.036:0.036:0.036))
(INTERCONNECT m_io_in[10] ANTENNA_input67_A.DIODE (0.073:0.073:0.073) (0.036:0.036:0.036))
(INTERCONNECT m_io_in[11] input68.A (0.063:0.063:0.063) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[11] ANTENNA_input68_A.DIODE (0.063:0.063:0.063) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[12] input69.A (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT m_io_in[12] ANTENNA_input69_A.DIODE (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT m_io_in[13] input70.A (0.056:0.056:0.056) (0.027:0.027:0.027))
(INTERCONNECT m_io_in[13] ANTENNA_input70_A.DIODE (0.056:0.056:0.056) (0.027:0.027:0.027))
(INTERCONNECT m_io_in[14] input71.A (0.076:0.076:0.076) (0.037:0.037:0.037))
(INTERCONNECT m_io_in[14] ANTENNA_input71_A.DIODE (0.077:0.077:0.077) (0.038:0.038:0.038))
(INTERCONNECT m_io_in[15] input72.A (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT m_io_in[15] ANTENNA_input72_A.DIODE (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT m_io_in[16] input73.A (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT m_io_in[16] ANTENNA_input73_A.DIODE (0.072:0.072:0.072) (0.035:0.035:0.035))
(INTERCONNECT m_io_in[17] input74.A (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT m_io_in[17] ANTENNA_input74_A.DIODE (0.080:0.080:0.080) (0.039:0.039:0.039))
(INTERCONNECT m_io_in[18] input75.A (0.078:0.078:0.078) (0.038:0.038:0.038))
(INTERCONNECT m_io_in[18] ANTENNA_input75_A.DIODE (0.078:0.078:0.078) (0.039:0.039:0.039))
(INTERCONNECT m_io_in[19] input76.A (0.084:0.084:0.084) (0.041:0.041:0.041))
(INTERCONNECT m_io_in[19] ANTENNA_input76_A.DIODE (0.084:0.084:0.084) (0.041:0.041:0.041))
(INTERCONNECT m_io_in[1] input77.A (0.070:0.070:0.070) (0.034:0.034:0.034))
(INTERCONNECT m_io_in[1] ANTENNA_input77_A.DIODE (0.070:0.070:0.070) (0.034:0.034:0.034))
(INTERCONNECT m_io_in[20] input78.A (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT m_io_in[20] ANTENNA_input78_A.DIODE (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT m_io_in[21] input79.A (0.063:0.063:0.063) (0.030:0.030:0.030))
(INTERCONNECT m_io_in[21] ANTENNA_input79_A.DIODE (0.063:0.063:0.063) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[22] input80.A (0.058:0.058:0.058) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[22] ANTENNA_input80_A.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[23] input81.A (0.055:0.055:0.055) (0.026:0.026:0.026))
(INTERCONNECT m_io_in[23] ANTENNA_input81_A.DIODE (0.055:0.055:0.055) (0.027:0.027:0.027))
(INTERCONNECT m_io_in[24] input82.A (0.065:0.065:0.065) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[24] ANTENNA_input82_A.DIODE (0.065:0.065:0.065) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[25] input83.A (0.064:0.064:0.064) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[25] ANTENNA_input83_A.DIODE (0.065:0.065:0.065) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[26] input84.A (0.064:0.064:0.064) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[26] ANTENNA_input84_A.DIODE (0.064:0.064:0.064) (0.031:0.031:0.031))
(INTERCONNECT m_io_in[27] input85.A (0.066:0.066:0.066) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[27] ANTENNA_input85_A.DIODE (0.067:0.067:0.067) (0.033:0.033:0.033))
(INTERCONNECT m_io_in[2] input86.A (0.053:0.053:0.053) (0.025:0.025:0.025))
(INTERCONNECT m_io_in[2] ANTENNA_input86_A.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT m_io_in[30] input87.A (0.067:0.067:0.067) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[30] ANTENNA_input87_A.DIODE (0.067:0.067:0.067) (0.033:0.033:0.033))
(INTERCONNECT m_io_in[31] input88.A (0.082:0.082:0.082) (0.040:0.040:0.040))
(INTERCONNECT m_io_in[31] ANTENNA_input88_A.DIODE (0.083:0.083:0.083) (0.041:0.041:0.041))
(INTERCONNECT m_io_in[32] input89.A (0.052:0.052:0.052) (0.025:0.025:0.025))
(INTERCONNECT m_io_in[32] ANTENNA_input89_A.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025))
(INTERCONNECT m_io_in[33] input90.A (0.058:0.058:0.058) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[33] ANTENNA_input90_A.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[34] input91.A (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT m_io_in[34] ANTENNA_input91_A.DIODE (0.075:0.075:0.075) (0.037:0.037:0.037))
(INTERCONNECT m_io_in[35] input92.A (0.057:0.057:0.057) (0.027:0.027:0.027))
(INTERCONNECT m_io_in[35] ANTENNA_input92_A.DIODE (0.057:0.057:0.057) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[3] input93.A (0.066:0.066:0.066) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[3] ANTENNA_input93_A.DIODE (0.066:0.066:0.066) (0.032:0.032:0.032))
(INTERCONNECT m_io_in[4] input94.A (0.055:0.055:0.055) (0.026:0.026:0.026))
(INTERCONNECT m_io_in[4] ANTENNA_input94_A.DIODE (0.056:0.056:0.056) (0.027:0.027:0.027))
(INTERCONNECT m_io_in[5] input95.A (0.059:0.059:0.059) (0.028:0.028:0.028))
(INTERCONNECT m_io_in[5] ANTENNA_input95_A.DIODE (0.060:0.060:0.060) (0.029:0.029:0.029))
(INTERCONNECT m_io_in[6] input96.A (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT m_io_in[6] ANTENNA_input96_A.DIODE (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT m_io_in[7] input97.A (0.060:0.060:0.060) (0.029:0.029:0.029))
(INTERCONNECT m_io_in[7] ANTENNA_input97_A.DIODE (0.060:0.060:0.060) (0.029:0.029:0.029))
(INTERCONNECT mgt_wb_rst_i hold869.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT mgt_wb_rst_i ANTENNA_hold869_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT user_clock2 clkbuf_0_user_clock2.A (0.128:0.128:0.128) (0.062:0.062:0.062))
(INTERCONNECT user_clock2 ANTENNA_clkbuf_0_user_clock2_A.DIODE (0.128:0.128:0.128) (0.062:0.062:0.062))
(INTERCONNECT _1366_.X _1367_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X _1394_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X _1396_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X _1398_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X _1404_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1366_.X _1405_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1366_.X _1406_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X _1419_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1366_.X _1420_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X _1421_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X ANTENNA__1421__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X ANTENNA__1420__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X ANTENNA__1419__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1366_.X ANTENNA__1406__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1366_.X ANTENNA__1405__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1366_.X ANTENNA__1404__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1366_.X ANTENNA__1398__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X ANTENNA__1396__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1366_.X ANTENNA__1394__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1366_.X ANTENNA__1367__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1367_.X _1368_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1367_.X _1373_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1367_.X _1384_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1367_.X _1411_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1367_.X _1426_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1367_.X _1427_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1367_.X _1446_.A_N (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1367_.X _1447_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1367_.X _1448_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1367_.X _1635_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1367_.X ANTENNA__1635__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1367_.X ANTENNA__1448__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1367_.X ANTENNA__1447__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1367_.X ANTENNA__1446__A_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1367_.X ANTENNA__1427__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1367_.X ANTENNA__1426__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1367_.X ANTENNA__1411__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1367_.X ANTENNA__1384__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1367_.X ANTENNA__1373__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1367_.X ANTENNA__1368__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X _1369_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1368_.X _1371_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1368_.X _1550_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X _1552_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X _1554_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X _1650_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1368_.X _2041_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1368_.X _2044_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1368_.X _2771_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1368_.X _2772_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1368_.X ANTENNA__2772__A1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1368_.X ANTENNA__2771__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1368_.X ANTENNA__2044__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1368_.X ANTENNA__2041__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1368_.X ANTENNA__1650__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1368_.X ANTENNA__1554__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X ANTENNA__1552__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X ANTENNA__1550__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1368_.X ANTENNA__1371__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1368_.X ANTENNA__1369__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1369_.X _1370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1370_.X _2072_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1370_.X _2319_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1370_.X _2739_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X _2763_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X output143.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X ANTENNA_output143_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X ANTENNA__2763__A0.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X ANTENNA__2739__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1370_.X ANTENNA__2319__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1370_.X ANTENNA__2072__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1371_.X _1372_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1371_.X ANTENNA__1372__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1372_.X _2069_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1372_.X _2315_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1372_.X _2738_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1372_.X _2760_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1372_.X output142.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1372_.X ANTENNA_output142_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1372_.X ANTENNA__2760__A0.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1372_.X ANTENNA__2738__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1372_.X ANTENNA__2315__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1372_.X ANTENNA__2069__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1373_.X _1374_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1373_.X _1376_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1373_.X _1378_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1373_.X _1380_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1373_.X _1382_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1373_.X _1556_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1373_.X _1558_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1373_.X _1560_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1373_.X _1562_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1373_.X _1564_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1373_.X ANTENNA__1564__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1373_.X ANTENNA__1562__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1373_.X ANTENNA__1560__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1373_.X ANTENNA__1558__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1373_.X ANTENNA__1556__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1373_.X ANTENNA__1382__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1373_.X ANTENNA__1380__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1373_.X ANTENNA__1378__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1373_.X ANTENNA__1376__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1373_.X ANTENNA__1374__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1374_.X _1375_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1374_.X ANTENNA__1375__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X _2067_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1375_.X _2311_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1375_.X _2737_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1375_.X _2757_.A0 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X output141.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X ANTENNA_output141_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X ANTENNA__2757__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X ANTENNA__2737__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1375_.X ANTENNA__2311__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1375_.X ANTENNA__2067__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1376_.X _1377_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1377_.X _2065_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1377_.X _2303_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1377_.X _2736_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1377_.X _2754_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1377_.X output140.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1377_.X ANTENNA_output140_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1377_.X ANTENNA__2754__A0.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1377_.X ANTENNA__2736__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1377_.X ANTENNA__2303__A0.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1377_.X ANTENNA__2065__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1378_.X _1379_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1378_.X ANTENNA__1379__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1379_.X _1782_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1379_.X _2063_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1379_.X _2298_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1379_.X _2735_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X _2751_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X output139.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X ANTENNA_output139_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X ANTENNA__2751__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X ANTENNA__2735__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1379_.X ANTENNA__2298__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1379_.X ANTENNA__2063__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1379_.X ANTENNA__1782__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1380_.X _1381_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1380_.X ANTENNA__1381__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1381_.X _1780_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1381_.X _2061_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1381_.X _2292_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1381_.X _2734_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X _2748_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X output138.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X ANTENNA_output138_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X ANTENNA__2748__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X ANTENNA__2734__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1381_.X ANTENNA__2292__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1381_.X ANTENNA__2061__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1381_.X ANTENNA__1780__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1382_.X _1383_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1382_.X ANTENNA__1383__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1383_.X _1778_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1383_.X _2059_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1383_.X _2284_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1383_.X _2733_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1383_.X _2745_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1383_.X output137.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1383_.X ANTENNA_output137_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1383_.X ANTENNA__2745__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1383_.X ANTENNA__2733__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1383_.X ANTENNA__2284__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1383_.X ANTENNA__2059__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1383_.X ANTENNA__1778__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1384_.X hold366.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1385_.X _1776_.A0 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1385_.X _2057_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1385_.X _2277_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1385_.X _2732_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1385_.X _2742_.A0 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1385_.X output130.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1385_.X ANTENNA_output130_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1385_.X ANTENNA__2742__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1385_.X ANTENNA__2732__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1385_.X ANTENNA__2277__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1385_.X ANTENNA__2057__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1385_.X ANTENNA__1776__A0.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1386_.X hold233.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1387_.X _1429_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1387_.X _1441_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1387_.X _2097_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1387_.X output125.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1387_.X hold234.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1387_.X ANTENNA_hold234_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1387_.X ANTENNA_output125_A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1387_.X ANTENNA__2097__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1387_.X ANTENNA__1441__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1387_.X ANTENNA__1429__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1388_.X hold214.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1389_.X _1429_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1389_.X _1441_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1389_.X _2095_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1389_.X output124.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1389_.X hold215.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1389_.X ANTENNA_hold215_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1389_.X ANTENNA_output124_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1389_.X ANTENNA__2095__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1389_.X ANTENNA__1441__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1389_.X ANTENNA__1429__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1390_.X hold227.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1391_.X _1429_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1391_.X _1442_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1391_.X _1568_.D_N (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1391_.X _2093_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1391_.X _2729_.D_N (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1391_.X output123.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1391_.X hold228.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1391_.X ANTENNA_hold228_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1391_.X ANTENNA_output123_A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1391_.X ANTENNA__2729__D_N.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1391_.X ANTENNA__2093__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1391_.X ANTENNA__1568__D_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1391_.X ANTENNA__1442__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1391_.X ANTENNA__1429__C.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1392_.X hold220.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1393_.X _1429_.D_N (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1393_.X _1442_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _1393_.X _1568_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1393_.X _2090_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1393_.X _2729_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1393_.X output122.A (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _1393_.X hold221.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1393_.X ANTENNA_hold221_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1393_.X ANTENNA_output122_A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _1393_.X ANTENNA__2729__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _1393_.X ANTENNA__2090__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1393_.X ANTENNA__1568__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _1393_.X ANTENNA__1442__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1393_.X ANTENNA__1429__D_N.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1394_.X hold201.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1395_.X _1430_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X _1440_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X _2103_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X output128.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1395_.X hold202.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X ANTENNA_hold202_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X ANTENNA_output128_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1395_.X ANTENNA__2103__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1395_.X ANTENNA__1440__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1395_.X ANTENNA__1430__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1396_.X hold247.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1397_.X _1430_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1397_.X _1440_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1397_.X _2101_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1397_.X output127.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1397_.X hold248.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1397_.X ANTENNA_hold248_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1397_.X ANTENNA_output127_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1397_.X ANTENNA__2101__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1397_.X ANTENNA__1440__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1397_.X ANTENNA__1430__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1398_.X hold259.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1399_.X _1430_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1399_.X _1440_.D_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1399_.X _2099_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1399_.X output126.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1399_.X hold260.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1399_.X ANTENNA_hold260_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1399_.X ANTENNA_output126_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1399_.X ANTENNA__2099__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1399_.X ANTENNA__1440__D_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1399_.X ANTENNA__1430__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1400_.X _1401_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1400_.X _1402_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1400_.X _1409_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1400_.X _1410_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X _1412_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X _1414_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1400_.X _1415_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1400_.X _1416_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1400_.X _1417_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X _1422_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X ANTENNA__1422__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X ANTENNA__1417__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X ANTENNA__1416__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1400_.X ANTENNA__1415__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1400_.X ANTENNA__1414__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1400_.X ANTENNA__1412__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X ANTENNA__1410__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1400_.X ANTENNA__1409__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1400_.X ANTENNA__1402__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1400_.X ANTENNA__1401__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1401_.X _1505_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1401_.X hold159.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1401_.X ANTENNA_hold159_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1401_.X ANTENNA__1505__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1402_.X hold196.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1403_.X _1507_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1404_.X _1407_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X _1432_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X _1487_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X _2122_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X ANTENNA__2122__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X ANTENNA__1487__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X ANTENNA__1432__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1404_.X ANTENNA__1407__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1405_.X hold176.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1406_.X hold181.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1407_.X _1408_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1408_.X _1425_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1408_.X _1510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1409_.X _2328_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1409_.X hold477.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1410_.X _1413_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X _1437_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X _2114_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X _2338_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1410_.X ANTENNA__2338__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X ANTENNA__2114__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X ANTENNA__1437__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1410_.X ANTENNA__1413__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1411_.X _1413_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1411_.X _1508_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1411_.X _2116_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1411_.X _2343_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1412_.X _1413_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1412_.X _1437_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1412_.X _2111_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1412_.X _2333_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1412_.X ANTENNA__2333__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1412_.X ANTENNA__2111__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1412_.X ANTENNA__1437__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1412_.X ANTENNA__1413__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1413_.X _1424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1414_.X _1505_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1414_.X _1506_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1414_.X hold171.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1415_.X _1418_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1415_.X _2323_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1415_.X hold384.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1416_.X _1505_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1416_.X _1506_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1416_.X hold239.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1417_.X _1484_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1417_.X _1506_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1417_.X hold341.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1417_.X ANTENNA_hold341_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1417_.X ANTENNA__1506__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1417_.X ANTENNA__1484__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1418_.X _1424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1419_.X hold186.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1420_.X hold191.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1421_.X hold207.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1422_.X hold166.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1423_.X _1424_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1424_.X _1425_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1424_.X _1510_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1425_.Y _1452_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1425_.Y _1499_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1425_.Y _1502_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1425_.Y _1574_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1426_.Y _1428_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1426_.Y _1649_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1426_.Y _2047_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1426_.Y _2052_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1427_.X hold522.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1428_.Y _1438_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1428_.Y _1439_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1428_.Y _2728_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1429_.X _1431_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1429_.X _1567_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1429_.X _2740_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1430_.X _1431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1431_.X _1438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1431_.X _1512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1432_.X _1509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1436_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1433_.X _1509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1434_.X _1436_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1434_.X _1507_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1435_.X _1436_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1436_.X _1438_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1436_.X _1443_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1436_.X _1512_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1436_.X _1566_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1436_.X _1970_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1436_.X _2728_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1437_.X _1438_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1437_.X _1439_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1437_.X _1509_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1437_.X _2728_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1437_.X ANTENNA__2728__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1437_.X ANTENNA__1509__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1437_.X ANTENNA__1439__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1437_.X ANTENNA__1438__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1438_.X _1499_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1438_.X _1502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1438_.X _1775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1438_.X hold523.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1439_.X _1443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1439_.X _1512_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1439_.X _1566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1443_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _1566_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1440_.X _2728_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1442_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _1568_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1441_.X _2729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1442_.X _1443_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1443_.X _1444_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1443_.X _1499_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1443_.X _1502_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1443_.X _1504_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1444_.X _1452_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1444_.X _1574_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1444_.X _1578_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X _1583_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X _1588_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X _1593_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X _1598_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X _1603_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X _1608_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X _1613_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1444_.X ANTENNA__1613__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1608__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X ANTENNA__1603__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X ANTENNA__1598__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1593__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1588__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1583__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1444_.X ANTENNA__1578__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1574__C.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1444_.X ANTENNA__1452__C.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1445_.Y _1449_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1445_.Y _1494_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1445_.Y _1525_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1445_.Y _1536_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1445_.Y _1543_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1445_.Y _1757_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1445_.Y _2028_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1445_.Y ANTENNA__2028__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1445_.Y ANTENNA__1757__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1445_.Y ANTENNA__1543__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1445_.Y ANTENNA__1536__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1445_.Y ANTENNA__1525__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1445_.Y ANTENNA__1494__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1445_.Y ANTENNA__1449__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1446_.X _1447_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1446_.X _1448_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1446_.X _1514_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1447_.X _1449_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1447_.X _1970_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1447_.X _2150_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1448_.X _1449_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1448_.X _1775_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1448_.X _2141_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1449_.X _1451_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1449_.X _1503_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1449_.X _1636_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1449_.X _1705_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1450_.X _1451_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1451_.X _1452_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1452_.X _1491_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1452_.X hold524.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1453_.X _1454_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1453_.X _1465_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1453_.X _1801_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1454_.Y _1460_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1454_.Y _1470_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1454_.Y _1642_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1454_.Y _2264_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1455_.X _1459_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1456_.Y _1459_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1457_.Y _1459_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1457_.Y _1808_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1457_.Y _1810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1458_.X _1459_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1459_.X _1460_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _1465_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _1646_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _1804_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _1808_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1459_.X _2264_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1460_.Y _1462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1460_.Y _2265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1461_.Y hold663.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1462_.Y hold963.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1463_.Y _1464_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1463_.Y _1469_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1464_.Y _1468_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1464_.Y _2273_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1464_.Y _2276_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1465_.X hold525.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1466_.Y _1467_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1466_.Y _1643_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1466_.Y _1812_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1466_.Y _1834_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1466_.Y _1866_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1466_.Y _1898_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1466_.Y _1930_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1466_.Y _1961_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1466_.Y _2191_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1466_.Y ANTENNA__2191__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1466_.Y ANTENNA__1961__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1466_.Y ANTENNA__1930__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1466_.Y ANTENNA__1898__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1466_.Y ANTENNA__1866__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1466_.Y ANTENNA__1834__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1466_.Y ANTENNA__1812__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1466_.Y ANTENNA__1643__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1466_.Y ANTENNA__1467__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1467_.X _1468_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X _1471_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X _1475_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1467_.X _2184_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X _2193_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X _2272_.C1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1467_.X _2281_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X _2290_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X _2296_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X _2301_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X ANTENNA__2301__C1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X ANTENNA__2296__C1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X ANTENNA__2290__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1467_.X ANTENNA__2281__C1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1467_.X ANTENNA__2272__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1467_.X ANTENNA__2193__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X ANTENNA__2184__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X ANTENNA__1475__B1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1467_.X ANTENNA__1471__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1467_.X ANTENNA__1468__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1468_.X hold526.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1469_.X _1471_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1469_.X _2269_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1470_.X hold660.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1471_.X hold661.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1472_.X _1473_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1472_.X _1802_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1472_.X _2279_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1472_.X _2312_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1472_.X _2325_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X _2330_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X _2335_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X _2340_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X _2345_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1472_.X _2357_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1472_.X ANTENNA__2357__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1472_.X ANTENNA__2345__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1472_.X ANTENNA__2340__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1472_.X ANTENNA__2335__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X ANTENNA__2330__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X ANTENNA__2325__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1472_.X ANTENNA__2312__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1472_.X ANTENNA__2279__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1472_.X ANTENNA__1802__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1472_.X ANTENNA__1473__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1473_.X _1475_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1473_.X _2267_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1473_.X _2289_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1473_.X _2295_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1473_.X _2300_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1473_.X _2305_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X _2317_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X _2321_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X _2350_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1473_.X _2354_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1473_.X ANTENNA__2354__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1473_.X ANTENNA__2350__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1473_.X ANTENNA__2321__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X ANTENNA__2317__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X ANTENNA__2305__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1473_.X ANTENNA__2300__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1473_.X ANTENNA__2295__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1473_.X ANTENNA__2289__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1473_.X ANTENNA__2267__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1473_.X ANTENNA__1475__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1474_.X _1475_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1475_.X hold865.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1476_.X hold208.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1477_.X hold177.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1478_.X hold151.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1479_.X hold192.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1480_.X _2353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1480_.X hold240.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1481_.X _1491_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1482_.X hold187.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1483_.X hold172.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1484_.X _1490_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1484_.X _2349_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1485_.X hold197.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1486_.X hold182.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1487_.X _1489_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1487_.X _2357_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1487_.X ANTENNA__2357__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1487_.X ANTENNA__1489__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1488_.X hold167.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1489_.X _1490_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1490_.X _1491_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1491_.Y hold241.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1492_.X _1493_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1492_.X _1803_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1493_.X hold242.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1494_.X _1495_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1494_.X _1526_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1494_.X _1532_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1494_.X _1716_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1494_.X _1747_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1494_.X _1997_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1494_.X _2150_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1494_.X _2152_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1494_.X _2161_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1494_.X _2198_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1494_.X ANTENNA__2198__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1494_.X ANTENNA__2161__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1494_.X ANTENNA__2152__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1494_.X ANTENNA__2150__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1494_.X ANTENNA__1997__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1494_.X ANTENNA__1747__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1494_.X ANTENNA__1716__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1494_.X ANTENNA__1532__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1494_.X ANTENNA__1526__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1494_.X ANTENNA__1495__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X _1527_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1495_.X _1540_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X _1545_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X _1708_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X _1711_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X _1714_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X _2141_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1495_.X _2260_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X _2705_.C1 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X _2772_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X ANTENNA__2772__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X ANTENNA__2705__C1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X ANTENNA__2260__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1495_.X ANTENNA__2141__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1495_.X ANTENNA__1714__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X ANTENNA__1711__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X ANTENNA__1708__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1495_.X ANTENNA__1545__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X ANTENNA__1540__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1495_.X ANTENNA__1527__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1496_.X _1515_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1496_.X _1531_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1496_.X _1653_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1497_.X _1498_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1497_.X _1965_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1498_.X _1499_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1498_.X ANTENNA__1499__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1499_.X _1514_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1499_.X _1650_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1499_.X _1660_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1499_.X ANTENNA__1660__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1499_.X ANTENNA__1650__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1499_.X ANTENNA__1514__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1500_.X _1501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1500_.X hold822.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1501_.X _1502_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1501_.X ANTENNA__1502__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1502_.X _1514_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1502_.X _1648_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1503_.Y _1504_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1503_.Y _2725_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1503_.Y _2730_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1504_.Y _1514_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1504_.Y _1648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1505_.X _1507_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1506_.Y _1507_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1507_.X _1510_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1508_.X _1509_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1509_.Y _1510_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1510_.X _1511_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1511_.X _1513_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1511_.X _1577_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1511_.X _1582_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X _1587_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X _1592_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X _1597_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X _1602_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1511_.X _1607_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1511_.X _1612_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1511_.X _1614_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1511_.X ANTENNA__1614__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1511_.X ANTENNA__1612__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1511_.X ANTENNA__1607__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1602__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1597__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1592__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1587__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1582__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1511_.X ANTENNA__1577__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1511_.X ANTENNA__1513__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1512_.X _1513_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1512_.X _1574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1513_.X hold627.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1514_.X _1515_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1514_.X _1658_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X _2367_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1514_.X _2396_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X _2709_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X ANTENNA__2709__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X ANTENNA__2396__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X ANTENNA__2367__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1514_.X ANTENNA__1658__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1514_.X ANTENNA__1515__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1515_.X _1527_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1515_.X _1533_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1515_.X _1539_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1516_.Y _1523_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1516_.Y _1528_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1516_.Y _1535_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1516_.Y _1542_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1516_.Y _2362_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1516_.Y _2708_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1516_.Y hold740.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1517_.Y _1522_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1517_.Y _2483_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1517_.Y _2502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1517_.Y _2553_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1517_.Y _2716_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _1519_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2375_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1518_.X _2376_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1518_.X _2438_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2444_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2446_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1518_.X _2474_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2713_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1518_.X _2720_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1519_.Y _1521_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.Y _2393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1519_.Y _2451_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1519_.Y _2454_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.Y _2459_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.Y _2464_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.Y _2715_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1519_.Y _2721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1520_.Y _1521_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1520_.Y _2372_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1520_.Y _2393_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1520_.Y _2449_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1520_.Y _2451_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1520_.Y _2713_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1520_.Y _2714_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1520_.Y _2719_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X _1522_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X _1542_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X _2376_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1521_.X _2380_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X _2382_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1521_.X _2391_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1521_.X _2468_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X _2492_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X _2564_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1521_.X ANTENNA__2564__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1521_.X ANTENNA__2492__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X ANTENNA__2468__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X ANTENNA__2391__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1521_.X ANTENNA__2382__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1521_.X ANTENNA__2380__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X ANTENNA__2376__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1521_.X ANTENNA__1542__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1521_.X ANTENNA__1522__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1522_.X _1523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _2394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1522_.X _2543_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1523_.X _1524_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1523_.X _1533_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1523_.X _1538_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1524_.Y _1526_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1524_.Y _1540_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1525_.X hold758.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1526_.X hold759.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1527_.X hold760.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1528_.X _1531_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1528_.X _1547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1529_.X _1530_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X _1535_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1529_.X _1546_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1529_.X _1659_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1529_.X _1775_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X _1788_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X _1794_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X _2167_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1529_.X _2398_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1529_.X _2495_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1529_.X ANTENNA__2495__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1529_.X ANTENNA__2398__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1529_.X ANTENNA__2167__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1529_.X ANTENNA__1794__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X ANTENNA__1788__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X ANTENNA__1775__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1529_.X ANTENNA__1659__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1529_.X ANTENNA__1546__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1529_.X ANTENNA__1535__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1529_.X ANTENNA__1530__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1530_.X _1531_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1530_.X _1785_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1530_.X _1966_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1530_.X _2155_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1530_.X _2171_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1530_.X _2385_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1530_.X _2395_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1530_.X _2724_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1530_.X _2732_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1530_.X _2733_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1530_.X ANTENNA__2733__C1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1530_.X ANTENNA__2732__C1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1530_.X ANTENNA__2724__C1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1530_.X ANTENNA__2395__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1530_.X ANTENNA__2385__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1530_.X ANTENNA__2171__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1530_.X ANTENNA__2155__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1530_.X ANTENNA__1966__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1530_.X ANTENNA__1785__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1530_.X ANTENNA__1531__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1531_.X hold790.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1532_.X _1549_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1532_.X hold857.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1533_.X _3025_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1534_.X _1538_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1534_.X _2435_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1534_.X _2448_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1534_.X _2462_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1534_.X _2469_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1534_.X _2472_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1534_.X _2486_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1534_.X _2544_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1534_.X _2553_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1534_.X _2558_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1534_.X ANTENNA__2558__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1534_.X ANTENNA__2553__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1534_.X ANTENNA__2544__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1534_.X ANTENNA__2486__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1534_.X ANTENNA__2472__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1534_.X ANTENNA__2469__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1534_.X ANTENNA__2462__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1534_.X ANTENNA__2448__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1534_.X ANTENNA__2435__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1534_.X ANTENNA__1538__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1535_.Y _1538_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1535_.Y _2567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1535_.Y _2621_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1536_.Y _2433_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1536_.Y hold546.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1537_.Y _1538_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1537_.Y _1540_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1538_.X _3024_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1539_.Y _1540_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1539_.Y _1549_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1540_.X _3019_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1541_.X _1542_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1541_.X _2382_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1541_.X _2460_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2505_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1541_.X _2509_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2519_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2545_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2554_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2561_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1541_.X _2564_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1542_.X _1544_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1542_.X _1548_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1543_.X _1544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1543_.X _1548_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1544_.X _1545_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1545_.X hold741.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1546_.X _1547_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1546_.X _1972_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1546_.X _2164_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1546_.X _2168_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1546_.X _2734_.C1 (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X _2735_.C1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X _2736_.C1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X _2737_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1546_.X _2738_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1546_.X _2739_.C1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2739__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2738__C1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2737__C1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2736__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2735__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2734__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1546_.X ANTENNA__2168__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1546_.X ANTENNA__2164__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1546_.X ANTENNA__1972__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1546_.X ANTENNA__1547__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1547_.Y _1548_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1547_.Y _1549_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1548_.X hold357.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1549_.X hold738.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1550_.X _1551_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1550_.X ANTENNA__1551__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1551_.X _2074_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1551_.X _2324_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1551_.X output144.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1551_.X ANTENNA_output144_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1551_.X ANTENNA__2324__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1551_.X ANTENNA__2074__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1552_.X _1553_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1552_.X ANTENNA__1553__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1553_.X _2076_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1553_.X _2329_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1553_.X output145.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1553_.X ANTENNA_output145_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1553_.X ANTENNA__2329__A0.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1553_.X ANTENNA__2076__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1554_.X _1555_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1555_.X _2078_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1555_.X _2334_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1555_.X output131.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1555_.X ANTENNA_output131_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1555_.X ANTENNA__2334__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1555_.X ANTENNA__2078__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1556_.X _1557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1557_.X _2080_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1557_.X _2339_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1557_.X output132.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1557_.X ANTENNA_output132_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1557_.X ANTENNA__2339__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1557_.X ANTENNA__2080__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1558_.X _1559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1559_.X _2082_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1559_.X _2344_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1559_.X output133.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1559_.X ANTENNA_output133_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1559_.X ANTENNA__2344__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1559_.X ANTENNA__2082__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1560_.X _1561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1561_.X _2084_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1561_.X _2348_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1561_.X output134.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1561_.X ANTENNA_output134_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1561_.X ANTENNA__2348__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1561_.X ANTENNA__2084__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1562_.X _1563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1563_.X _2086_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1563_.X _2352_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1563_.X output135.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1563_.X ANTENNA_output135_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1563_.X ANTENNA__2352__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1563_.X ANTENNA__2086__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1564_.X _1565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1565_.X _2088_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1565_.X _2356_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1565_.X output136.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1565_.X ANTENNA_output136_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1565_.X ANTENNA__2356__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1565_.X ANTENNA__2088__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1566_.X _1567_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1566_.X _1568_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1567_.Y _1569_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1567_.Y _1570_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1567_.Y _1579_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1567_.Y _1584_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1567_.Y _1589_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _1567_.Y _1594_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1567_.Y _1599_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1567_.Y _1604_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1567_.Y _1609_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1568_.Y _1569_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _1570_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _1579_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _1584_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _1589_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _1594_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1568_.Y _1599_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1568_.Y _1604_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1568_.Y _1609_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1568_.Y _2726_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1569_.Y _1571_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1580_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1585_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1590_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1595_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1600_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1605_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1569_.Y _1610_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1570_.X _1571_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1571_.Y _1578_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1572_.X _1573_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1572_.X _1581_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1572_.X _1586_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1572_.X _1591_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X _1596_.S (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X _1601_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X _1606_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X _1611_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X _2210_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1572_.X _2310_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1572_.X ANTENNA__2310__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1572_.X ANTENNA__2210__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1572_.X ANTENNA__1611__S.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X ANTENNA__1606__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1572_.X ANTENNA__1601__S.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X ANTENNA__1596__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1572_.X ANTENNA__1591__S.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _1572_.X ANTENNA__1586__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1572_.X ANTENNA__1581__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1572_.X ANTENNA__1573__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1573_.X _1577_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1573_.X ANTENNA__1577__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1574_.X _1575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1575_.X _1576_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1575_.X _1582_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X _1587_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X _1592_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X _1597_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1575_.X _1602_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1575_.X _1607_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X _1612_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1575_.X _1970_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1575_.X ANTENNA__1970__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1575_.X ANTENNA__1612__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1575_.X ANTENNA__1607__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X ANTENNA__1602__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1575_.X ANTENNA__1597__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1575_.X ANTENNA__1592__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1575_.X ANTENNA__1587__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X ANTENNA__1582__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1575_.X ANTENNA__1576__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1576_.X _1577_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1576_.X _1617_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1576_.X _1619_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1576_.X _1621_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1576_.X _1623_.B2 (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1576_.X _1625_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X _1628_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X _1630_.B2 (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _1576_.X _1632_.B2 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X _2150_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1576_.X ANTENNA__2150__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1576_.X ANTENNA__1632__B2.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X ANTENNA__1630__B2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _1576_.X ANTENNA__1628__B2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X ANTENNA__1625__B2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _1576_.X ANTENNA__1623__B2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1576_.X ANTENNA__1621__B2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _1576_.X ANTENNA__1619__B2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _1576_.X ANTENNA__1617__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1576_.X ANTENNA__1577__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1577_.Y _1578_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1578_.Y _2401_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1578_.Y output106.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1578_.Y ANTENNA_output106_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1578_.Y ANTENNA__2401__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1579_.X _1580_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1580_.Y _1583_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1581_.X _1582_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1582_.Y _1583_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1583_.Y _2403_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1583_.Y output113.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1583_.Y ANTENNA_output113_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1583_.Y ANTENNA__2403__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1584_.X _1585_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1585_.Y _1588_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1586_.X _1587_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1587_.Y _1588_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1588_.Y _2405_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1588_.Y output114.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1588_.Y ANTENNA_output114_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1588_.Y ANTENNA__2405__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1589_.X _1590_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1590_.Y _1593_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1591_.X _1592_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1592_.Y _1593_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1593_.Y _2407_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1593_.Y output115.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1593_.Y ANTENNA_output115_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1593_.Y ANTENNA__2407__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1594_.X _1595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1595_.Y _1598_.A2 (0.001:0.001:0.001) (0.000:0.001:0.001))
(INTERCONNECT _1596_.X _1597_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1597_.Y _1598_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1598_.Y _2409_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1598_.Y output116.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1598_.Y ANTENNA_output116_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1598_.Y ANTENNA__2409__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1599_.X _1600_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1600_.Y _1603_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1601_.X _1602_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1602_.Y _1603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1603_.Y _2411_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1603_.Y output117.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1603_.Y ANTENNA_output117_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1603_.Y ANTENNA__2411__A0.DIODE (0.004:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1604_.X _1605_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1605_.Y _1608_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1606_.X _1607_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1607_.Y _1608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1608_.Y _2413_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1608_.Y output118.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1608_.Y ANTENNA_output118_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1608_.Y ANTENNA__2413__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1609_.X _1610_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1610_.Y _1613_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1611_.X _1612_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1611_.X ANTENNA__1612__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1612_.Y _1613_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1613_.Y _2415_.A0 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1613_.Y output119.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1613_.Y ANTENNA_output119_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1613_.Y ANTENNA__2415__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1614_.X _1617_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1614_.X _1619_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1614_.X _1621_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1614_.X _1623_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1614_.X _1625_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1614_.X _1628_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1614_.X _1630_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1614_.X _1632_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1614_.X _1636_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1614_.X _1705_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1614_.X ANTENNA__1705__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1614_.X ANTENNA__1636__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1614_.X ANTENNA__1632__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1614_.X ANTENNA__1630__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1614_.X ANTENNA__1628__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1614_.X ANTENNA__1625__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1614_.X ANTENNA__1623__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1614_.X ANTENNA__1621__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1614_.X ANTENNA__1619__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1614_.X ANTENNA__1617__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1615_.X _1616_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X _1618_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X _1620_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X _1622_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X _1624_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X _2323_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X _2328_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X _2333_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X _2338_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X _2343_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1615_.X ANTENNA__2343__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1615_.X ANTENNA__2338__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X ANTENNA__2333__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X ANTENNA__2328__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1615_.X ANTENNA__2323__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1615_.X ANTENNA__1624__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X ANTENNA__1622__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X ANTENNA__1620__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X ANTENNA__1618__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1615_.X ANTENNA__1616__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1616_.X _1617_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1616_.X ANTENNA__1617__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1617_.X _2417_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1617_.X output120.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1617_.X ANTENNA_output120_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1617_.X ANTENNA__2417__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1618_.X _1619_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1618_.X ANTENNA__1619__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1619_.X _2419_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1619_.X output121.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1619_.X ANTENNA_output121_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1619_.X ANTENNA__2419__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1620_.X _1621_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1620_.X ANTENNA__1621__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1621_.X _2421_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1621_.X output107.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1621_.X ANTENNA_output107_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1621_.X ANTENNA__2421__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1622_.X _1623_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1622_.X ANTENNA__1623__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1623_.X _2423_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1623_.X output108.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1623_.X ANTENNA_output108_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1623_.X ANTENNA__2423__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1624_.X _1625_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1624_.X ANTENNA__1625__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1625_.X _2425_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1625_.X output109.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1625_.X ANTENNA_output109_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1625_.X ANTENNA__2425__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1626_.X _1627_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1626_.X _1629_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1626_.X _1631_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1626_.X _2202_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1626_.X _2211_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1626_.X _2277_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1626_.X _2278_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1626_.X _2284_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1626_.X _2292_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1626_.X _2311_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1626_.X ANTENNA__2311__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1626_.X ANTENNA__2292__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1626_.X ANTENNA__2284__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1626_.X ANTENNA__2278__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1626_.X ANTENNA__2277__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1626_.X ANTENNA__2211__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1626_.X ANTENNA__2202__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1626_.X ANTENNA__1631__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1626_.X ANTENNA__1629__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1626_.X ANTENNA__1627__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1627_.X _1628_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1627_.X ANTENNA__1628__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1628_.X _2427_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1628_.X output110.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1628_.X ANTENNA_output110_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1628_.X ANTENNA__2427__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1629_.X _1630_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1629_.X ANTENNA__1630__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1630_.X _2429_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1630_.X output111.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1630_.X ANTENNA_output111_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1630_.X ANTENNA__2429__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1631_.X _1632_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1631_.X ANTENNA__1632__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1632_.X _2431_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1632_.X output112.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1632_.X ANTENNA_output112_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1632_.X ANTENNA__2431__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1633_.X _1634_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1634_.X clkbuf_0_net192.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1634_.X ANTENNA_clkbuf_0_net192_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1635_.X _1636_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1635_.X hold147.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1636_.X _1637_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1636_.X ANTENNA__1637__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1637_.X output146.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1637_.X ANTENNA_output146_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1638_.Y _1639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1638_.Y _2207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1638_.Y _2213_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1639_.X hold273.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1640_.X _3014_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1641_.Y _1642_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.Y _1801_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.Y _2249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1641_.Y _2265_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1642_.Y _1643_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1642_.Y _1804_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1642_.Y _1808_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1642_.Y _2250_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1642_.Y _2253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1643_.X _1644_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1644_.X _1645_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1644_.X _2234_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1644_.X _2236_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1644_.X _2238_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1644_.X _2240_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1644_.X _2242_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1644_.X _2244_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1644_.X _2246_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1645_.X _1646_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1645_.X _2216_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X _2218_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X _2220_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1645_.X _2222_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X _2224_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X _2226_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1645_.X _2228_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1645_.X _2230_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1645_.X _2232_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1645_.X ANTENNA__2232__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2230__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2228__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2226__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2224__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2222__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2220__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2218__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__2216__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1645_.X ANTENNA__1646__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1646_.X _1647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1647_.X _3012_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1648_.Y _1649_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1648_.Y _1705_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1649_.Y output104.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1649_.Y ANTENNA_output104_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1650_.X _1651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1651_.X output105.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1652_.Y _1653_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1652_.Y _2708_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1653_.Y _1654_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1653_.Y _2363_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1653_.Y _2495_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1654_.X _1657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1654_.X _2398_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1654_.X _2433_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1654_.X _2458_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1655_.Y _1656_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1655_.Y _2361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1655_.Y _2722_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1656_.Y _1657_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1656_.Y _2709_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1657_.Y _1658_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1657_.Y _2567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1657_.Y _2621_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1657_.Y _2705_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1658_.Y _1659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1658_.Y hold628.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1659_.Y _1660_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1660_.X hold609.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1661_.X _2773_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1662_.X _1666_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1662_.X hold841.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1663_.X _1664_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1664_.X _1665_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X _1681_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X _1702_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X _2173_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X _2174_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X _2190_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X ANTENNA__2190__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X ANTENNA__2174__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X ANTENNA__2173__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X ANTENNA__1702__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1664_.X ANTENNA__1681__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1664_.X ANTENNA__1665__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1665_.X _1666_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1665_.X _1669_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1665_.X _1671_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1665_.X _1673_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1665_.X _1675_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1665_.X _1677_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1665_.X _1679_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1665_.X _2142_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1665_.X _2143_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1665_.X _2193_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1665_.X ANTENNA__2193__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1665_.X ANTENNA__2143__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1665_.X ANTENNA__2142__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1665_.X ANTENNA__1679__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1665_.X ANTENNA__1677__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1665_.X ANTENNA__1675__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1665_.X ANTENNA__1673__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1665_.X ANTENNA__1671__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1665_.X ANTENNA__1669__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1665_.X ANTENNA__1666__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1666_.X hold666.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1667_.X hold667.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1668_.X _1669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1668_.X hold838.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1669_.X hold492.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1670_.X hold493.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1671_.X hold565.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1672_.X hold566.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1673_.X hold500.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1674_.X hold501.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1675_.X hold484.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1676_.X hold485.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1677_.X hold572.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1678_.X hold573.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1679_.X hold410.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1680_.X hold411.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1681_.X _1682_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X _1684_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1681_.X _1686_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X _1688_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X _1690_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X _1692_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1681_.X _1694_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X _1696_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X _1698_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X _1700_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X ANTENNA__1700__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X ANTENNA__1698__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X ANTENNA__1696__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X ANTENNA__1694__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1681_.X ANTENNA__1692__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1681_.X ANTENNA__1690__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X ANTENNA__1688__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1681_.X ANTENNA__1686__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1681_.X ANTENNA__1684__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1681_.X ANTENNA__1682__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1682_.X hold447.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1683_.X hold448.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1684_.X hold510.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1685_.X hold511.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1686_.X hold656.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1687_.X hold657.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1688_.X hold513.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1689_.X hold514.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1690_.X hold595.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1691_.X hold596.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1692_.X hold460.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1693_.X hold461.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1694_.X hold620.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1695_.X hold621.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1696_.X hold487.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1697_.X hold488.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1698_.X hold507.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1699_.X hold508.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1700_.X hold439.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1701_.X hold440.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1702_.X hold603.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1703_.X hold604.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1704_.X _1705_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1704_.X _1707_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1704_.X _1710_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1704_.X _1713_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1704_.X _1717_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1704_.X _1720_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X _1723_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X _1726_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X _1729_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X _1758_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1704_.X ANTENNA__1758__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1704_.X ANTENNA__1729__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1726__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1723__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1720__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1717__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1713__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1704_.X ANTENNA__1710__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1704_.X ANTENNA__1707__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1704_.X ANTENNA__1705__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1705_.X _1706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1706_.X _2800_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1707_.X hold895.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1708_.X _1709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1709_.X _2801_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1710_.X hold903.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1711_.X _1712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1712_.X _2802_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1713_.X hold884.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1714_.X _1715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1715_.X _2803_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1716_.X _1718_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1716_.X _1721_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1716_.X _1724_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1716_.X _1727_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1716_.X _1730_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1716_.X _1733_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X _1736_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X _1739_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X _1742_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X _1745_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1745__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1742__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1739__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1736__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1733__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1716_.X ANTENNA__1730__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1716_.X ANTENNA__1727__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1716_.X ANTENNA__1724__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1716_.X ANTENNA__1721__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1716_.X ANTENNA__1718__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1717_.X hold875.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1718_.X _1719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1719_.X _2804_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1720_.X hold877.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1721_.X _1722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1722_.X _2805_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1723_.X hold907.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1724_.X _1725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1725_.X _2806_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1726_.X hold914.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1727_.X _1728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1728_.X _2807_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1729_.X hold901.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1730_.X _1731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1731_.X _2808_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1732_.X hold890.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1733_.X hold891.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1734_.X _2809_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1735_.X hold909.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1736_.X _1737_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1737_.X _2810_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1738_.X hold905.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1739_.X _1740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1740_.X _2811_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1741_.X hold897.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1742_.X _1743_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1743_.X _2812_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1744_.X hold899.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1745_.X _1746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1746_.X _2813_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1747_.X _1749_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1747_.X _1752_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1747_.X _1755_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1747_.X _1791_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1747_.X _1797_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1747_.X _1983_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1747_.X _1986_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1747_.X _1989_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1747_.X _1992_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1747_.X _1995_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1747_.X ANTENNA__1995__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1747_.X ANTENNA__1992__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1747_.X ANTENNA__1989__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1747_.X ANTENNA__1986__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1747_.X ANTENNA__1983__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1747_.X ANTENNA__1797__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1747_.X ANTENNA__1791__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1747_.X ANTENNA__1755__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1747_.X ANTENNA__1752__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1747_.X ANTENNA__1749__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1748_.X hold887.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1749_.X hold888.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1750_.X _2814_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1751_.X hold867.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1752_.X hold868.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1753_.X _2815_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1754_.X hold893.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1755_.X _1756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1756_.X _2816_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1757_.X _1758_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1757_.X _2144_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1757_.X _2743_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X _2746_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X _2749_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X _2752_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X _2755_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X _2758_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X _2761_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X _2764_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X ANTENNA__2764__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X ANTENNA__2761__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X ANTENNA__2758__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X ANTENNA__2755__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1757_.X ANTENNA__2752__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X ANTENNA__2749__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X ANTENNA__2746__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X ANTENNA__2743__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1757_.X ANTENNA__2144__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1757_.X ANTENNA__1758__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1758_.X _1759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1759_.X _2817_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1760_.X _1762_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1761_.X _1762_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1762_.X _1771_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1762_.X _1786_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1763_.Y _1770_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1764_.X _1770_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1765_.X _1768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1766_.X _1768_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1767_.X _1768_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1768_.X _1770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1769_.Y _1770_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1770_.X _1771_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1770_.X _1786_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1771_.Y _1772_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _1784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1771_.Y _2656_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _2658_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _2662_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _2667_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _2674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1771_.Y _2679_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1771_.Y _2684_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _1773_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1772_.X _2669_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _2677_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _2687_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1772_.X _2690_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1772_.X _2693_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1772_.X _2696_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _2699_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _2702_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1772_.X _2704_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1773_.Y hold271.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1774_.Y _1775_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1774_.Y _2156_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1774_.Y _2157_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1774_.Y _2188_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1774_.Y _2725_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1774_.Y _2730_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1774_.Y ANTENNA__2730__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1774_.Y ANTENNA__2725__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1774_.Y ANTENNA__2188__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1774_.Y ANTENNA__2157__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1774_.Y ANTENNA__2156__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1774_.Y ANTENNA__1775__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1775_.X _1776_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1775_.X _1778_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X _1780_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1775_.X _1782_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X _1785_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X ANTENNA__1785__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X ANTENNA__1782__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X ANTENNA__1780__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1775_.X ANTENNA__1778__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1775_.X ANTENNA__1776__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1776_.X hold582.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1777_.X _2819_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1778_.X hold580.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1779_.X _2820_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1780_.X hold589.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1781_.X _2821_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1782_.X hold551.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1783_.X _2822_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1784_.Y hold275.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1785_.Y hold276.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1786_.X _1787_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1786_.X _1790_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1786_.X _1793_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1786_.X _1796_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1787_.X hold797.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1788_.X _1789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1789_.X _2824_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1790_.X hold863.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1791_.X _1792_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1792_.X _2825_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1793_.X hold799.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1794_.X _1795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1795_.X _2826_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1796_.X hold844.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1797_.X _1798_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1798_.X _2827_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1799_.X _1800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1799_.X _2203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1799_.X _2213_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1799_.X _2267_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1799_.X _2276_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1800_.Y _1803_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1800_.Y _2262_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1801_.Y _1803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1802_.X _1803_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1802_.X _2262_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1802_.X _2265_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1803_.X _1804_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.X _1806_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1803_.X _1808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1804_.X _1807_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1804_.X _1811_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1805_.Y _1806_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1806_.Y _1807_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1806_.Y _1809_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1807_.X hold697.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1808_.X _1809_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1808_.X _1811_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1809_.X hold307.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1810_.Y _1811_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1811_.X hold466.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1812_.X _1817_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1812_.X _1820_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1812_.X _1823_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1812_.X _1826_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1812_.X _1829_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1812_.X _1832_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1812_.X _2142_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X _2189_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X _2355_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X _2359_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X ANTENNA__2359__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X ANTENNA__2355__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X ANTENNA__2189__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1812_.X ANTENNA__2142__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1812_.X ANTENNA__1832__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1812_.X ANTENNA__1829__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1812_.X ANTENNA__1826__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1812_.X ANTENNA__1823__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1812_.X ANTENNA__1820__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1812_.X ANTENNA__1817__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1813_.X _1814_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1813_.X _1911_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1814_.X _1815_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X _1847_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1814_.X _1879_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1814_.X _1943_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X _1946_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X _1949_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1814_.X _1952_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X _1955_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1814_.X _1958_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X _1962_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1962__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1814_.X ANTENNA__1958__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1955__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1814_.X ANTENNA__1952__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1949__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1946__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1943__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1814_.X ANTENNA__1879__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1814_.X ANTENNA__1847__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1814_.X ANTENNA__1815__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1815_.X _1816_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1815_.X _1819_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1815_.X _1822_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1815_.X _1825_.S (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1815_.X _1828_.S (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1815_.X _1831_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1815_.X _1835_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1815_.X _1838_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1815_.X _1841_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _1815_.X _1844_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1815_.X ANTENNA__1844__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1815_.X ANTENNA__1841__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1815_.X ANTENNA__1838__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1815_.X ANTENNA__1835__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _1815_.X ANTENNA__1831__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1815_.X ANTENNA__1828__S.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _1815_.X ANTENNA__1825__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _1815_.X ANTENNA__1822__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1815_.X ANTENNA__1819__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1815_.X ANTENNA__1816__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1816_.X hold132.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1817_.X hold133.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1818_.X _2832_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1819_.X hold54.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1820_.X hold55.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1821_.X _2833_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1822_.X hold90.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1823_.X hold91.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1824_.X _2834_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1825_.X hold39.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1826_.X hold40.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1827_.X _2835_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1828_.X hold24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1829_.X hold25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1830_.X _2836_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1831_.X hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1832_.X hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1833_.X hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1834_.X _1836_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X _1839_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X _1842_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X _1845_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X _1849_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1834_.X _1852_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X _1855_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1834_.X _1858_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X _1861_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X _1864_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X ANTENNA__1864__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X ANTENNA__1861__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X ANTENNA__1858__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1834_.X ANTENNA__1855__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1852__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1849__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1845__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1842__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1839__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1834_.X ANTENNA__1836__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1835_.X hold60.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1836_.X hold61.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1837_.X _2838_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1838_.X hold27.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1839_.X hold28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1840_.X _2839_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1841_.X hold18.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1842_.X hold19.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1843_.X _2840_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1844_.X hold30.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1845_.X hold31.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1846_.X _2841_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1847_.X _1848_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1847_.X _1851_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1847_.X _1854_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1847_.X _1857_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1847_.X _1860_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1847_.X _1863_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1847_.X _1867_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1847_.X _1870_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1847_.X _1873_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _1847_.X _1876_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _1847_.X ANTENNA__1876__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1847_.X ANTENNA__1873__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1847_.X ANTENNA__1870__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1847_.X ANTENNA__1867__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _1847_.X ANTENNA__1863__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1847_.X ANTENNA__1860__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1847_.X ANTENNA__1857__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1847_.X ANTENNA__1854__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1847_.X ANTENNA__1851__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1847_.X ANTENNA__1848__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1848_.X hold51.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1849_.X hold52.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1850_.X _2842_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1851_.X hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1852_.X hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1853_.X _2843_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1854_.X hold33.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1855_.X hold34.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1856_.X _2844_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1857_.X hold78.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1858_.X hold79.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1859_.X _2845_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1860_.X hold111.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1861_.X hold112.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1862_.X _2846_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1863_.X hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1864_.X hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1865_.X _2847_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1866_.X _1868_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1866_.X _1871_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1866_.X _1874_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X _1877_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X _1881_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1866_.X _1884_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1866_.X _1887_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X _1890_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1866_.X _1893_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1866_.X _1896_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1866_.X ANTENNA__1896__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1893__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1866_.X ANTENNA__1890__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1866_.X ANTENNA__1887__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1884__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1881__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1877__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1874__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1866_.X ANTENNA__1871__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1866_.X ANTENNA__1868__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1867_.X hold105.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1868_.X hold106.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1869_.X _2848_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1870_.X hold108.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1871_.X hold109.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1872_.X _2849_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1873_.X hold114.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1874_.X hold115.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1875_.X _2850_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1876_.X hold21.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1877_.X hold22.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1878_.X _2851_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1879_.X _1880_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1879_.X _1883_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1879_.X _1886_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1879_.X _1889_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1879_.X _1892_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _1879_.X _1895_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1879_.X _1899_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1879_.X _1902_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1879_.X _1905_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1879_.X _1908_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1879_.X ANTENNA__1908__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1879_.X ANTENNA__1905__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1879_.X ANTENNA__1902__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1879_.X ANTENNA__1899__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1879_.X ANTENNA__1895__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1879_.X ANTENNA__1892__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1879_.X ANTENNA__1889__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1879_.X ANTENNA__1886__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1879_.X ANTENNA__1883__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1879_.X ANTENNA__1880__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1880_.X hold36.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1881_.X hold37.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1882_.X _2852_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1883_.X hold96.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1884_.X hold97.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1885_.X _2853_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1886_.X hold15.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1887_.X hold16.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1888_.X _2854_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1889_.X hold102.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1890_.X hold103.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1891_.X _2855_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1892_.X hold84.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1893_.X hold85.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1894_.X _2856_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1895_.X hold42.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1896_.X hold43.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1897_.X _2857_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1898_.X _1900_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1898_.X _1903_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1898_.X _1906_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1898_.X _1909_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1898_.X _1913_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1898_.X _1916_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X _1919_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X _1922_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X _1925_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1898_.X _1928_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X ANTENNA__1928__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X ANTENNA__1925__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1898_.X ANTENNA__1922__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X ANTENNA__1919__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1898_.X ANTENNA__1916__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1898_.X ANTENNA__1913__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1898_.X ANTENNA__1909__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1898_.X ANTENNA__1906__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1898_.X ANTENNA__1903__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1898_.X ANTENNA__1900__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1899_.X hold75.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1900_.X hold76.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1901_.X _2858_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1902_.X hold45.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1903_.X hold46.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1904_.X _2859_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1905_.X hold93.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1906_.X hold94.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1907_.X _2860_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1908_.X hold81.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1909_.X hold82.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1910_.X _2861_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1911_.X _1912_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1911_.X _1915_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1911_.X _1918_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X _1921_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X _1924_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1911_.X _1927_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X _1931_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1911_.X _1934_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _1911_.X _1937_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1911_.X _1940_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1911_.X ANTENNA__1940__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1911_.X ANTENNA__1937__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1911_.X ANTENNA__1934__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _1911_.X ANTENNA__1931__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1911_.X ANTENNA__1927__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X ANTENNA__1924__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1911_.X ANTENNA__1921__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X ANTENNA__1918__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _1911_.X ANTENNA__1915__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _1911_.X ANTENNA__1912__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _1912_.X hold135.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1913_.X hold136.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1914_.X _2862_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1915_.X hold123.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1916_.X hold124.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1917_.X _2863_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1918_.X hold120.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1919_.X hold121.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1920_.X _2864_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1921_.X hold87.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1922_.X hold88.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1923_.X _2865_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1924_.X hold144.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1925_.X hold145.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1926_.X _2866_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1927_.X hold117.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1928_.X hold118.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1929_.X _2867_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1930_.X _1932_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1935_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1930_.X _1938_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1941_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1944_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1947_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1950_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X _1953_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1930_.X _1956_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1930_.X _1959_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1930_.X ANTENNA__1959__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1930_.X ANTENNA__1956__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1930_.X ANTENNA__1953__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1950__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1947__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1944__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1941__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1938__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _1930_.X ANTENNA__1935__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _1930_.X ANTENNA__1932__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1931_.X hold66.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1932_.X hold67.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1933_.X _2868_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1934_.X hold99.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1935_.X hold100.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1936_.X _2869_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1937_.X hold126.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1938_.X hold127.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1939_.X _2870_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1940_.X hold63.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1941_.X hold64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1942_.X _2871_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1943_.X hold69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1944_.X hold70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1945_.X _2872_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1946_.X hold72.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1947_.X hold73.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1948_.X _2873_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1949_.X hold57.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1950_.X hold58.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1951_.X _2874_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1952_.X hold48.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1953_.X hold49.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1954_.X _2875_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1955_.X hold138.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1956_.X hold139.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1957_.X _2876_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1958_.X hold141.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1959_.X hold142.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1960_.X _2877_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1961_.X _1963_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X _1974_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X _1976_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X _1978_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X _2194_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X _2196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1961_.X _2200_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X _2251_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X _2254_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1961_.X _2307_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__2307__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__2254__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__2251__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__2200__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__2196__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1961_.X ANTENNA__2194__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__1978__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X ANTENNA__1976__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1961_.X ANTENNA__1974__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1961_.X ANTENNA__1963__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1962_.X hold129.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1963_.X hold130.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1964_.X _2878_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1965_.Y _2166_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1965_.Y hold823.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1966_.Y hold824.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1967_.Y _1969_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1968_.X _1969_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1969_.Y hold293.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1970_.X _2048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1970_.X hold294.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1971_.X _1972_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1971_.X _1973_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1971_.X _2039_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1971_.X _2042_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _2045_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _2140_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _2141_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1971_.X _2154_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1971_.X _2164_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1971_.X _2167_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1972_.Y _1973_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1973_.X hold295.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1974_.X hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1975_.X hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1976_.X hold312.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1977_.X _2882_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1978_.X hold349.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1979_.X _2883_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1980_.X _1981_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2013_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2016_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2019_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2022_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2025_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2029_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2032_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1980_.X _2035_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X _1982_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1981_.X _1985_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1981_.X _1988_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X _1991_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X _1994_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X _1998_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X _2001_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X _2004_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1981_.X _2007_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1981_.X _2010_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _1981_.X ANTENNA__2010__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _1981_.X ANTENNA__2007__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _1981_.X ANTENNA__2004__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__2001__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__1998__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__1994__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__1991__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__1988__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _1981_.X ANTENNA__1985__S.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1981_.X ANTENNA__1982__S.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _1982_.X _1983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1983_.X _1984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1984_.X _2884_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1985_.X hold879.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1986_.X _1987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1987_.X _2885_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1988_.X hold805.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1989_.X _1990_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1990_.X _2886_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1991_.X hold803.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1992_.X _1993_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1993_.X _2887_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1994_.X hold826.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1995_.X _1996_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1996_.X _2888_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1997_.X _1999_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X _2002_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X _2005_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X _2008_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2011_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X _2014_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X _2017_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X _2020_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1997_.X _2023_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X _2026_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2026__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2023__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2020__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2017__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2014__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _1997_.X ANTENNA__2011__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X ANTENNA__2008__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X ANTENNA__2005__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X ANTENNA__2002__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1997_.X ANTENNA__1999__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _1998_.X hold807.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1999_.X _2000_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2000_.X _2889_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2001_.X hold814.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2002_.X _2003_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2003_.X _2890_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2004_.X hold812.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2005_.X _2006_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2006_.X _2891_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2007_.X hold836.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2008_.X _2009_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2009_.X _2892_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2010_.X hold809.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2011_.X _2012_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2012_.X _2893_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2013_.X hold830.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2014_.X _2015_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2015_.X _2894_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2016_.X hold818.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2017_.X _2018_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2018_.X _2895_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2019_.X hold820.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2020_.X _2021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2021_.X _2896_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2022_.X hold828.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2023_.X _2024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2024_.X _2897_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2025_.X hold834.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2026_.X _2027_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2027_.X _2898_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2028_.X _2030_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X _2033_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2028_.X _2036_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X _2146_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X _2148_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X _2256_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2028_.X _2258_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X _2369_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X _2373_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X _2377_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X ANTENNA__2377__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X ANTENNA__2373__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X ANTENNA__2369__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X ANTENNA__2258__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2028_.X ANTENNA__2256__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2028_.X ANTENNA__2148__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X ANTENNA__2146__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2028_.X ANTENNA__2036__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2028_.X ANTENNA__2033__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2028_.X ANTENNA__2030__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2029_.X hold832.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2030_.X _2031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2031_.X _2899_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2032_.X hold816.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2033_.X _2034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2034_.X _2900_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2035_.X hold846.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2036_.X _2037_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2037_.X _2901_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2038_.Y hold428.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2039_.X hold429.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2040_.X _2902_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2041_.X _2042_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2041_.X _2156_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2041_.X _2168_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2041_.X _2211_.A0 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2041_.X ANTENNA__2211__A0.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2041_.X ANTENNA__2168__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2041_.X ANTENNA__2156__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2041_.X ANTENNA__2042__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2042_.X _2043_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2043_.X _2903_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2044_.X _2045_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2044_.X _2157_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2044_.X _2210_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2044_.X ANTENNA__2210__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2044_.X ANTENNA__2157__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2044_.X ANTENNA__2045__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2045_.X _2046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2046_.X _2904_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2047_.X _2050_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2047_.X _2286_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2048_.X _2049_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2048_.X _2071_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2048_.X _2092_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2048_.X _2113_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X _2134_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X _2136_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X _2138_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X _2156_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2048_.X _2157_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2048_.X _2170_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X ANTENNA__2170__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X ANTENNA__2157__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2048_.X ANTENNA__2156__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2048_.X ANTENNA__2138__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2048_.X ANTENNA__2136__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X ANTENNA__2134__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X ANTENNA__2113__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2048_.X ANTENNA__2092__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2048_.X ANTENNA__2071__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2048_.X ANTENNA__2049__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2049_.X _2050_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2049_.X _2053_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2049_.X _2055_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2049_.X _2057_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X _2059_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X _2061_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X _2063_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2049_.X _2065_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X _2067_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X _2069_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X ANTENNA__2069__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X ANTENNA__2067__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X ANTENNA__2065__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2049_.X ANTENNA__2063__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2049_.X ANTENNA__2061__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2049_.X ANTENNA__2059__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2049_.X ANTENNA__2057__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2049_.X ANTENNA__2055__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2049_.X ANTENNA__2053__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2049_.X ANTENNA__2050__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2050_.X _2051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2051_.X _2905_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2052_.X _2053_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2052_.X _2293_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2053_.X _2054_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2054_.X _2906_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2055_.X _2056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2056_.X _2907_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2057_.X hold367.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2058_.X _2908_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2059_.X hold413.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2060_.X _2909_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2061_.X _2062_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2062_.X _2910_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2063_.X hold570.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2064_.X _2911_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2065_.X _2066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2066_.X _2912_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2067_.X _2068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2068_.X _2913_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2069_.X _2070_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2070_.X _2914_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2071_.X _2072_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2071_.X _2074_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2071_.X _2076_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2071_.X _2078_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2071_.X _2080_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2071_.X _2082_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2071_.X _2084_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2071_.X _2086_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2071_.X _2088_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2071_.X _2090_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2071_.X ANTENNA__2090__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2071_.X ANTENNA__2088__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2071_.X ANTENNA__2086__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2071_.X ANTENNA__2084__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2071_.X ANTENNA__2082__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2071_.X ANTENNA__2080__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2071_.X ANTENNA__2078__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2071_.X ANTENNA__2076__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2071_.X ANTENNA__2074__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2071_.X ANTENNA__2072__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2072_.X _2073_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2073_.X _2915_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2074_.X _2075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2075_.X _2916_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2076_.X _2077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2077_.X _2917_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2078_.X _2079_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2079_.X _2918_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2080_.X _2081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2081_.X _2919_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2082_.X _2083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2083_.X _2920_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2084_.X hold336.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2085_.X _2921_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2086_.X _2087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2087_.X _2922_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2088_.X _2089_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2089_.X _2923_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2090_.X _2091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2091_.X _2924_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2092_.X _2093_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2092_.X _2095_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X _2097_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X _2099_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2092_.X _2101_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X _2103_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X _2105_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2092_.X _2107_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X _2109_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X _2111_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X ANTENNA__2111__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X ANTENNA__2109__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X ANTENNA__2107__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2092_.X ANTENNA__2105__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2092_.X ANTENNA__2103__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2092_.X ANTENNA__2101__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X ANTENNA__2099__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2092_.X ANTENNA__2097__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X ANTENNA__2095__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2092_.X ANTENNA__2093__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2093_.X _2094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2094_.X _2925_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2095_.X _2096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2096_.X _2926_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2097_.X _2098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2098_.X _2927_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2099_.X _2100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2100_.X _2928_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2101_.X _2102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2102_.X _2929_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2103_.X _2104_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2104_.X _2930_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2105_.X _2106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2106_.X _2931_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2107_.X hold385.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2108_.X _2932_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2109_.X hold478.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2110_.X _2933_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2111_.X hold404.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2112_.X _2934_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2113_.X _2114_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X _2116_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2113_.X _2118_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X _2120_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X _2122_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X _2124_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X _2126_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X _2128_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X _2130_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X _2132_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X ANTENNA__2132__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X ANTENNA__2130__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X ANTENNA__2128__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X ANTENNA__2126__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2113_.X ANTENNA__2124__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X ANTENNA__2122__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X ANTENNA__2120__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2113_.X ANTENNA__2118__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2113_.X ANTENNA__2116__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2113_.X ANTENNA__2114__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2114_.X hold560.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2115_.X _2935_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2116_.X _2117_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2117_.X _2936_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2118_.X _2119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2119_.X _2937_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2120_.X _2121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2121_.X _2938_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2122_.X hold520.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2123_.X _2939_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2124_.X _2125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2125_.X _2940_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2126_.X _2127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2127_.X _2941_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2128_.X _2129_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2129_.X _2942_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2130_.X _2131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2131_.X _2943_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2132_.X _2133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2133_.X _2944_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2134_.X hold342.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2135_.X _2945_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2136_.X hold451.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2137_.X _2946_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2138_.X hold382.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2139_.X _2947_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2140_.X _2141_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2141_.X hold575.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2142_.Y _2143_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2143_.Y hold269.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2144_.X _2145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2145_.X _2950_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2146_.X hold303.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2147_.X _2951_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2148_.X hold801.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2149_.X _2952_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2150_.X _2151_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2151_.X _2953_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2152_.X hold724.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2153_.X _2954_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2154_.Y _2155_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2155_.Y hold591.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2156_.Y _2161_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2156_.Y _2171_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2157_.Y _2161_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2157_.Y _2168_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2158_.Y _2160_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2158_.Y _2163_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2159_.X _2160_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2160_.X _2161_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2161_.X _2162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2162_.X _2956_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2163_.Y hold712.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2164_.Y hold713.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2165_.X _2167_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2165_.X _2169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2166_.Y hold774.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2167_.X hold775.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2168_.Y hold776.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2169_.X hold861.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2170_.X _2171_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2171_.Y _2959_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2172_.X _2173_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2172_.X _2187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2172_.X _2190_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2173_.Y _2176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2173_.Y _2178_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2173_.Y _2187_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2173_.Y _2188_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2174_.Y _2175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2175_.Y _2176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2175_.Y _2180_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2175_.Y _2182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2177_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2176_.X _2185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2188_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2190_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2176_.X _2193_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2177_.Y _2181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2178_.X _2181_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2178_.X _2182_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2178_.X _2183_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2179_.Y _2180_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2180_.X hold148.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2181_.Y hold944.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2182_.X _2184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2183_.Y hold156.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2184_.X hold157.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2185_.Y _2189_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2186_.Y _2187_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2187_.Y _2189_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2188_.X _2189_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2189_.X hold966.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2190_.X _2191_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2191_.X hold683.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2192_.X _2963_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2193_.X hold324.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2194_.X hold839.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2195_.X _2965_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2196_.X hold842.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2197_.X _2966_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2198_.X hold734.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2199_.X _2967_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2200_.X hold616.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2201_.X _2968_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2202_.X hold152.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2203_.Y _2207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2203_.Y _2268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2203_.Y _2273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2204_.X _2205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2205_.X _2206_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2205_.X _2279_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2205_.X _2287_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X _2294_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2205_.X _2299_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2205_.X _2304_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X _2312_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2205_.X _2353_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X ANTENNA__2353__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X ANTENNA__2312__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X ANTENNA__2304__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X ANTENNA__2299__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2205_.X ANTENNA__2294__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2205_.X ANTENNA__2287__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2205_.X ANTENNA__2279__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2205_.X ANTENNA__2206__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2206_.Y _2207_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.Y _2212_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2206_.Y _2268_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.Y _2276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.Y _2294_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2206_.Y _2299_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2206_.Y _2309_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2206_.Y _2349_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2206_.Y _2353_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2207_.X _2208_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2207_.X _2214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2207_.X _2215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2208_.X hold153.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2209_.X hold154.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2210_.X _2214_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2210_.X _2309_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2211_.X _2213_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2211_.X _2306_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2211_.X _2309_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2212_.X _2213_.C_N (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2212_.X _2280_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2212_.X _2287_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2212_.X _2306_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2212_.X _2326_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2212_.X _2331_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2212_.X _2336_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2212_.X _2341_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2212_.X _2346_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2212_.X _2358_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2213_.X _2214_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2213_.X _2215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2214_.X hold961.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2215_.X hold257.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2216_.X hold784.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2217_.X _2972_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2218_.X hold772.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2219_.X _2973_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2220_.X hold766.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2221_.X _2974_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2222_.X hold704.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2223_.X _2975_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2224_.X hold756.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2225_.X _2976_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2226_.X hold702.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2227_.X _2977_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2228_.X hold745.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2229_.X _2978_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2230_.X hold720.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2231_.X _2979_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2232_.X hold780.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2233_.X _2980_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2234_.X hold726.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2235_.X _2981_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2236_.X hold786.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2237_.X _2982_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2238_.X hold793.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2239_.X _2983_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2240_.X hold751.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2241_.X _2984_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2242_.X hold730.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2243_.X _2985_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2244_.X hold732.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2245_.X _2986_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2246_.X hold770.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2247_.X _2987_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2248_.Y _2249_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2248_.Y _2250_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2248_.Y _2253_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2249_.X _2250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2249_.X _2253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2250_.X hold911.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2251_.X hold912.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2252_.X _2988_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2253_.X hold932.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2254_.X hold933.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2255_.X _2989_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2256_.X _2257_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2257_.X _2990_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2258_.X hold753.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2259_.X _2991_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2260_.X hold326.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2261_.X _2992_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2262_.X _2263_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2263_.Y hold266.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2264_.X _2265_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2265_.X _2266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2266_.Y hold267.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2267_.Y _2272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2268_.Y _2269_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2268_.Y _2271_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2268_.Y _2273_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2269_.X _2272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2270_.Y _2271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2271_.X hold288.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2272_.X hold289.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2273_.X _2274_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2275_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2337_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2347_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2355_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2274_.X _2359_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2275_.X _2281_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2296_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2301_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2308_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2314_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2275_.X _2318_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2275_.X _2322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2275_.X _2327_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2275_.X _2332_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2276_.X _2280_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2276_.X _2288_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2276_.X _2313_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2276_.X _2317_.C1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2276_.X _2321_.C1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2276_.X _2354_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2276_.X _2358_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2277_.X _2279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2278_.X hold222.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2279_.X hold223.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2280_.X hold224.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2281_.X hold225.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2282_.X _2283_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2282_.X _2291_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2282_.X _2297_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _2282_.X _2303_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2282_.X _2315_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2282_.X _2316_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2282_.X _2319_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2282_.X _2320_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2282_.X _2324_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2282_.X _2329_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2283_.X hold229.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2284_.X _2287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2285_.X _2286_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2285_.X _2293_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2285_.X _2298_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2285_.X _2302_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2285_.X _2334_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2285_.X _2339_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X _2344_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X _2348_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X _2352_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X _2356_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2285_.X ANTENNA__2356__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2352__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2348__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2344__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2339__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2334__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2285_.X ANTENNA__2302__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2285_.X ANTENNA__2298__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2285_.X ANTENNA__2293__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2285_.X ANTENNA__2286__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2286_.X _2287_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2287_.X _2289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2288_.X _2289_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2295_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2300_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2331_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2336_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2341_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2346_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2288_.X _2350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2289_.X hold230.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2290_.X hold231.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2291_.X hold216.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2292_.X _2294_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2293_.X _2294_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2294_.X _2295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2295_.X hold217.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2296_.X hold218.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2297_.X hold235.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2298_.X _2299_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2299_.X _2300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2300_.X hold236.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2301_.X hold237.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2302_.X hold261.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2303_.X _2305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2304_.X _2305_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2317_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2325_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2330_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2335_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2340_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2345_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2349_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2304_.X _2357_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2305_.X hold262.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2306_.X hold263.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2307_.X _2308_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2307_.X _2314_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2307_.X _2318_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2307_.X _2322_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2307_.X _2327_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2307_.X _2332_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2307_.X _2337_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2307_.X _2342_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2307_.X _2347_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2307_.X _2351_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2308_.X hold264.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2309_.X _2313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2310_.X hold249.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2311_.X _2312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2312_.X hold250.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2313_.X hold251.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2314_.X hold252.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2315_.X _2317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2316_.X hold203.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2317_.X hold204.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2318_.X hold205.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2319_.X _2321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2320_.X hold160.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2321_.X hold161.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2321_.X ANTENNA_hold161_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2322_.X hold162.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2323_.X _2325_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2324_.X _2325_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2325_.X _2326_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2326_.X hold178.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2327_.X hold179.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2328_.X _2330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2329_.X _2330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2330_.X _2331_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2331_.X hold188.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2332_.X hold189.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2333_.X _2335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2334_.X _2335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2335_.X _2336_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2336_.X hold168.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2337_.X hold169.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2338_.X _2340_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2339_.X _2340_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2340_.X _2341_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2341_.X hold209.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2342_.X hold210.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2343_.X _2345_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2344_.X _2345_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2345_.X _2346_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2346_.X hold198.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2347_.X hold199.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2348_.X _2349_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2348_.X ANTENNA__2349__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2349_.X _2350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2350_.X hold193.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2351_.X hold194.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2352_.X _2353_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2353_.X _2354_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2354_.X hold183.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2355_.X hold184.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2356_.X _2357_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2357_.X _2358_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2358_.X hold173.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2359_.X hold174.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2360_.X _2361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2361_.Y _2363_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2362_.X _2363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2362_.X _2394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2363_.Y _2366_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2363_.Y _2367_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2363_.Y _2375_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2364_.Y _2365_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2364_.Y _2391_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2364_.Y _2722_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2365_.X _2366_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2365_.X _2367_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2365_.X _2376_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2365_.X _2382_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2366_.X _2368_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2366_.X _2372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2366_.X _2383_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2367_.Y _2368_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2367_.Y _2372_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2367_.Y _2376_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2367_.Y _2384_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2367_.Y _2392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2368_.X _2369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2369_.X _2370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2370_.X _3026_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2371_.X _2372_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2371_.X _2386_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2371_.X _2454_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2371_.X _2712_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2371_.X _2715_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2371_.X _2720_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2372_.X _2373_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2373_.X _2374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2374_.X _3027_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2375_.X _2376_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2376_.X _2377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2377_.X _2378_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2378_.X _3028_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2379_.X _2380_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2379_.X _2384_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2379_.X _2478_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2503_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2512_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2544_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2379_.X _2548_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2552_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2557_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2379_.X _2559_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2380_.Y _2383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2380_.Y _2522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2381_.Y _2383_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2381_.Y hold623.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2382_.X _2383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2383_.X _2384_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2384_.Y _2385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2385_.Y _3029_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2386_.X _2387_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2388_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2471_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2478_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2483_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2526_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2386_.X _2545_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2389_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2434_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2453_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2496_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2499_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2387_.X _2508_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2388_.Y _2389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2389_.Y _2390_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2389_.Y _2439_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2389_.Y _2445_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2389_.Y _2476_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2389_.Y _2481_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2389_.Y _2530_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2389_.Y _2534_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2390_.Y _2391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2391_.X _2392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2392_.Y _2395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2393_.Y _2394_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2393_.Y _2469_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2393_.Y _2544_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2394_.X hold624.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2395_.Y hold625.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2396_.Y _2398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2397_.X _2398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2398_.X _2399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2399_.X _2400_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2399_.X _2421_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2399_.X _2423_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2399_.X _2425_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2399_.X _2427_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2399_.X _2429_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2399_.X _2431_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2400_.X _2401_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X _2403_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X _2405_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X _2407_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X _2409_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _2400_.X _2411_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X _2413_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2400_.X _2415_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2400_.X _2417_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2400_.X _2419_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2400_.X ANTENNA__2419__S.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2400_.X ANTENNA__2417__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2400_.X ANTENNA__2415__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2413__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2411__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2409__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2400_.X ANTENNA__2407__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2405__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2403__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2400_.X ANTENNA__2401__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2401_.X hold543.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2402_.X hold544.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2403_.X hold555.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2404_.X hold556.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2405_.X hold691.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2406_.X hold692.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2407_.X hold673.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2408_.X hold674.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2409_.X hold584.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2410_.X hold585.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2411_.X hold598.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2412_.X hold599.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2413_.X hold516.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2414_.X _3037_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2415_.X hold688.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2416_.X hold689.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2417_.X hold611.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2418_.X hold612.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2419_.X hold562.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2420_.X hold563.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2421_.X hold553.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2422_.X _3041_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2423_.X hold646.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2424_.X hold647.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2425_.X hold694.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2426_.X hold695.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2427_.X hold706.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2428_.X hold707.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2429_.X hold653.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2430_.X hold654.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2431_.X hold631.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2432_.X hold632.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2433_.X hold547.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2434_.X hold548.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2435_.X hold549.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2436_.X _3047_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2437_.X _2438_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2437_.X _2459_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2437_.X _2474_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2437_.X _2712_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2437_.X _2714_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2437_.X _2718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2438_.Y _2440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2438_.Y _2441_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2438_.Y _2502_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2439_.Y _2440_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2439_.Y _2442_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2439_.Y _2448_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2439_.Y _2452_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2439_.Y _2469_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2440_.Y _2442_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2441_.X _2442_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2441_.X _2476_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2441_.X _2530_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2442_.X hold297.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2443_.X _2711_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2443_.X _2715_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2443_.X _2719_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2443_.X hold538.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2444_.Y _2448_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2444_.Y _2478_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2444_.Y _2553_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2445_.X _2447_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2445_.X _2450_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2445_.X _2455_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2445_.X _2465_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2445_.X _2468_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2446_.X _2447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2446_.X _2477_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2446_.X _2505_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2446_.X _2531_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2446_.X _2552_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2447_.X hold722.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2448_.X _3049_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2449_.X _2450_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2449_.X _2480_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2449_.X _2509_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2449_.X _2533_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2449_.X _2554_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2449_.X ANTENNA__2554__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2449_.X ANTENNA__2533__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2449_.X ANTENNA__2509__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2449_.X ANTENNA__2480__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2449_.X ANTENNA__2450__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2450_.X _2452_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2451_.X _2452_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2451_.X _2481_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2451_.X _2534_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2452_.X hold286.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2453_.X _2456_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2453_.X _2466_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2453_.X _2483_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2453_.X _2565_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2453_.X ANTENNA__2565__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2453_.X ANTENNA__2483__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2453_.X ANTENNA__2466__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2453_.X ANTENNA__2456__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X _2455_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2454_.X _2482_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2454_.X _2483_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2454_.X _2512_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X _2535_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X _2557_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X ANTENNA__2557__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X ANTENNA__2535__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X ANTENNA__2512__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2454_.X ANTENNA__2483__B_N.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2454_.X ANTENNA__2482__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2454_.X ANTENNA__2455__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2455_.Y _2456_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2456_.X hold454.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2457_.X _3051_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2458_.Y _2461_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2458_.Y _2470_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2458_.Y _2476_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2458_.Y _2479_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2458_.Y _2481_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2458_.Y _2484_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2459_.X _2460_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2459_.X _2485_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2459_.X _2537_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2459_.X _2559_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2460_.Y _2461_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2460_.Y _2516_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2460_.Y _2560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2461_.Y _2462_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2462_.X hold618.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2463_.X _3052_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2464_.X _2465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2464_.X hold539.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2465_.Y _2466_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2466_.X hold463.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2467_.X _3053_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2468_.X hold669.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2469_.X _3054_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.Y _2477_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.Y _2482_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2470_.Y hold496.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2471_.X _2472_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2472_.X hold679.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2473_.X _3055_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2474_.X _2475_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2474_.X _2503_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2474_.X _2529_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2474_.X _2548_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2475_.X hold649.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2476_.X hold650.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2477_.X _2479_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2478_.X _2479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2478_.X _2532_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2479_.X hold280.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2480_.X hold676.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2481_.X hold677.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2482_.X _2484_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2483_.X _2484_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2483_.X _2536_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2484_.X hold284.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2485_.X hold497.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2486_.X hold498.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2487_.X _3060_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2488_.X _2490_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X _2493_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X _2497_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X _2506_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X _2514_.A0 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2488_.X _2520_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2488_.X _2527_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2488_.X _2538_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X _2541_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X _2546_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X ANTENNA__2546__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X ANTENNA__2541__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X ANTENNA__2538__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2488_.X ANTENNA__2527__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2488_.X ANTENNA__2520__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2488_.X ANTENNA__2514__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _2488_.X ANTENNA__2506__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X ANTENNA__2497__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X ANTENNA__2493__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2488_.X ANTENNA__2490__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2489_.X _2490_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2490_.X hold643.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2491_.X _3061_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2492_.X _2493_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2493_.X hold671.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2494_.X _3062_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2495_.X _2496_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2500_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2503_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2543_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2545_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2548_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2549_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2551_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2495_.X _2564_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2496_.X _2497_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2497_.X hold681.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2498_.X _3063_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2499_.X _2500_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2499_.X _2548_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2499_.X _2549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2499_.X _2551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2500_.Y _2501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2500_.Y _2509_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2500_.Y _2519_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2500_.Y _2525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2501_.X _2504_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2505_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2513_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2516_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2522_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2530_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2501_.X _2532_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2501_.X _2534_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2501_.X _2536_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2501_.X _2544_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2502_.X _2504_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2502_.X _2550_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2503_.X _2504_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2504_.X hold305.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2505_.X _2506_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2506_.X hold635.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2507_.X _3065_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2508_.X _2510_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2508_.X _2517_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2508_.X _2523_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2508_.X _2555_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2508_.X _2560_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2509_.X _2510_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2510_.X _2511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2511_.X _3066_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2512_.Y _2513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2512_.Y _2558_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2513_.Y _2514_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2514_.X hold505.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2515_.X _3067_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2516_.Y _2517_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2517_.X _2518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2518_.X _3068_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2519_.X hold540.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2520_.X hold541.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2521_.X _3069_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2522_.Y _2523_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2523_.X _2524_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2524_.X _3070_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2525_.Y _2526_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2529_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2531_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2533_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2535_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2537_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2525_.Y _2540_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2526_.X _2527_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2527_.X hold593.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2528_.X _3071_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2529_.X hold728.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2530_.X _3072_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2531_.X _2532_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2532_.X hold282.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2533_.X hold534.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2534_.X hold535.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2535_.X _2536_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2536_.X hold301.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2537_.X _2538_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2538_.X hold614.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2539_.X _3076_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2540_.X _2541_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2541_.X hold587.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2542_.X _3077_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2543_.X hold736.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2544_.X _3078_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2545_.X hold528.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2546_.X hold529.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2547_.X _3079_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2548_.X _2550_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2549_.Y _2550_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2549_.Y _2553_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2549_.Y _2558_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2549_.Y _2560_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2550_.X hold299.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2551_.X _2552_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2551_.X _2554_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2551_.X _2557_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2551_.X _2559_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2551_.X _2561_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2552_.X hold717.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2553_.X hold718.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2554_.X _2555_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2555_.X _2556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2556_.X _3082_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2557_.X hold709.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2558_.X hold710.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2559_.X hold699.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2560_.X hold700.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2561_.X _2562_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2562_.X _2563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2563_.X _3085_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2564_.X hold637.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2565_.X hold638.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2566_.X _3086_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2567_.X _2568_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2568_.X _2569_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2568_.X _2590_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2568_.X _2611_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X _2613_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X _2615_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2568_.X _2617_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X _2619_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2568_.X ANTENNA__2619__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2568_.X ANTENNA__2617__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X ANTENNA__2615__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2568_.X ANTENNA__2613__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X ANTENNA__2611__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2568_.X ANTENNA__2590__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2568_.X ANTENNA__2569__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2569_.X _2570_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2569_.X _2572_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2569_.X _2574_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2576_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2578_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2580_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2582_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2584_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2586_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X _2588_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2569_.X ANTENNA__2588__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2586__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2584__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2582__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2569_.X ANTENNA__2580__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2569_.X ANTENNA__2578__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2576__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2574__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2572__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _2569_.X ANTENNA__2570__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2570_.X hold408.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2571_.X _3087_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2572_.X hold422.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2573_.X _3088_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2574_.X hold328.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2575_.X _3089_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2576_.X hold344.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2577_.X _3090_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.X hold568.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2579_.X _3091_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.X hold458.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2581_.X _3092_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2582_.X hold377.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2583_.X _3093_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.X hold470.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2585_.X _3094_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2586_.X hold372.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2587_.X hold373.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2588_.X hold607.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.X _3096_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2590_.X _2591_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2590_.X _2593_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2590_.X _2595_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2590_.X _2597_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2590_.X _2599_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2590_.X _2601_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2590_.X _2603_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2590_.X _2605_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2590_.X _2607_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2590_.X _2609_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2591_.X hold364.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2592_.X _3097_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2593_.X hold389.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.X hold390.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2595_.X hold743.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2596_.X _3099_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.X hold416.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2598_.X _3100_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2599_.X hold490.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.X _3101_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2601_.X hold359.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X hold360.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.X hold338.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2604_.X _3103_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2605_.X hold425.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2606_.X _3104_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2607_.X hold445.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2608_.X _3105_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2609_.X hold456.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2610_.X _3106_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2611_.X hold481.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2612_.X _3107_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2613_.X hold443.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2614_.X _3108_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2615_.X hold319.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2616_.X _3109_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2617_.X hold317.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2618_.X _3110_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2619_.X hold474.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2620_.X _3111_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2621_.X _2622_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2622_.X _2623_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2622_.X _2644_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2622_.X _2646_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X _2648_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X _2650_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2622_.X _2652_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X _2654_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _2622_.X ANTENNA__2654__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X ANTENNA__2652__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X ANTENNA__2650__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X ANTENNA__2648__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X ANTENNA__2646__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2622_.X ANTENNA__2644__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2622_.X ANTENNA__2623__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2623_.X _2624_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2623_.X _2626_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2623_.X _2628_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X _2630_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X _2632_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _2623_.X _2634_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X _2636_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _2623_.X _2638_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _2623_.X _2640_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2623_.X _2642_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2623_.X ANTENNA__2642__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2623_.X ANTENNA__2640__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2623_.X ANTENNA__2638__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2636__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2634__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2632__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2630__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2628__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _2623_.X ANTENNA__2626__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2623_.X ANTENNA__2624__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _2624_.X hold472.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2625_.X _3112_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2626_.X hold518.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2627_.X _3113_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2628_.X hold392.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2629_.X hold393.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2630_.X hold578.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2631_.X _3115_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2632_.X hold431.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2633_.X hold432.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2634_.X hold346.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2635_.X hold347.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2636_.X hold330.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2637_.X hold331.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2638_.X hold333.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2639_.X hold334.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2640_.X hold369.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2641_.X hold370.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2642_.X hold351.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2643_.X hold352.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2644_.X hold379.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2645_.X _3122_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.X hold397.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2647_.X hold398.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2648_.X hold503.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2649_.X _3124_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2650_.X hold362.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2651_.X _3125_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2652_.X hold354.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2653_.X hold355.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2654_.X hold400.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2655_.X hold401.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2656_.X hold859.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2657_.X _3128_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2658_.Y _2659_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2659_.X hold685.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2660_.Y hold848.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2661_.X _2662_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2662_.X hold849.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2663_.X _3130_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2664_.X _2665_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2669_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2670_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2671_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2673_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2665_.Y _2667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2666_.X hold854.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2667_.X hold855.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2668_.X _3131_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2669_.Y _2670_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2670_.Y hold291.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2672_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2676_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2677_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2683_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2672_.Y _2674_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2673_.X hold851.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2674_.X hold852.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2675_.X _3133_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.X _2678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.X _2679_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.X _2680_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.X _2681_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2677_.Y hold640.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2678_.Y hold641.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2679_.Y _2680_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2680_.X hold601.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2681_.X _2682_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2681_.X _2686_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2681_.X _2687_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2681_.X _2689_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2682_.Y _2684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2683_.X hold873.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2684_.X _2685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2685_.X _3136_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2686_.X _2688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2686_.X _2690_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2687_.Y hold419.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2688_.Y hold420.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2693_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2695_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2690_.Y hold314.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2691_.Y hold315.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2692_.X _2694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2692_.X _2696_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2693_.Y hold531.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2694_.Y hold532.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.X _2697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.X _2698_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.X _2699_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.X _2701_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2696_.Y hold309.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2697_.Y hold310.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2698_.X _2700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2698_.X _2702_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2699_.Y hold321.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2700_.Y hold322.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2701_.X _2703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2701_.X _2704_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2702_.Y hold436.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2703_.Y hold437.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2704_.X hold278.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2705_.X hold629.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.X _2707_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2707_.X _2708_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2708_.Y _2709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2708_.Y _2724_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2709_.Y _2724_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2710_.Y _2723_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2711_.X _2712_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2712_.X _2713_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2713_.X _2722_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2714_.X _2716_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2715_.X _2716_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2716_.X _2722_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2717_.X _2721_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2718_.X _2719_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2719_.X _2720_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2720_.X _2721_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2721_.X _2722_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2722_.X _2723_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.X _2724_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2724_.X hold762.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2725_.Y _2726_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2725_.Y _2740_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2726_.X _2727_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2727_.X _2732_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2727_.X _2733_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2727_.X _2734_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2727_.X _2735_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2727_.X _2736_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2727_.X _2737_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2727_.X _2738_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2727_.X _2739_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2728_.X _2729_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2728_.X _2740_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2729_.X _2730_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2730_.X _2731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2731_.X _2732_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2731_.X _2733_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2731_.X _2734_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2731_.X _2735_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2731_.X _2736_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2731_.X _2737_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2731_.X _2738_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2731_.X _2739_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2732_.X hold768.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2733_.X hold715.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2734_.X hold782.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2735_.X hold747.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2736_.X hold788.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2737_.X hold778.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2738_.X hold764.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2739_.X hold749.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2740_.X _2741_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2741_.X _2742_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2741_.X _2745_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2741_.X _2748_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2741_.X _2751_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2741_.X _2754_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2741_.X _2757_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2741_.X _2760_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2741_.X _2763_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2742_.X hold916.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2743_.X _2744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2744_.X _3154_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2745_.X hold922.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2746_.X _2747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2747_.X _3155_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2748_.X hold918.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2749_.X _2750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2750_.X _3156_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2751_.X hold920.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2752_.X _2753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2753_.X _3157_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2754_.X hold928.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2755_.X _2756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2756_.X _3158_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2757_.X hold926.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.X _2759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2759_.X _3159_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2760_.X hold930.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2761_.X _2762_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.X _3160_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2763_.X hold924.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2764_.X _2765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2765_.X _3161_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Y _2767_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Y _2768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Y _2769_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.Y _2770_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2767_.Y _3162_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2768_.Y _3163_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2769_.Y hold871.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2770_.Y hold882.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2771_.X _2772_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2772_.X _3166_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2773_.Q hold608.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.Q hold935.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2775_.Q output101.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2776_.Q hold937.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2777_.Q output100.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2778_.Q hold938.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2779_.Q hold795.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2780_.Q hold936.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2781_.Q output102.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2782_.Q _1982_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2782_.Q hold665.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2783_.Q _1985_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2783_.Q hold491.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2784_.Q _1988_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2784_.Q hold564.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2785_.Q _1991_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2785_.Q hold499.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2786_.Q _1994_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2786_.Q hold483.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2787_.Q _1998_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2787_.Q hold571.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2788_.Q _2001_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2788_.Q hold409.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2789_.Q _2004_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2789_.Q hold446.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2790_.Q _2007_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2790_.Q hold509.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2791_.Q _2010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2791_.Q hold655.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2792_.Q _2013_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2792_.Q hold512.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2793_.Q _2016_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2793_.Q hold594.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2794_.Q _2019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2794_.Q hold459.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2795_.Q _2022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2795_.Q hold619.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2796_.Q _2025_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2796_.Q hold486.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2797_.Q _2029_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2797_.Q hold506.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2798_.Q _2032_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2798_.Q hold438.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2799_.Q _2035_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2799_.Q hold602.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2800_.Q _1748_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2800_.Q _1751_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2800_.Q hold889.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2800_.Q ANTENNA_hold889_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2800_.Q ANTENNA__1751__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2800_.Q ANTENNA__1748__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2801_.Q _1577_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2801_.Q hold894.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2802_.Q _1582_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2802_.Q hold902.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2803_.Q _1587_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2803_.Q hold883.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2804_.Q _1592_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2804_.Q hold874.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2805_.Q _1597_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2805_.Q hold876.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2806_.Q _1602_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2806_.Q hold906.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2807_.Q _1607_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2807_.Q hold913.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2807_.Q ANTENNA_hold913_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2807_.Q ANTENNA__1607__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2808_.Q _1612_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2808_.Q hold900.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2809_.Q _1617_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2809_.Q _1732_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2809_.Q ANTENNA__1732__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2809_.Q ANTENNA__1617__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2810_.Q _1619_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2810_.Q hold908.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2810_.Q ANTENNA_hold908_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2810_.Q ANTENNA__1619__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2811_.Q hold904.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2811_.Q hold972.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2812_.Q _1623_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2812_.Q hold896.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2813_.Q _1625_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2813_.Q hold898.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2813_.Q ANTENNA_hold898_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2813_.Q ANTENNA__1625__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2814_.Q _1628_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2814_.Q hold886.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2815_.Q _1630_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2815_.Q hold866.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2816_.Q _1632_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2816_.Q hold892.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2817_.Q hold626.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2818_.Q _1633_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2818_.Q hold270.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2819_.Q hold581.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2820_.Q hold579.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2821_.Q hold588.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2822_.Q hold550.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2823_.Q hold274.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2824_.Q hold796.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2825_.Q hold862.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2826_.Q hold798.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2827_.Q hold843.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2828_.Q _1633_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2829_.Q hold696.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2830_.Q hold306.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2831_.Q hold465.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2832_.Q _1816_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2832_.Q hold615.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2833_.Q _1819_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2833_.Q _2179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2833_.Q hold965.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2834_.Q _1822_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2834_.Q _2179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2834_.Q hold960.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2835_.Q _1825_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2835_.Q _2286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2836_.Q _1828_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2836_.Q _2293_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2837_.Q _1831_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2837_.Q _2202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2838_.Q _1835_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2838_.Q _2277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2839_.Q _1838_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2839_.Q _2284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2840_.Q _1841_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2840_.Q _2292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2841_.Q _1844_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2841_.Q _2298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2842_.Q _1848_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2842_.Q _2303_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2843_.Q _1851_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2843_.Q _2311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2844_.Q _1854_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2844_.Q _2315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2845_.Q _1857_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2845_.Q _2319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2846_.Q _1860_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2846_.Q _2324_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2847_.Q _1863_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2847_.Q _2329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2848_.Q _1867_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2848_.Q _2334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2849_.Q _1870_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2849_.Q _2339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2850_.Q _1873_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2850_.Q _2344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2851_.Q _1876_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2851_.Q _2348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2852_.Q _1880_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2852_.Q _2352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2853_.Q _1883_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2853_.Q _2356_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2854_.Q _1886_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2854_.Q _2278_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2854_.Q ANTENNA__2278__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2854_.Q ANTENNA__1886__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2855_.Q _1889_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.Q _2283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2856_.Q _1892_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2856_.Q _2291_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2857_.Q _1895_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2857_.Q _2297_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2858_.Q _1899_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2858_.Q _2302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2859_.Q _1902_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2859_.Q _2310_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2860_.Q _1905_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2860_.Q _2316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2861_.Q _1908_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2861_.Q _2320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2862_.Q _1912_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2862_.Q _2323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.Q _1915_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.Q _2328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2864_.Q _1918_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2864_.Q _2333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2865_.Q _1921_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2865_.Q _2338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2866_.Q _1924_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2866_.Q _2343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2867_.Q _1479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2867_.Q _1927_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2868_.Q _1486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2868_.Q _1931_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2869_.Q _1487_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2869_.Q _1934_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2870_.Q _1477_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2870_.Q _1937_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2871_.Q _1482_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2871_.Q _1940_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2872_.Q _1488_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2872_.Q _1943_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2873_.Q _1476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2873_.Q _1946_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2874_.Q _1485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2874_.Q _1949_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2875_.Q _1484_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2875_.Q _1952_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2876_.Q _1480_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2876_.Q _1955_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2877_.Q _1483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2877_.Q _1958_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2878_.Q _1450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2878_.Q _1962_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2879_.Q _1967_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2880_.Q hold971.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2881_.Q hold311.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2882_.Q _1813_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2882_.Q hold348.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2883_.Q _1813_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2884_.Q hold885.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2885_.Q hold723.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2886_.Q _1573_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2886_.Q hold804.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2887_.Q _1581_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2887_.Q hold802.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2888_.Q _1586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2888_.Q hold825.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2889_.Q _1591_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2889_.Q hold806.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2890_.Q _1596_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2890_.Q hold813.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2891_.Q _1601_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2891_.Q hold811.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2892_.Q _1606_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2892_.Q hold835.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2893_.Q _1611_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2893_.Q hold808.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2894_.Q _1616_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2894_.Q hold829.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2895_.Q _1618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2895_.Q hold817.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2896_.Q _1620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2896_.Q hold819.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2897_.Q _1622_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2897_.Q hold827.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2898_.Q _1624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2898_.Q hold833.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2899_.Q _1627_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2899_.Q hold831.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2900_.Q _1629_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2900_.Q hold815.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2901_.Q _1631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2901_.Q hold845.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2902_.Q hold131.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2903_.Q hold380.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2904_.Q hold494.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2905_.Q hold394.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2906_.Q hold426.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2907_.Q hold475.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2908_.Q hold59.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2909_.Q hold26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2910_.Q hold406.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2911_.Q hold29.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2912_.Q hold441.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2913_.Q hold402.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2914_.Q hold414.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2915_.Q hold387.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2916_.Q hold651.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2917_.Q hold386.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2918_.Q hold405.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2919_.Q hold464.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2920_.Q hold558.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2921_.Q hold20.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2922_.Q hold433.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2923_.Q hold482.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2924_.Q hold339.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2925_.Q hold576.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2926_.Q hold467.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2927_.Q hold417.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2928_.Q hold395.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2929_.Q hold536.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2930_.Q hold468.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2931_.Q hold434.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2932_.Q hold134.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2933_.Q hold122.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2934_.Q hold403.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2935_.Q hold559.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2936_.Q hold605.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2937_.Q hold479.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2938_.Q hold374.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2939_.Q hold519.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2940_.Q hold449.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2941_.Q hold423.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2942_.Q hold452.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2943_.Q hold557.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2944_.Q hold375.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2945_.Q hold47.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2946_.Q hold450.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2947_.Q hold381.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2948_.Q hold128.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2949_.Q hold268.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2950_.Q hold302.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2951_.Q hold800.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2952_.Q hold878.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2953_.Q hold292.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2954_.Q _1497_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2955_.Q hold427.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2956_.Q _1968_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2956_.Q _2165_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2956_.Q _2166_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2956_.Q hold934.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2957_.Q _1968_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2957_.Q hold711.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2958_.Q _1968_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2958_.Q hold773.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2959_.Q _1968_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2959_.Q hold860.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2960_.Q _2172_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2960_.Q hold943.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2961_.Q hold155.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2962_.Q hold163.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2963_.Q hold682.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2964_.Q hold323.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2965_.Q hold837.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2966_.Q hold840.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2967_.Q hold821.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2968_.Q hold970.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2969_.Q _1461_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2969_.Q _1470_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2969_.Q _2208_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2970_.Q hold211.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2971_.Q hold256.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2972_.Q _1573_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2972_.Q _1671_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2972_.Q hold783.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2973_.Q _1581_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2973_.Q _1673_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2973_.Q hold771.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2974_.Q _1586_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2974_.Q _1675_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2974_.Q hold765.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2975_.Q _1591_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2975_.Q _1677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2975_.Q hold703.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2976_.Q _1596_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2976_.Q _1679_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2976_.Q hold755.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2977_.Q _1601_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2977_.Q _1682_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2977_.Q hold701.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2978_.Q _1606_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2978_.Q _1684_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2978_.Q hold744.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2979_.Q _1611_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2979_.Q _1686_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2979_.Q hold719.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2980_.Q _1616_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2980_.Q _1688_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2980_.Q hold779.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2981_.Q _1618_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2981_.Q _1690_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2981_.Q hold725.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2982_.Q _1620_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2982_.Q _1692_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2982_.Q hold785.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2983_.Q _1622_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2983_.Q _1694_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2983_.Q hold792.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2984_.Q _1624_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2984_.Q _1696_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2984_.Q hold750.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2985_.Q _1627_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2985_.Q _1698_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2985_.Q hold729.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2986_.Q _1629_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2986_.Q _1700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2986_.Q hold731.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2987_.Q _1631_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2987_.Q _1702_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2987_.Q hold769.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2988_.Q _1498_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2988_.Q _1663_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2988_.Q _1668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2988_.Q hold910.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2989_.Q _1501_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2989_.Q _1662_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2989_.Q _1663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2989_.Q hold931.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2990_.Q hold752.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2991_.Q hold325.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2992_.Q hold739.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2993_.Q fanout200.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2993_.Q fanout201.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2993_.Q hold265.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2993_.Q ANTENNA_hold265_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2993_.Q ANTENNA_fanout201_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2993_.Q ANTENNA_fanout200_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2994_.Q output198.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2994_.Q hold287.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2994_.Q ANTENNA_hold287_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2994_.Q ANTENNA_output198_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2995_.Q output172.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2995_.Q hold945.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2996_.Q output173.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2996_.Q hold949.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2997_.Q output174.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2997_.Q hold946.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2998_.Q output175.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2998_.Q hold950.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2999_.Q output176.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2999_.Q hold941.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3000_.Q output177.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3000_.Q hold951.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3001_.Q output178.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3001_.Q hold952.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3002_.Q output179.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3002_.Q hold942.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3003_.Q output180.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3003_.Q hold947.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3004_.Q output181.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3004_.Q hold948.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3005_.Q output183.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3005_.Q hold953.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3006_.Q output184.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3006_.Q hold955.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3007_.Q output185.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3007_.Q hold956.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3008_.Q output186.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3008_.Q hold954.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3009_.Q output187.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3009_.Q hold958.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3009_.Q ANTENNA_hold958_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3009_.Q ANTENNA_output187_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3010_.Q output188.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3010_.Q hold957.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3011_.Q hold272.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3012_.Q _2248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3012_.Q hold959.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3013_.Q _1470_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3013_.Q _2204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3013_.Q _2270_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3013_.Q hold662.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3014_.Q _2206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3014_.Q hold864.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3015_.Q _1465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3015_.Q hold659.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3016_.Q _1800_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3016_.Q _2204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3016_.Q _2270_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3016_.Q hold962.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3017_.Q _2204_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3017_.Q _2248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3017_.Q hold967.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3017_.Q hold973.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3018_.Q hold356.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3019_.Q _2706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3019_.Q hold794.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3020_.Q _1654_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3020_.Q hold791.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3021_.Q _2397_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3021_.Q _2706_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3021_.Q hold856.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3022_.Q hold622.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3023_.Q _1526_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3023_.Q _2397_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3023_.Q _2706_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3023_.Q hold789.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3024_.Q hold545.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3025_.Q hold757.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3026_.Q hold537.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3027_.Q _2443_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3027_.Q hold636.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3028_.Q hold634.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3029_.Q hold495.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3030_.Q hold527.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3031_.Q hold542.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3032_.Q hold554.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3033_.Q hold690.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3034_.Q hold672.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3035_.Q hold583.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3036_.Q hold597.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3037_.Q hold515.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3038_.Q hold687.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3039_.Q hold610.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3040_.Q hold561.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3041_.Q hold552.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3042_.Q hold645.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3043_.Q hold693.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3044_.Q hold705.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3045_.Q hold652.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3046_.Q hold630.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3047_.Q hold471.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3048_.Q hold296.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3049_.Q _2628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3049_.Q hold721.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3050_.Q hold285.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3051_.Q _2632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3051_.Q hold453.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3052_.Q _2634_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3052_.Q hold617.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3053_.Q _2636_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3053_.Q hold462.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3054_.Q _2638_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3054_.Q hold668.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3055_.Q _2640_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3055_.Q hold678.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.Q _2642_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.Q hold648.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.Q hold279.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3058_.Q _2646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3058_.Q hold675.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3059_.Q hold283.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3060_.Q hold361.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3061_.Q _2652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3061_.Q hold642.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3062_.Q _2654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3062_.Q hold670.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3063_.Q hold680.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3064_.Q hold304.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3065_.Q hold327.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3066_.Q hold754.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3067_.Q hold567.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3068_.Q hold644.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3069_.Q hold376.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3070_.Q hold658.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.Q _2586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.Q hold592.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3072_.Q hold727.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3073_.Q hold281.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3074_.Q _2593_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3074_.Q hold533.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.Q hold742.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3076_.Q hold613.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3077_.Q hold586.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3078_.Q _2601_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3078_.Q hold735.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3079_.Q hold337.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3080_.Q hold298.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3081_.Q hold716.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3082_.Q hold686.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3083_.Q hold708.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3084_.Q hold698.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3085_.Q hold633.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3086_.Q hold316.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3087_.Q hold219.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3088_.Q hold421.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3089_.Q hold213.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3090_.Q hold232.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3091_.Q hold258.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3092_.Q hold246.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3093_.Q hold200.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3094_.Q hold158.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3095_.Q hold371.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3096_.Q hold476.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3097_.Q hold363.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3098_.Q hold388.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3099_.Q hold521.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3100_.Q hold190.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3101_.Q hold180.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3102_.Q hold358.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3103_.Q hold175.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3104_.Q hold424.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3105_.Q hold165.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3106_.Q hold206.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3107_.Q hold195.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3108_.Q hold340.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3109_.Q hold238.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3110_.Q hold170.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3111_.Q hold146.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3112_.Q hold365.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3113_.Q hold517.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3114_.Q hold391.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3115_.Q hold577.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3116_.Q hold430.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3117_.Q hold345.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3118_.Q hold329.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3119_.Q hold332.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3120_.Q hold368.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3121_.Q hold350.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3122_.Q hold378.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3123_.Q hold396.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3124_.Q hold502.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.Q hold335.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3126_.Q hold353.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3127_.Q hold399.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3128_.Q _2660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3128_.Q _2666_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3128_.Q hold858.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3128_.Q hold968.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3129_.Q hold684.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3130_.Q hold847.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3131_.Q hold853.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3132_.Q hold290.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3133_.Q hold850.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3134_.Q hold639.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3135_.Q hold600.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3136_.Q hold872.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3137_.Q hold418.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3138_.Q hold313.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3139_.Q hold530.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3140_.Q hold308.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3141_.Q hold320.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3142_.Q hold435.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3143_.Q hold277.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3144_.Q hold810.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3145_.Q output191.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3145_.Q hold761.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3145_.Q ANTENNA_hold761_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3145_.Q ANTENNA_output191_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3146_.Q _1570_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3146_.Q output147.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3146_.Q hold767.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3146_.Q ANTENNA_hold767_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3146_.Q ANTENNA_output147_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3146_.Q ANTENNA__1570__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3147_.Q _1579_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3147_.Q output158.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3147_.Q hold714.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3147_.Q ANTENNA_hold714_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3147_.Q ANTENNA_output158_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3147_.Q ANTENNA__1579__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3148_.Q _1584_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3148_.Q output165.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3148_.Q hold781.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3148_.Q ANTENNA_hold781_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3148_.Q ANTENNA_output165_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3148_.Q ANTENNA__1584__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3149_.Q _1589_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3149_.Q output166.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3149_.Q hold746.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3149_.Q ANTENNA_hold746_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3149_.Q ANTENNA_output166_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3149_.Q ANTENNA__1589__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3150_.Q _1594_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3150_.Q output167.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3150_.Q hold787.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3150_.Q ANTENNA_hold787_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3150_.Q ANTENNA_output167_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3150_.Q ANTENNA__1594__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.Q _1599_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.Q output168.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3151_.Q hold777.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.Q ANTENNA_hold777_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.Q ANTENNA_output168_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3151_.Q ANTENNA__1599__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3152_.Q _1604_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3152_.Q output169.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3152_.Q hold763.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3152_.Q ANTENNA_hold763_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3152_.Q ANTENNA_output169_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3152_.Q ANTENNA__1604__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3153_.Q _1609_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3153_.Q output170.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3153_.Q hold748.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3153_.Q ANTENNA_hold748_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3153_.Q ANTENNA_output170_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3153_.Q ANTENNA__1609__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3154_.Q _1570_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.Q output171.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.Q hold915.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.Q ANTENNA_hold915_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.Q ANTENNA_output171_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.Q ANTENNA__1570__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3155_.Q _1579_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3155_.Q output182.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3155_.Q hold921.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3155_.Q ANTENNA_hold921_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3155_.Q ANTENNA_output182_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3155_.Q ANTENNA__1579__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3156_.Q _1584_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3156_.Q output190.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3156_.Q hold917.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3156_.Q ANTENNA_hold917_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3156_.Q ANTENNA_output190_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3156_.Q ANTENNA__1584__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3157_.Q _1589_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3157_.Q output193.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3157_.Q hold919.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3157_.Q ANTENNA_hold919_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3157_.Q ANTENNA_output193_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3157_.Q ANTENNA__1589__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.Q _1594_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.Q output194.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3158_.Q hold927.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.Q ANTENNA_hold927_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3158_.Q ANTENNA_output194_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3158_.Q ANTENNA__1594__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Q _1599_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Q output195.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3159_.Q hold925.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Q ANTENNA_hold925_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Q ANTENNA_output195_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3159_.Q ANTENNA__1599__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3160_.Q _1604_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3160_.Q output196.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3160_.Q hold929.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3160_.Q ANTENNA_hold929_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3160_.Q ANTENNA_output196_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3160_.Q ANTENNA__1604__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Q _1609_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Q output197.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3161_.Q hold923.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Q ANTENNA_hold923_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Q ANTENNA_output197_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3161_.Q ANTENNA__1609__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3162_.Q hold939.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3163_.Q output103.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _3163_.Q hold590.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3163_.Q ANTENNA_hold590_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3163_.Q ANTENNA_output103_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _3164_.Q hold940.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3165_.Q _1462_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q _1466_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT _3165_.Q _1492_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q _1638_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q _2181_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q output189.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3165_.Q hold964.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3165_.Q ANTENNA_hold964_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3165_.Q ANTENNA_output189_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3165_.Q ANTENNA__2181__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q ANTENNA__1638__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q ANTENNA__1492__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3165_.Q ANTENNA__1466__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _3165_.Q ANTENNA__1462__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3166_.Q _1386_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3166_.Q _1388_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3166_.Q _1390_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3166_.Q _1392_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3166_.Q hold383.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_384.HI m_io_oeb[27] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_385.HI m_io_oeb[30] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_386.HI m_io_oeb[31] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_387.HI m_io_oeb[32] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_388.HI m_io_oeb[33] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_389.HI m_io_oeb[34] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_390.HI m_io_oeb[35] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_391.HI m_io_out[28] (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2804_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2805_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2808_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2806_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2807_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2801_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2909_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2910_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2908_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _2911_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_user_clock2.X _3159_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3162__204.LO _3162_.D (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_205.LO irq[0] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_206.LO irq[1] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_207.LO irq[2] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_208.LO la_data_out[0] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_209.LO la_data_out[1] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_210.LO la_data_out[2] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_211.LO la_data_out[3] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_212.LO la_data_out[4] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_213.LO la_data_out[5] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_214.LO la_data_out[6] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_215.LO la_data_out[7] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_216.LO la_data_out[8] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_217.LO la_data_out[9] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_218.LO la_data_out[10] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_219.LO la_data_out[11] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_220.LO la_data_out[12] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_221.LO la_data_out[13] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_222.LO la_data_out[14] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_223.LO la_data_out[15] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_224.LO la_data_out[16] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_225.LO la_data_out[17] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_226.LO la_data_out[18] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_227.LO la_data_out[19] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_228.LO la_data_out[20] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_229.LO la_data_out[21] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_230.LO la_data_out[22] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_231.LO la_data_out[23] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_232.LO la_data_out[24] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_233.LO la_data_out[25] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_234.LO la_data_out[26] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_235.LO la_data_out[27] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_236.LO la_data_out[28] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_237.LO la_data_out[29] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_238.LO la_data_out[30] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_239.LO la_data_out[31] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_240.LO la_data_out[32] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_241.LO la_data_out[33] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_242.LO la_data_out[34] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_243.LO la_data_out[35] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_244.LO la_data_out[36] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_245.LO la_data_out[37] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_246.LO la_data_out[38] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_247.LO la_data_out[39] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_248.LO la_data_out[40] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_249.LO la_data_out[41] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_250.LO la_data_out[42] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_251.LO la_data_out[43] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_252.LO la_data_out[44] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_253.LO la_data_out[45] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_254.LO la_data_out[46] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_255.LO la_data_out[47] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_256.LO la_data_out[48] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_257.LO la_data_out[49] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_258.LO la_data_out[50] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_259.LO la_data_out[51] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_260.LO la_data_out[52] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_261.LO la_data_out[53] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_262.LO la_data_out[54] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_263.LO la_data_out[55] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_264.LO la_data_out[56] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_265.LO la_data_out[57] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_266.LO la_data_out[58] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_267.LO la_data_out[59] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_268.LO la_data_out[60] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_269.LO la_data_out[61] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_270.LO la_data_out[62] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_271.LO la_data_out[63] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_272.LO la_data_out[64] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_273.LO la_data_out[65] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_274.LO la_data_out[66] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_275.LO la_data_out[67] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_276.LO la_data_out[68] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_277.LO la_data_out[69] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_278.LO la_data_out[70] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_279.LO la_data_out[71] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_280.LO la_data_out[72] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_281.LO la_data_out[73] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_282.LO la_data_out[74] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_283.LO la_data_out[75] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_284.LO la_data_out[76] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_285.LO la_data_out[77] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_286.LO la_data_out[78] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_287.LO la_data_out[79] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_288.LO la_data_out[80] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_289.LO la_data_out[81] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_290.LO la_data_out[82] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_291.LO la_data_out[83] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_292.LO la_data_out[84] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_293.LO la_data_out[85] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_294.LO la_data_out[86] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_295.LO la_data_out[87] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_296.LO la_data_out[88] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_297.LO la_data_out[89] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_298.LO la_data_out[90] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_299.LO la_data_out[91] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_300.LO la_data_out[92] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_301.LO la_data_out[93] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_302.LO la_data_out[94] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_303.LO la_data_out[95] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_304.LO la_data_out[96] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_305.LO la_data_out[97] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_306.LO la_data_out[98] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_307.LO la_data_out[99] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_308.LO la_data_out[100] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_309.LO la_data_out[101] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_310.LO la_data_out[102] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_311.LO la_data_out[103] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_312.LO la_data_out[104] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_313.LO la_data_out[105] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_314.LO la_data_out[106] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_315.LO la_data_out[107] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_316.LO la_data_out[108] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_317.LO la_data_out[109] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_318.LO la_data_out[110] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_319.LO la_data_out[111] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_320.LO la_data_out[112] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_321.LO la_data_out[113] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_322.LO la_data_out[114] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_323.LO la_data_out[115] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_324.LO la_data_out[116] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_325.LO la_data_out[117] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_326.LO la_data_out[118] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_327.LO la_data_out[119] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_328.LO la_data_out[120] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_329.LO la_data_out[121] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_330.LO la_data_out[122] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_331.LO la_data_out[123] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_332.LO la_data_out[124] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_333.LO la_data_out[125] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_334.LO la_data_out[126] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_335.LO la_data_out[127] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_336.LO m_io_oeb[8] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_337.LO m_io_oeb[9] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_338.LO m_io_oeb[28] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_339.LO m_io_oeb[29] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_340.LO m_io_oeb[36] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_341.LO m_io_oeb[37] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_342.LO m_io_out[26] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_343.LO m_io_out[27] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_344.LO m_io_out[30] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_345.LO m_io_out[31] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_346.LO m_io_out[32] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_347.LO m_io_out[33] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_348.LO m_io_out[34] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_349.LO m_io_out[35] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_350.LO mgt_wb_ack_o (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_351.LO mgt_wb_dat_o[0] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_352.LO mgt_wb_dat_o[1] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_353.LO mgt_wb_dat_o[2] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_354.LO mgt_wb_dat_o[3] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_355.LO mgt_wb_dat_o[4] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_356.LO mgt_wb_dat_o[5] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_357.LO mgt_wb_dat_o[6] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_358.LO mgt_wb_dat_o[7] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_359.LO mgt_wb_dat_o[8] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_360.LO mgt_wb_dat_o[9] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_361.LO mgt_wb_dat_o[10] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_362.LO mgt_wb_dat_o[11] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_363.LO mgt_wb_dat_o[12] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_364.LO mgt_wb_dat_o[13] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_365.LO mgt_wb_dat_o[14] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_366.LO mgt_wb_dat_o[15] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_367.LO mgt_wb_dat_o[16] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_368.LO mgt_wb_dat_o[17] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_369.LO mgt_wb_dat_o[18] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_370.LO mgt_wb_dat_o[19] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_371.LO mgt_wb_dat_o[20] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_372.LO mgt_wb_dat_o[21] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_373.LO mgt_wb_dat_o[22] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_374.LO mgt_wb_dat_o[23] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_375.LO mgt_wb_dat_o[24] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_376.LO mgt_wb_dat_o[25] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_377.LO mgt_wb_dat_o[26] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_378.LO mgt_wb_dat_o[27] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_379.LO mgt_wb_dat_o[28] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_380.LO mgt_wb_dat_o[29] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_381.LO mgt_wb_dat_o[30] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_382.LO mgt_wb_dat_o[31] (0.000:0.000:0.000))
(INTERCONNECT interconnect_outer_383.HI m_io_oeb[26] (0.000:0.000:0.000))
(INTERCONNECT _3356_.X output99.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3357_.X output129.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3358_.X output148.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3359_.X output149.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3360_.X output150.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3361_.X output151.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3362_.X output152.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3363_.X output153.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3364_.X output154.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3365_.X output155.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3366_.X output156.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3367_.X output157.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3368_.X output159.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3369_.X output160.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3370_.X output161.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3371_.X output162.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3372_.X output163.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3373_.X output199.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _2044_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input2.X _2041_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input3.X _1392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _1412_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _1410_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input6.X _1411_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input6.X _1428_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT input6.X ANTENNA__1428__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT input6.X ANTENNA__1411__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input7.X _1420_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input7.X ANTENNA__1420__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input8.X _1406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input8.X ANTENNA__1406__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input9.X _1404_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input9.X ANTENNA__1404__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input10.X _1405_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input10.X ANTENNA__1405__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input11.X _1419_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input11.X ANTENNA__1419__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input12.X _1422_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input12.X ANTENNA__1422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input13.X _1421_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input13.X ANTENNA__1421__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input14.X _1390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input15.X _1402_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input15.X ANTENNA__1402__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input16.X _1417_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input16.X ANTENNA__1417__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input17.X _1416_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input17.X ANTENNA__1416__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input18.X _1414_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input18.X ANTENNA__1414__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input19.X _1388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input20.X _1386_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input21.X _1398_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input21.X ANTENNA__1398__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input22.X _1396_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input22.X ANTENNA__1396__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input23.X _1394_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input23.X ANTENNA__1394__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input24.X _1401_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input24.X ANTENNA__1401__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input25.X _1415_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input25.X ANTENNA__1415__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input26.X _1409_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input26.X ANTENNA__1409__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input27.X _1448_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT input27.X _2771_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input27.X _2772_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input27.X ANTENNA__2772__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input27.X ANTENNA__2771__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT input27.X ANTENNA__1448__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input28.X _1384_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input29.X _1554_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input30.X _1556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input31.X _1558_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input32.X _1560_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input33.X _1562_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input34.X _1564_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input35.X _1382_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input36.X _1380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input37.X _1378_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input38.X _1376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input39.X _1374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input40.X _1371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input41.X _1369_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input42.X _1550_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input43.X _1552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input44.X _2047_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input44.X ANTENNA__2047__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input45.X _2052_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input45.X ANTENNA__2052__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input46.X _1447_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input46.X ANTENNA__1447__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input47.X _1635_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input47.X ANTENNA__1635__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input48.X _1707_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input48.X ANTENNA__1707__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input49.X _1738_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input50.X _1741_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input51.X _1744_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input52.X _1748_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input53.X _1751_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input54.X _1754_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input54.X ANTENNA__1754__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input55.X _1710_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input56.X _1713_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _1717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input58.X _1720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input59.X _1723_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input60.X _1726_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input61.X _1729_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input62.X _1732_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input62.X ANTENNA__1732__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input63.X _1735_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input64.X _2767_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X _2768_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X _2769_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X hold881.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X ANTENNA_hold881_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input64.X ANTENNA__2769__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X ANTENNA__2768__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input64.X ANTENNA__2767__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input65.X _2766_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input65.X ANTENNA__2766__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input66.X _1571_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input66.X ANTENNA__1571__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input67.X _2216_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input68.X _2218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input69.X _2220_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input70.X _2222_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input71.X _2224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input71.X ANTENNA__2224__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input72.X _2226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input73.X _2228_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input74.X _2230_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input74.X ANTENNA__2230__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input75.X _2232_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input75.X ANTENNA__2232__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input76.X _2234_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input76.X ANTENNA__2234__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input77.X _1580_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input77.X ANTENNA__1580__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input78.X _2236_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input79.X _2238_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input80.X _2240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input81.X _2242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input82.X _2244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input83.X _2246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input84.X _1453_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X _1461_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X _1470_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X _1474_.A_N (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT input84.X _2253_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__2253__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__1474__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__1470__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__1461__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__1453__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT input85.X _1453_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input85.X _2250_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input85.X ANTENNA__2250__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input85.X ANTENNA__1453__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input86.X _1585_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input86.X ANTENNA__1585__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input87.X _2780_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input87.X ANTENNA__2780__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input88.X _2778_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input89.X _2776_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input89.X ANTENNA__2776__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input90.X _1407_.A_N (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT input90.X _1425_.B1 (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT input90.X _1508_.B_N (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT input90.X _2774_.D (0.029:0.029:0.029) (0.028:0.028:0.028))
(INTERCONNECT input90.X ANTENNA__2774__D.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
(INTERCONNECT input90.X ANTENNA__1508__B_N.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT input90.X ANTENNA__1425__B1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input90.X ANTENNA__1407__A_N.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT input91.X _2256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input92.X _1528_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT input92.X _1534_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X _2441_.A (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT input92.X _2451_.C (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X _2453_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X _2478_.B (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X _2488_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X _2502_.B (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X _2508_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input92.X _2562_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT input92.X ANTENNA__2562__A0.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT input92.X ANTENNA__2508__B1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input92.X ANTENNA__2502__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X ANTENNA__2488__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X ANTENNA__2478__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X ANTENNA__2453__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X ANTENNA__2451__C.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X ANTENNA__2441__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input92.X ANTENNA__1534__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input92.X ANTENNA__1528__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input93.X _1590_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input93.X ANTENNA__1590__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input94.X _1595_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input94.X ANTENNA__1595__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input95.X _1600_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input95.X ANTENNA__1600__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input96.X _1605_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input96.X ANTENNA__1605__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input97.X _1610_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input97.X ANTENNA__1610__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input98.X _2767_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input98.X _2768_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input98.X hold870.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output99.X inner_clock (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output100.X inner_disable (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output101.X inner_embed_mode (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output102.X inner_ext_irq (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output103.X inner_reset (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output104.X inner_wb_ack (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output105.X inner_wb_err (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output106.X inner_wb_i_dat[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output107.X inner_wb_i_dat[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output108.X inner_wb_i_dat[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output109.X inner_wb_i_dat[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output110.X inner_wb_i_dat[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output111.X inner_wb_i_dat[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output112.X inner_wb_i_dat[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output113.X inner_wb_i_dat[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output114.X inner_wb_i_dat[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output115.X inner_wb_i_dat[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output116.X inner_wb_i_dat[4] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output117.X inner_wb_i_dat[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output118.X inner_wb_i_dat[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output119.X inner_wb_i_dat[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output120.X inner_wb_i_dat[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output121.X inner_wb_i_dat[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output122.X iram_addr[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output123.X iram_addr[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output124.X iram_addr[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output125.X iram_addr[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output126.X iram_addr[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output127.X iram_addr[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output128.X iram_addr[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output129.X iram_clk (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output130.X iram_i_data[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output131.X iram_i_data[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output132.X iram_i_data[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output133.X iram_i_data[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output134.X iram_i_data[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output135.X iram_i_data[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output136.X iram_i_data[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output137.X iram_i_data[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output138.X iram_i_data[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output139.X iram_i_data[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output140.X iram_i_data[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output141.X iram_i_data[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output142.X iram_i_data[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output143.X iram_i_data[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output144.X iram_i_data[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output145.X iram_i_data[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output146.X iram_we (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output147.X m_io_oeb[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output148.X m_io_oeb[10] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output149.X m_io_oeb[11] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output150.X m_io_oeb[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output151.X m_io_oeb[13] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output152.X m_io_oeb[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output153.X m_io_oeb[15] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output154.X m_io_oeb[16] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output155.X m_io_oeb[17] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output156.X m_io_oeb[18] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output157.X m_io_oeb[19] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output158.X m_io_oeb[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output159.X m_io_oeb[20] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output160.X m_io_oeb[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output161.X m_io_oeb[22] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output162.X m_io_oeb[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output163.X m_io_oeb[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output164.X m_io_oeb[25] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output165.X m_io_oeb[2] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output166.X m_io_oeb[3] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output167.X m_io_oeb[4] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output168.X m_io_oeb[5] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output169.X m_io_oeb[6] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output170.X m_io_oeb[7] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output171.X m_io_out[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output172.X m_io_out[10] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output173.X m_io_out[11] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output174.X m_io_out[12] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output175.X m_io_out[13] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output176.X m_io_out[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output177.X m_io_out[15] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output178.X m_io_out[16] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output179.X m_io_out[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output180.X m_io_out[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output181.X m_io_out[19] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output182.X m_io_out[1] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output183.X m_io_out[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output184.X m_io_out[21] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output185.X m_io_out[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output186.X m_io_out[23] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output187.X m_io_out[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output188.X m_io_out[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output189.X m_io_out[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output190.X m_io_out[2] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output191.X m_io_out[36] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output192.X m_io_out[37] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output193.X m_io_out[3] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output194.X m_io_out[4] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output195.X m_io_out[5] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output196.X m_io_out[6] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output197.X m_io_out[7] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output198.X m_io_out[8] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output199.X m_io_out[9] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT fanout200.X _3358_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3359_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3360_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT fanout200.X _3361_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3362_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3363_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT fanout200.X _3364_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3365_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT fanout200.X _3373_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout200.X _3366_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT fanout201.X _3367_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT fanout201.X _3368_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT fanout201.X _3369_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT fanout201.X _3370_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT fanout201.X _3371_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT fanout201.X _3372_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT fanout201.X output164.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT fanout201.X ANTENNA_output164_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT fanout201.X ANTENNA__3372__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT fanout201.X ANTENNA__3371__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT fanout201.X ANTENNA__3370__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT fanout201.X ANTENNA__3369__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT fanout201.X ANTENNA__3368__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT fanout201.X ANTENNA__3367__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT fanout202.X _1498_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT fanout202.X _1501_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT fanout202.X _2828_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT fanout202.X _1451_.S (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT fanout202.X _1476_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X _1477_.S (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT fanout202.X _1485_.S (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT fanout202.X _1486_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X _1487_.S (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT fanout202.X _1488_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X ANTENNA__1488__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X ANTENNA__1487__S.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT fanout202.X ANTENNA__1486__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X ANTENNA__1485__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X ANTENNA__1477__S.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT fanout202.X ANTENNA__1476__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT fanout202.X ANTENNA__1451__S.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT fanout202.X ANTENNA__2828__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT fanout202.X ANTENNA__1501__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT fanout202.X ANTENNA__1498__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3164__203.LO _3164_.D (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_user_clock2.X _3152_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_user_clock2.X _3150_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_user_clock2.X _3153_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_user_clock2.X _3151_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_user_clock2.X _2906_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_user_clock2.X _2907_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_user_clock2.X _2800_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2929_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2930_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2915_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2922_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2927_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2926_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2905_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X _2925_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2925__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2905__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2926__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2927__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2922__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2915__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2930__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_3_user_clock2.X ANTENNA__2929__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_4_user_clock2.X _2912_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_user_clock2.X _2914_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_user_clock2.X _2913_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_user_clock2.X _2917_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_user_clock2.X _2921_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_user_clock2.X _2924_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_user_clock2.X _2810_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2776_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2777_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2774_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _3356_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2781_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2780_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_user_clock2.X _2775_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2814_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2813_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2812_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2809_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2811_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3087_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3088_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3033_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3034_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3032_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _3031_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2815_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_user_clock2.X _2816_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3113_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3038_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3036_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3114_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3117_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3052_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3037_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3112_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3047_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3027_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3026_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_user_clock2.X _3166_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3024_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3144_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3090_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3063_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3065_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3089_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _2919_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _2918_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _2920_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _2923_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3111_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _2773_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X _3145_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3145__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__2773__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3111__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__2923__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__2920__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__2918__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__2919__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3089__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3065__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3063__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3090__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3144__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_9_user_clock2.X ANTENNA__3024__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _2928_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _2916_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _2931_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _2953_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _2991_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _3023_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _3018_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _3021_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _3025_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_user_clock2.X _3019_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3068_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3022_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3020_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3092_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3093_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3069_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3070_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3094_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3066_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3078_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3029_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3030_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X _3028_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3028__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3030__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3029__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3078__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3066__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3094__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3070__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3069__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3093__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3092__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3020__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3022__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_user_clock2.X ANTENNA__3068__CLK.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3035_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3115_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3119_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3116_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3054_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3051_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3053_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3049_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3048_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3050_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3046_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3118_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_user_clock2.X _3045_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3044_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3043_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3040_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3041_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3039_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3064_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3072_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3081_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_user_clock2.X _3080_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3073_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3074_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3057_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3056_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3122_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3042_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3055_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3121_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3120_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3060_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3125_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3058_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3059_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3061_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_user_clock2.X _3062_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3127_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3126_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3123_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3124_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3098_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3097_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3104_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3105_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_user_clock2.X _3102_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3101_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3077_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3075_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3108_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3084_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3083_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3096_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3110_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3107_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3099_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3079_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3109_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3082_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3076_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3106_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_user_clock2.X _3100_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _3103_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2937_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2935_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2940_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2939_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2941_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_user_clock2.X _2938_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _2934_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3085_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3095_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3071_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3086_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3067_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _2933_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _3091_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _2932_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _2936_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X _2947_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__2947__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__2936__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__2932__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3091__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__2933__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3067__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3086__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3071__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3095__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__3085__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_18_user_clock2.X ANTENNA__2934__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2959_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2992_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2958_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2879_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2956_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2948_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2902_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2955_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2880_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2957_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X _2946_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2946__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2957__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2880__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2955__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2902__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2948__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2956__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2879__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2958__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2992__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_19_user_clock2.X ANTENNA__2959__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2819_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2821_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2827_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2825_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2823_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _3133_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2826_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_user_clock2.X _2824_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_user_clock2.X _2945_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_user_clock2.X _2944_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_user_clock2.X _2942_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_21_user_clock2.X _2943_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3130_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3128_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3129_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3131_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3132_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3143_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3139_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3140_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3141_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _3142_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_user_clock2.X _2818_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _2895_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _2828_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _1633_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _3137_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _3138_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _3136_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _3134_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _2897_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_user_clock2.X _2898_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2894_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2899_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2779_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2778_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2900_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2990_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2952_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2951_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_user_clock2.X _2950_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2885_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2954_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2901_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2896_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2884_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _3135_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2822_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2820_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_user_clock2.X _2967_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_user_clock2.X _2903_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_user_clock2.X _2904_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_user_clock2.X _2817_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_user_clock2.X _2888_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_user_clock2.X _2892_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_user_clock2.X _2893_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_user_clock2.X _2891_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_user_clock2.X _2887_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_user_clock2.X _2886_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_28_user_clock2.X _2890_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_28_user_clock2.X _2889_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_user_clock2.X _3163_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_user_clock2.X _3162_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_user_clock2.X _3357_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3156_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3155_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3154_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3148_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3149_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3146_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3147_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3158_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3160_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _2802_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _2803_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3157_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_user_clock2.X _3161_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_user_clock2.X clkbuf_2_0__f_user_clock2.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_0_user_clock2.X clkbuf_2_1__f_user_clock2.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_0_user_clock2.X clkbuf_2_2__f_user_clock2.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_0_user_clock2.X clkbuf_2_3__f_user_clock2.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_0_user_clock2.X ANTENNA_clkbuf_2_3__f_user_clock2_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_0_user_clock2.X ANTENNA_clkbuf_2_2__f_user_clock2_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_0_user_clock2.X ANTENNA_clkbuf_2_1__f_user_clock2_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_0_user_clock2.X ANTENNA_clkbuf_2_0__f_user_clock2_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_0_user_clock2.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_1_user_clock2.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_2_user_clock2.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_27_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_28_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_29_user_clock2.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X clkbuf_leaf_30_user_clock2.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_30_user_clock2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_29_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_28_user_clock2_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_27_user_clock2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_2_user_clock2_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_1_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0__f_user_clock2.X ANTENNA_clkbuf_leaf_0_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_20_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_21_user_clock2.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_22_user_clock2.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_23_user_clock2.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_24_user_clock2.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_25_user_clock2.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X clkbuf_leaf_26_user_clock2.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_26_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_25_user_clock2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_24_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_23_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_22_user_clock2_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_21_user_clock2_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_user_clock2.X ANTENNA_clkbuf_leaf_20_user_clock2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_3_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_4_user_clock2.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_5_user_clock2.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_6_user_clock2.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_7_user_clock2.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_8_user_clock2.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_9_user_clock2.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X clkbuf_leaf_10_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_10_user_clock2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_9_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_8_user_clock2_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_7_user_clock2_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_6_user_clock2_A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_5_user_clock2_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_4_user_clock2_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_2__f_user_clock2.X ANTENNA_clkbuf_leaf_3_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_11_user_clock2.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_12_user_clock2.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_13_user_clock2.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_14_user_clock2.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_15_user_clock2.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_16_user_clock2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_17_user_clock2.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_18_user_clock2.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X clkbuf_leaf_19_user_clock2.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_19_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_18_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_17_user_clock2_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_16_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_15_user_clock2_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_14_user_clock2_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_13_user_clock2_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_12_user_clock2_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_3__f_user_clock2.X ANTENNA_clkbuf_leaf_11_user_clock2_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_0_0_net192.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_1_0_net192.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_2_0_net192.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_3_0_net192.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_4_0_net192.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_5_0_net192.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_6_0_net192.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_7_0_net192.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_8_0_net192.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_9_0_net192.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_10_0_net192.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_11_0_net192.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_12_0_net192.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_13_0_net192.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_14_0_net192.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X clkbuf_4_15_0_net192.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_15_0_net192_A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_14_0_net192_A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_13_0_net192_A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_12_0_net192_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_11_0_net192_A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_10_0_net192_A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_9_0_net192_A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_8_0_net192_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_7_0_net192_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_6_0_net192_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_5_0_net192_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_4_0_net192_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_3_0_net192_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_2_0_net192_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_1_0_net192_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_0_net192.X ANTENNA_clkbuf_4_0_0_net192_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_4_0_0_net192.X _3165_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _3164_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2998_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2997_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2996_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2995_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2978_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_0_0_net192.X _2977_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_0_0_net192.X _2976_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_0_0_net192.X _2975_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_0_0_net192.X _2974_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_0_0_net192.X _2973_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2972_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_0_0_net192.X _2787_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X output192.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X _3008_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X _3007_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X _3006_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X _3004_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X _3003_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X _3002_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X _3001_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X _3000_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X _2999_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X _2980_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X _2979_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X _2791_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__2791__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__2979__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__2980__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__2999__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3000__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3001__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3002__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3003__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3004__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3006__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3007__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA__3008__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_1_0_net192.X ANTENNA_output192_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_4_2_0_net192.X _3012_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_2_0_net192.X _3009_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_2_0_net192.X _3005_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_2_0_net192.X _2790_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_2_0_net192.X _2789_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_2_0_net192.X _2788_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_2_0_net192.X _2786_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_2_0_net192.X _2785_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_2_0_net192.X _2784_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_3_0_net192.X _3017_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _3016_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _3015_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _3014_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_3_0_net192.X _3013_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _3011_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_3_0_net192.X _2994_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _2993_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_3_0_net192.X _2970_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_3_0_net192.X _2969_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_4_0_net192.X _3010_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2987_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2986_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2985_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2949_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2799_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_4_0_net192.X _2798_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2984_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2983_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2982_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2981_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2797_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2796_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2795_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2794_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2793_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_5_0_net192.X _2792_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2989_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2988_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2971_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2965_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2961_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2831_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2830_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_6_0_net192.X _2829_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2966_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2964_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2963_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2962_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2960_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2783_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_7_0_net192.X _2782_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_8_0_net192.X _2861_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_8_0_net192.X _2860_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_8_0_net192.X _2856_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_8_0_net192.X _2855_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_8_0_net192.X _2844_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_8_0_net192.X _2842_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_9_0_net192.X _2843_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_9_0_net192.X _2841_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_9_0_net192.X _2840_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_9_0_net192.X _2839_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_9_0_net192.X _2838_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_9_0_net192.X _2837_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_4_9_0_net192.X _2836_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_9_0_net192.X _2835_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2859_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2857_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2854_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2852_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2851_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2850_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_10_0_net192.X _2847_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_11_0_net192.X _2858_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_11_0_net192.X _2853_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_11_0_net192.X _2849_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_11_0_net192.X _2848_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_11_0_net192.X _2846_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_11_0_net192.X _2845_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_12_0_net192.X _2882_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_12_0_net192.X _2881_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_12_0_net192.X _2878_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_12_0_net192.X _2834_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_12_0_net192.X _2833_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_12_0_net192.X _2832_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_13_0_net192.X _2968_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_13_0_net192.X _2883_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_13_0_net192.X _2875_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_13_0_net192.X _2874_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_13_0_net192.X _2873_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_13_0_net192.X _2872_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_13_0_net192.X _2871_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_13_0_net192.X _2868_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_4_14_0_net192.X _2877_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_14_0_net192.X _2876_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_4_14_0_net192.X _2866_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_14_0_net192.X _2862_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2870_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2869_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2867_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2865_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2864_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_4_15_0_net192.X _2863_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold1.X _1972_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold1.X _1973_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold1.X _1974_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold2.X _1975_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold3.X _2881_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold4.X _1831_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold4.X _2055_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold5.X _1832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold6.X _1833_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold7.X _2837_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold8.X _1851_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold8.X _2067_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold9.X _1852_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold10.X _1853_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold11.X _1863_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold11.X _2076_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold12.X _1864_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold13.X _1865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold14.X _1886_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold14.X _2090_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold15.X _1887_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold16.X _1888_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold17.X _1841_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold17.X _2061_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold18.X _1842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold19.X _1843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold20.X _1876_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold20.X _2084_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold21.X _1877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold22.X _1878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold23.X _1828_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold23.X _2053_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold24.X _1829_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold25.X _1830_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold26.X _1838_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold26.X _2059_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold27.X _1839_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold28.X _1840_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold29.X _1844_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold29.X _2063_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold30.X _1845_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold31.X _1846_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold32.X _1854_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold32.X _2069_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold33.X _1855_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold34.X _1856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold35.X _1880_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold35.X _2086_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold36.X _1881_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold37.X _1882_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold38.X _1825_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold38.X _2050_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold39.X _1826_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold40.X _1827_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold41.X _1895_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold41.X _2097_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold42.X _1896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold43.X _1897_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold44.X _1902_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold44.X _2101_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold45.X _1903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold46.X _1904_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold47.X _1952_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold47.X _2134_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold48.X _1953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold49.X _1954_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold50.X _1848_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold50.X _2065_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold51.X _1849_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold52.X _1850_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold53.X _1819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold53.X _2042_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold54.X _1820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold55.X _1821_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold56.X _1949_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold56.X _2132_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold57.X _1950_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold58.X _1951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold59.X _1835_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold59.X _2057_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold60.X _1836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold61.X _1837_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold62.X _1940_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold62.X _2126_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold63.X _1941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold64.X _1942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold65.X _1931_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold65.X _2120_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold66.X _1932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold67.X _1933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold68.X _1943_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold68.X _2128_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold69.X _1944_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold70.X _1945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold71.X _1946_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold71.X _2130_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold72.X _1947_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold73.X _1948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold74.X _1899_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold74.X _2099_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold75.X _1900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold76.X _1901_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold77.X _1857_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold77.X _2072_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold78.X _1858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold79.X _1859_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold80.X _1908_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold80.X _2105_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold81.X _1909_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold82.X _1910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold83.X _1892_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold83.X _2095_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold84.X _1893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold85.X _1894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold86.X _1921_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold86.X _2114_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold87.X _1922_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold88.X _1923_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold89.X _1822_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold89.X _2045_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold90.X _1823_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold91.X _1824_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold92.X _1905_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold92.X _2103_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold93.X _1906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold94.X _1907_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold95.X _1883_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold95.X _2088_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold96.X _1884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold97.X _1885_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold98.X _1934_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold98.X _2122_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold99.X _1935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold100.X _1936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold101.X _1889_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold101.X _2093_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold102.X _1890_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold103.X _1891_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold104.X _1867_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold104.X _2078_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold105.X _1868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold106.X _1869_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold107.X _1870_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold107.X _2080_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold108.X _1871_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold109.X _1872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold110.X _1860_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold110.X _2074_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold111.X _1861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold112.X _1862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold113.X _1873_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold113.X _2082_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold114.X _1874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold115.X _1875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold116.X _1927_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold116.X _2118_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold117.X _1928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold118.X _1929_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold119.X _1918_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold119.X _2111_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold120.X _1919_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold121.X _1920_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold122.X _1915_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold122.X _2109_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold123.X _1916_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold124.X _1917_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold125.X _1937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold125.X _2124_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold126.X _1938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold127.X _1939_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold128.X _1962_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold128.X _2140_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold129.X _1963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold130.X _1964_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold131.X _1816_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold131.X _2039_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold132.X _1817_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold133.X _1818_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold134.X _1912_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold134.X _2107_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold135.X _1913_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold136.X _1914_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold137.X _1955_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold137.X _2136_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold138.X _1956_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold139.X _1957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold140.X _1958_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold140.X _2138_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold141.X _1959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold142.X _1960_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold143.X _1924_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold143.X _2116_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold144.X _1925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold145.X _1926_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold146.X _1635_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold146.X _2619_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold147.X _1774_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold147.X _2055_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold147.X _2180_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold147.X _2202_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold148.X _2181_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold148.X _2183_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold149.X _2960_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold150.X _1478_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold150.X fanout202.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold150.X ANTENNA_fanout202_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold150.X ANTENNA__1478__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X _1479_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X _1480_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X _1482_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X _1483_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X _1484_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X _1572_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X _1615_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X _1626_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT hold151.X _2282_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X _2285_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X ANTENNA__2285__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X ANTENNA__2282__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X ANTENNA__1626__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X ANTENNA__1615__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X ANTENNA__1572__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X ANTENNA__1484__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X ANTENNA__1483__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X ANTENNA__1482__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold151.X ANTENNA__1480__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold151.X ANTENNA__1479__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold152.X _2208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold152.X _2299_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold153.X _2209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold154.X _2969_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold155.X _2172_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold155.X _2182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold155.X _2183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold155.X _2186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold156.X _2184_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold157.X _2961_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold158.X _1401_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold158.X _2584_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold159.X _1403_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold159.X _1430_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X _1440_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X _2105_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X _2320_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X ANTENNA__2320__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold159.X ANTENNA__2105__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold159.X ANTENNA__1440__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X ANTENNA__1430__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold159.X ANTENNA__1403__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold160.X _2321_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold161.X _2322_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold161.X ANTENNA__2322__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold162.X _3002_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold163.X _2172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold163.X _2186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold163.X _2189_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold164.X _2962_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold165.X _1422_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold165.X _2607_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold166.X _1423_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold166.X _1433_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X _1488_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X _2128_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X ANTENNA__2128__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X ANTENNA__1488__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X ANTENNA__1433__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold166.X ANTENNA__1423__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold167.X _1489_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold167.X _2336_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold168.X _2337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold169.X _3005_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold170.X _1414_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold170.X _2617_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold171.X _1418_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold171.X _1435_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold171.X _1483_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold171.X _2138_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold172.X _1490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold172.X _2358_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold173.X _2359_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold174.X _3010_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold175.X _1405_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold175.X _2603_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold176.X _1407_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold176.X _1432_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold176.X _1477_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold176.X _2124_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold177.X _1481_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold177.X _2326_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold178.X _2327_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold179.X _3003_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold180.X _1406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold180.X _2599_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold181.X _1407_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold181.X _1433_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold181.X _1486_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold181.X _2120_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold182.X _1489_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold182.X _2354_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold183.X _2355_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold184.X _3009_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold185.X _1419_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold185.X _2605_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold186.X _1423_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold186.X _1433_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold186.X _1482_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold186.X _2126_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold187.X _1490_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold187.X _2331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold188.X _2332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold189.X _3004_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold190.X _1420_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold190.X _2597_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold191.X _1423_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold191.X _1432_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold191.X _1479_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold191.X _2118_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold192.X _1481_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold192.X _2350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold193.X _2351_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold194.X _3008_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold195.X _1402_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold195.X _2611_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold196.X _1403_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold196.X _1434_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold196.X _1485_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold196.X _2132_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold197.X _1489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold197.X _2346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold198.X _2347_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold199.X _3007_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold200.X _1394_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold200.X _2582_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold201.X _1395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold202.X _2316_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold203.X _2317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold204.X _2318_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold205.X _3001_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold206.X _1421_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold206.X _2609_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold207.X _1423_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold207.X _1432_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold207.X _1476_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold207.X _2130_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold208.X _1481_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold208.X _2341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold209.X _2342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold210.X _3006_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold211.X _1458_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold211.X _1459_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold211.X _2214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold212.X _2970_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold213.X _1388_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold213.X _2574_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold214.X _1389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold215.X _2291_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold216.X _2295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold217.X _2296_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold218.X _2997_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold219.X _1392_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold219.X _2570_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold220.X _1393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold221.X _2278_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold222.X _2279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold223.X _2280_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold224.X _2281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold225.X _2995_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold226.X _1390_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold226.X _2572_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold227.X _1391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold228.X _2283_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold229.X _2289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold230.X _2290_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold230.X ANTENNA__2290__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold231.X _2996_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold232.X _1386_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold232.X _2576_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold233.X _1387_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold234.X _2297_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold235.X _2300_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold236.X _2301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold237.X _2998_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold238.X _1416_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold238.X _2615_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold239.X _1418_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold239.X _1435_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold239.X _1480_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold239.X _2136_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold240.X _1481_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold241.X _1493_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold241.X _1638_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold241.X _1803_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold241.X _2262_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold241.X _2268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold241.X _2276_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold242.X _3011_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold243.X _2781_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold244.X _2775_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold245.X _2779_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold246.X _1396_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold246.X _2580_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold247.X _1397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold248.X _2310_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold249.X _2312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold250.X _2313_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold251.X _2314_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold252.X _3000_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold253.X _2777_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold254.X _3163_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold255.X _3165_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold256.X _1455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold256.X _1456_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold256.X _2215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold257.X _2971_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold258.X _1398_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold258.X _2578_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold259.X _1399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold260.X _2302_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold261.X _2305_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold262.X _2306_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold263.X _2308_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold264.X _2999_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold265.X _2263_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold265.X _2264_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold266.X _2266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold267.X _2993_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold268.X _2142_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold268.X _2143_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold268.X _2144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold269.X _2949_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold270.X _1773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold271.X _2818_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold272.X _1493_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold272.X _1639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold273.X _1640_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold274.X _1784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold274.X _1786_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold275.X _1785_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold276.X _2823_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold277.X _1767_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold277.X _2704_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold278.X _3143_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold279.X _2479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold279.X _2644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold280.X _3057_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold281.X _2532_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold281.X _2591_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold282.X _3073_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold283.X _2484_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold283.X _2648_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold284.X _3059_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold285.X _2452_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold285.X _2630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold286.X _3050_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold287.X _2271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold288.X _2272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold289.X _2994_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold290.X _1761_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold290.X _2669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold290.X _2670_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold290.X _2671_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold290.X _2673_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold291.X _3132_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold292.X _1969_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold293.X _1970_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold294.X _1971_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold294.X _2160_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold295.X _2880_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold296.X _2442_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold296.X _2626_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold297.X _3048_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold298.X _2550_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold298.X _2605_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold299.X _3080_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold300.X _2536_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold300.X _2595_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold301.X _3075_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold302.X _2146_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold303.X _2147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold304.X _2504_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold304.X _2572_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold305.X _3064_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold306.X _1457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold306.X _1458_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold306.X _1809_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold307.X _2830_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold308.X _1765_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold308.X _2695_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold308.X _2696_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold309.X _2697_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold310.X _3140_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold311.X _1976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold312.X _1977_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold313.X _1764_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold313.X _2689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold313.X _2690_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold314.X _2691_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold315.X _3138_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold316.X _2565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold316.X _2617_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold317.X _2618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold318.X _2562_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold318.X _2615_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold319.X _2616_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold320.X _1765_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold320.X _2698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold320.X _2699_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold320.X _2701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold321.X _2700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold322.X _3141_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold323.X _1450_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold323.X _2193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold324.X _2964_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold325.X _1496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold325.X _1516_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold325.X _2260_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold326.X _2261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold327.X _2506_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold327.X _2574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold328.X _2575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold329.X _1371_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold329.X _2636_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold330.X _2637_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold331.X _3118_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold332.X _1369_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold332.X _2638_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold333.X _2639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold334.X _3119_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold335.X _1560_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold335.X _2650_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold336.X _2085_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold337.X _2546_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold337.X _2603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold338.X _2604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold339.X hold14.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold340.X _1417_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold340.X _2613_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold341.X _1418_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold341.X _1434_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold341.X _2134_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold342.X _2135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold343.X _2510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold343.X _2576_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold344.X _2577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold345.X _1374_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold345.X _2634_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold346.X _2635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold347.X _3117_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold348.X _1978_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold349.X _1979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold350.X _1552_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold350.X _2642_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold351.X _2643_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold352.X _3121_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold353.X _1562_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold353.X _2652_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold354.X _2653_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold355.X _3126_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold356.X _1531_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold356.X _1548_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold356.X _1652_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold356.X _2362_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold356.X _2723_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold357.X _3022_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold358.X _1404_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold358.X _2601_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold359.X _2602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold360.X _3102_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold361.X _2486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold361.X _2650_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold362.X _2651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold363.X _1412_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold363.X _2591_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold364.X _2592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold365.X _1384_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold365.X _2624_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold366.X _1385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold367.X _2058_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold368.X _1550_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold368.X _2640_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold369.X _2641_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold370.X _3120_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold371.X _1415_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold371.X _2586_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold372.X _2587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold373.X _3095_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold374.X hold65.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold375.X hold56.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold376.X _2520_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold376.X _2582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold377.X _2583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold378.X _1554_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold378.X _2644_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold379.X _2645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold380.X hold53.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold381.X hold140.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold382.X _2139_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold383.X _1366_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold383.X _1400_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold384.X _1437_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold384.X _2107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold385.X _2108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold386.X hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold387.X hold77.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold388.X _1410_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold388.X _2593_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold389.X _2594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold390.X _3098_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold391.X _1380_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold391.X _2628_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold392.X _2629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold393.X _3114_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold394.X hold38.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold395.X hold74.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold396.X _1556_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold396.X _2646_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold397.X _2647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold398.X _3123_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold399.X _1564_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold399.X _2654_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold400.X _2655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold401.X _3127_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold402.X hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold403.X hold119.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold404.X _2112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold405.X hold104.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold406.X hold17.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold407.X _2497_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold407.X _2570_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold408.X _2571_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold409.X _1679_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold410.X _1680_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold411.X _2788_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold412.X _1382_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold412.X _2626_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold413.X _2060_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold414.X hold32.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold415.X _2538_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold415.X _2597_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold416.X _2598_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold417.X hold41.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold418.X _1764_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold418.X _2686_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold418.X _2687_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold418.X _2689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold419.X _2688_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold420.X _3137_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold421.X hold226.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold422.X _2573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold423.X hold62.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold424.X hold185.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold425.X _2606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold426.X hold23.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold427.X _2038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold427.X _2154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold428.X _2039_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold429.X _2040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold430.X _1376_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold430.X _2632_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold431.X _2633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold432.X _3116_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold433.X hold35.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold434.X hold80.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold435.X _1766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold435.X _2701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold435.X _2702_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold436.X _2703_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold437.X _3142_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold438.X _1700_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold439.X _1701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold440.X _2798_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold441.X hold50.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold442.X _2559_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold442.X _2613_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold443.X _2614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold444.X _2552_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold444.X _2607_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold445.X _2608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold446.X _1682_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold447.X _1683_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold448.X _2789_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold449.X hold125.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold450.X hold137.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold451.X _2137_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold452.X hold68.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold453.X _2456_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold454.X _2457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold455.X _2555_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold455.X _2609_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold456.X _2610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold457.X _2517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold457.X _2580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold458.X _2581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold459.X _1692_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold460.X _1693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold461.X _2794_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold462.X _2466_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold463.X _2467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold464.X hold107.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold465.X _1455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold465.X _1456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold465.X _1810_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold465.X _1811_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold466.X _2831_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold467.X hold83.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold468.X hold92.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold469.X _2523_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold469.X _2584_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold470.X _2585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold471.X _2435_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold471.X _2624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold472.X _2625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold473.X _1527_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold473.X _1540_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold473.X _1656_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold473.X _2361_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold473.X _2364_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold473.X _2397_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold473.X _2619_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold473.X _2621_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold473.X _2710_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT hold474.X _2620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold475.X hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold476.X _1409_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold476.X _2588_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold477.X _1413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold477.X _1437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold477.X _2109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold478.X _2110_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold479.X hold116.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold480.X _2557_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold480.X _2611_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold481.X _2612_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold482.X hold95.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold483.X _1675_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold484.X _1676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold485.X _2786_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold486.X _1696_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold487.X _1697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold488.X _2796_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold489.X _2541_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold489.X _2599_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold490.X _2600_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold491.X _1669_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold492.X _1670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold493.X _2783_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold494.X hold89.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold495.X _1517_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _1541_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2387_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2388_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2470_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2525_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold495.X _2721_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold496.X _2471_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold496.X _2475_.A2 (0.001:0.001:0.001) (0.000:0.000:0.001))
(INTERCONNECT hold496.X _2480_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold496.X _2485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold496.X _2489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold496.X _2492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold497.X _2486_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold498.X _2487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold499.X _1673_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold500.X _1674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold501.X _2785_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold502.X _1558_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold502.X _2648_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold503.X _2649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold504.X _2514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold504.X _2578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold505.X _2515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold506.X _1698_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold507.X _1699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold508.X _2797_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold509.X _1684_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold510.X _1685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold511.X _2790_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold512.X _1688_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold513.X _1689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold514.X _2792_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold515.X _2413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold515.X _2719_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold516.X _2414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold517.X hold412.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold518.X _2627_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold519.X hold98.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold520.X _2123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold521.X _1411_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold521.X _1427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold521.X _2595_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold522.X _1428_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold523.X _1452_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold524.X _1454_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold524.X _1464_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold524.X _1465_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold524.X _1469_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold524.X _1801_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold525.X _1468_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold526.X _3017_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold527.X _1522_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _1542_.D_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold527.X _2381_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold527.X _2392_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2496_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2499_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2503_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2508_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2545_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold527.X _2564_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold528.X _2546_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold529.X _2547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold530.X _1764_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold530.X _2692_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold530.X _2693_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold530.X _2695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold531.X _2694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold532.X _3139_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold533.X _2533_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold534.X _2534_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold535.X _3074_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold536.X hold44.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold537.X _1520_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold537.X _2368_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold537.X _2371_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold537.X _2375_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold537.X _2437_.B_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold537.X _2443_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold537.X _2717_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold538.X _2444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold538.X _2446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold538.X _2464_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold539.X _2489_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold539.X _2519_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold539.X _2540_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold539.X _2561_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold539.X ANTENNA__2561__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold539.X ANTENNA__2540__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold539.X ANTENNA__2519__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold539.X ANTENNA__2489__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold540.X _2520_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold541.X _2521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold542.X _2401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold542.X _2717_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold543.X _2402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold544.X _3031_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold545.X _1536_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold545.X _1657_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold545.X _2361_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold545.X _2365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold545.X _2391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold545.X _2397_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold545.X _2707_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold546.X _1537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold546.X _2458_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold547.X _2434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold547.X _2439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold547.X _2445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold548.X _2435_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold549.X _2436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold550.X _1782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold550.X _1796_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold551.X _1783_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold552.X _2421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold552.X _2715_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold553.X _2422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold554.X _2403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold554.X _2717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold555.X _2404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold556.X _3032_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold557.X hold71.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold558.X hold113.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold559.X hold86.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold560.X _2115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold561.X _2419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold561.X _2714_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold562.X _2420_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold563.X _3040_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold564.X _1671_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold565.X _1672_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold566.X _2784_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold567.X hold504.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold568.X _2579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold569.X _1378_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold569.X _2630_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold570.X _2064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold571.X _1677_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold572.X _1678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold573.X _2787_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold574.X _1446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold574.X _2705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold574.X _2771_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold575.X _2948_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold576.X hold101.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold577.X hold569.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold578.X _2631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold579.X _1778_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold579.X _1790_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold580.X _1779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold581.X _1776_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold581.X _1787_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold582.X _1777_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold583.X _2409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold583.X _2720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold584.X _2410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold585.X _3035_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold586.X hold489.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold587.X _2542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold588.X _1780_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold588.X _1793_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold589.X _1781_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold590.X _1445_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold590.X _1529_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold590.X ANTENNA__1529__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold590.X ANTENNA__1445__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold591.X _2955_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold592.X _2527_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold593.X _2528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold594.X _1690_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold595.X _1691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold596.X _2793_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold597.X _2411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold597.X _2718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold598.X _2412_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold599.X _3036_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold600.X _1761_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold600.X _2679_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold600.X _2680_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold600.X _2681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold600.X _2683_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold601.X _3135_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold602.X _1702_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold603.X _1703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold604.X _2799_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold605.X hold143.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold606.X _2529_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold606.X _2588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold607.X _2589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold608.X _1660_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold608.X _2723_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold609.X _1661_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold610.X _2417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold610.X _2715_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold611.X _2418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold612.X _3039_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold613.X hold415.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold614.X _2539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold615.X _2174_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold615.X _2175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold615.X _2200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold616.X _2201_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold617.X _2462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold618.X _2463_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold619.X _1694_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold620.X _1695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold621.X _2795_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold622.X _1543_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold622.X _1654_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold622.X _2362_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold622.X _2365_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold622.X _2381_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold622.X _2390_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold622.X _2495_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold622.X _2707_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold623.X _2394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold624.X _2395_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold625.X _3030_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold626.X _1513_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold627.X _1514_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold627.X _1648_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold628.X _2705_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold629.X _3144_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold630.X _2431_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold630.X _2713_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold631.X _2432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold632.X _3046_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold633.X hold318.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold634.X _1518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold634.X _2386_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold635.X _2507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold636.X _1520_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold636.X _2371_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold636.X _2372_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold636.X _2375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold636.X _2437_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold636.X _2717_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold637.X _2565_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold638.X _2566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold639.X _1761_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold639.X _2676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold639.X _2677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold639.X _2683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold640.X _2678_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold641.X _3134_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold642.X _2490_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold643.X _2491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold644.X hold457.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold645.X _2423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold645.X _2714_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold646.X _2424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold647.X _3042_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold648.X _2475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold649.X _2476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold650.X _3056_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold651.X hold110.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold652.X _2429_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold652.X _2711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold653.X _2430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold654.X _3045_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold655.X _1686_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold656.X _1687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold657.X _2791_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold658.X hold469.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold659.X _1470_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold659.X _1641_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold659.X _1799_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold660.X _1471_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold661.X _3015_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold662.X _1461_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold662.X _1474_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold662.X _1802_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold662.X _2269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold663.X _1462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold664.X _3016_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold665.X _1666_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold666.X _1667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold667.X _2782_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold668.X _2468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold669.X _2469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold670.X _2493_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold671.X _2494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold672.X _2407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold672.X _2717_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold673.X _2408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold674.X _3034_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold675.X _2480_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold676.X _2481_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold677.X _3058_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold678.X _2472_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold679.X _2473_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold680.X hold407.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold681.X _2498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold682.X _2173_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold682.X _2191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold683.X _2192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold684.X _1760_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2658_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2659_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2660_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2661_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2664_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold684.X _2666_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold685.X _3129_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold686.X hold455.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold687.X _2415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold687.X _2719_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold688.X _2416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold689.X _3038_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold690.X _2405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold690.X _2717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold691.X _2406_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold692.X _3033_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold693.X _2425_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold693.X _2712_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold694.X _2426_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold695.X _3043_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold696.X _1457_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold696.X _1458_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold696.X _1805_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold696.X _1807_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold697.X _2829_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold698.X hold442.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold699.X _2560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold700.X _3084_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold701.X _2226_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold702.X _2227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold703.X _2222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold704.X _2223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold705.X _2427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold705.X _2712_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold706.X _2428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold707.X _3044_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold708.X hold480.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold709.X _2558_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold710.X _3083_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold711.X _2163_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold711.X _2165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold711.X _2166_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold712.X _2164_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold713.X _2957_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold714.X _2733_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold715.X _3147_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold716.X hold444.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold717.X _2553_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold718.X _3081_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold719.X _2230_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold720.X _2231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold721.X _2447_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold722.X _2448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold723.X _1497_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold723.X _1985_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold723.X _2152_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold724.X _2153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold725.X _2234_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold726.X _2235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold727.X hold606.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold728.X _2530_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold729.X _2242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold730.X _2243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold731.X _2244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold732.X _2245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold733.X _1500_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold733.X _1982_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold733.X _2198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold734.X _2199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold735.X _2543_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold736.X _2544_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold737.X _1538_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold737.X _1545_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold737.X _1549_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold737.X _2363_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold737.X _2707_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold738.X _3021_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold739.X _1496_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold739.X _1516_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold740.X _1526_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold740.X _1545_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold741.X _3020_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold742.X hold300.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold743.X _2596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold744.X _2228_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold745.X _2229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold746.X _2735_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold747.X _3149_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold748.X _2739_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold749.X _3153_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold750.X _2240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold751.X _2241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold752.X _2258_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold753.X _2259_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold754.X hold343.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold755.X _2224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold756.X _2225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold757.X _1525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold757.X _2360_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold757.X _2706_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold758.X _1526_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold758.X _1533_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold759.X _1527_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold760.X _3023_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold761.X _2724_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold762.X _3145_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold763.X _2738_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold764.X _3152_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold765.X _2220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold766.X _2221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold767.X _2732_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold768.X _3146_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold769.X _2246_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold770.X _2247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold771.X _2218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold772.X _2219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold773.X _2165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold773.X _2166_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold774.X _2167_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold775.X _2168_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold776.X _2958_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold777.X _2737_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold778.X _3151_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold779.X _2232_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold780.X _2233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold781.X _2734_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold782.X _3148_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold783.X _2216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold784.X _2217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold785.X _2236_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold786.X _2237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold787.X _2736_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold788.X _3150_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold789.X _1531_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold789.X _1655_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold789.X _2723_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold790.X _3018_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold791.X hold737.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold792.X _2238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold793.X _2239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold794.X hold473.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold795.X hold150.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold796.X _1760_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold796.X _1761_.S0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold796.X _1764_.S0 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold796.X _1765_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold796.X _1766_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold796.X _1767_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold796.X _1787_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold797.X _1788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold798.X _1762_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold798.X _1763_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold798.X _1768_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold798.X _1793_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold799.X _1794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold800.X _1980_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold800.X _2148_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold801.X _2149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold802.X _1991_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold803.X _1992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold804.X _1988_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold805.X _1989_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold806.X _1998_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold807.X _1999_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold808.X _2010_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold809.X _2011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold810.X hold574.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold811.X _2004_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold812.X _2005_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold813.X _2001_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold814.X _2002_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold815.X _2032_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold816.X _2033_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold817.X _2016_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold818.X _2017_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold819.X _2019_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold820.X _2020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold821.X _1500_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold822.X _1965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold823.X _1966_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold823.X _2158_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold823.X _2159_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold823.X _2165_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold824.X _2879_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold825.X _1994_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold826.X _1995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold827.X _2022_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold828.X _2023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold829.X _2013_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold830.X _2014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold831.X _2029_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold832.X _2030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold833.X _2025_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold834.X _2026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold835.X _2007_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold836.X _2008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold837.X _1668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold838.X _2194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold839.X _2195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold840.X _1662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold841.X _2196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold842.X _2197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold843.X _1769_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold843.X _1771_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold843.X _1786_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold843.X _1796_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT hold844.X _1797_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold845.X _2035_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold846.X _2036_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold847.X _1760_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold847.X _2660_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold847.X _2661_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold847.X _2664_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold847.X _2666_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold848.X _2662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold849.X _2663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold850.X _1761_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold850.X _2671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold850.X _2673_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold851.X _2674_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold852.X _2675_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold853.X _1760_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold853.X _2664_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold853.X _2666_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold854.X _2667_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold855.X _2668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold856.X _1532_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold856.X _1656_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold856.X _2360_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold856.X _2364_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold857.X _1533_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold858.X _1760_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold858.X _2656_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold858.X _2658_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold858.X _2659_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold858.X _2664_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold859.X _2657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold860.X _2169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold861.X _2170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold862.X _1760_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold862.X _1761_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold862.X _1764_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold862.X _1767_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold862.X _1768_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold862.X _1790_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold863.X _1791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold864.X _1472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold865.X _3013_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold866.X _1751_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold867.X _1752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold868.X _1753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold869.X input98.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold870.X _2769_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold870.X _2770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold871.X _3164_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold872.X _1764_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold872.X _2681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold872.X _2683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold873.X _2684_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold874.X _1717_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold875.X _1718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold876.X _1720_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold877.X _1721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold878.X _1980_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold879.X _1986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold880.X input64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold881.X _2770_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold882.X _3165_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold883.X _1713_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold884.X _1714_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold885.X hold733.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold886.X _1748_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold887.X _1749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold888.X _1750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold889.X _1704_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold889.X _1732_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X _1735_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold889.X _1738_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold889.X _1741_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X _1744_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X _1754_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT hold889.X ANTENNA__1754__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT hold889.X ANTENNA__1744__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X ANTENNA__1741__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X ANTENNA__1738__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT hold889.X ANTENNA__1735__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold889.X ANTENNA__1732__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold889.X ANTENNA__1704__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold890.X _1733_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold891.X _1734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold892.X _1754_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold893.X _1755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold894.X _1707_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold895.X _1708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold896.X _1741_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold897.X _1742_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold898.X _1744_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold899.X _1745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold900.X _1729_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold901.X _1730_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold902.X _1710_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold903.X _1711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold904.X _1738_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold905.X _1739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold906.X _1723_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold907.X _1724_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold908.X _1735_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold909.X _1736_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold910.X _2250_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold911.X _2251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold912.X _2252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold913.X _1726_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold914.X _1727_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold915.X _2742_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold916.X _2743_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold917.X _2748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold918.X _2749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold919.X _2751_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold920.X _2752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold921.X _2745_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold922.X _2746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold923.X _2763_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold924.X _2764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold925.X _2757_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold926.X _2758_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold927.X _2754_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold928.X _2755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold929.X _2760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold930.X _2761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold931.X _1463_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold931.X _2253_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold932.X _2254_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold933.X _2255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold934.X _2158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold934.X _2159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold935.X hold244.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold936.X hold243.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold937.X hold253.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold938.X hold245.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold939.X hold254.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold940.X hold255.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold941.X _2308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold942.X _2322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold943.X _2177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold943.X _2178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold943.X _2186_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold944.X hold149.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold945.X _2281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold946.X _2296_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold947.X _2327_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold948.X _2332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold949.X _2290_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold950.X _2301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold951.X _2314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold952.X _2318_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold953.X _2337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold954.X _2351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold955.X _2342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold956.X _2347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold957.X _2359_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold958.X _2355_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold959.X _1492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold959.X _1799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold960.X _2210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold961.X hold212.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold962.X _1460_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold962.X _1641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold962.X _2269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold963.X hold664.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold964.X _2266_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold965.X _2188_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold965.X _2211_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold966.X hold164.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold967.X _1464_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold967.X _1469_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold967.X _2267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold968.X _2661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold969.X input65.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold970.X _2174_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold970.X _2175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold971.X hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold972.X _1621_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold973.X _1802_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1366_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.266:0.266) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.340:0.340:0.340) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1368_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.351:0.351:0.351) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1369_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.168:0.168:0.168) (0.326:0.326:0.326))
(IOPATH A1 X (0.189:0.189:0.189) (0.338:0.338:0.338))
(IOPATH S X (0.286:0.286:0.286) (0.392:0.392:0.392))
(IOPATH S X (0.220:0.220:0.220) (0.391:0.391:0.391))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.256:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1371_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.294:0.294:0.294) (0.417:0.417:0.417))
(IOPATH A1 X (0.301:0.301:0.301) (0.424:0.424:0.424))
(IOPATH S X (0.413:0.413:0.413) (0.486:0.486:0.486))
(IOPATH S X (0.347:0.347:0.347) (0.483:0.483:0.483))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.352:0.352:0.352) (0.276:0.276:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.316:0.316:0.316) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1374_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.255:0.255:0.255) (0.350:0.350:0.350))
(IOPATH A1 X (0.254:0.254:0.254) (0.345:0.345:0.345))
(IOPATH S X (0.355:0.355:0.355) (0.456:0.456:0.456))
(IOPATH S X (0.309:0.309:0.309) (0.381:0.381:0.381))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1375_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1376_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.177:0.177:0.177) (0.333:0.333:0.333))
(IOPATH A1 X (0.174:0.174:0.174) (0.335:0.335:0.335))
(IOPATH S X (0.274:0.274:0.274) (0.399:0.399:0.399))
(IOPATH S X (0.228:0.228:0.228) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1377_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.245:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1378_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.276:0.276:0.276) (0.365:0.365:0.365))
(IOPATH A1 X (0.288:0.288:0.288) (0.367:0.367:0.367))
(IOPATH S X (0.376:0.376:0.376) (0.471:0.471:0.471))
(IOPATH S X (0.330:0.330:0.330) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.346:0.346:0.346) (0.262:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1380_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.279:0.279:0.279) (0.366:0.366:0.366))
(IOPATH A1 X (0.278:0.278:0.278) (0.361:0.361:0.361))
(IOPATH S X (0.380:0.380:0.380) (0.473:0.473:0.473))
(IOPATH S X (0.334:0.334:0.334) (0.398:0.398:0.398))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1381_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.322:0.322:0.322) (0.274:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1382_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.271:0.271:0.271) (0.403:0.403:0.403))
(IOPATH A1 X (0.277:0.277:0.277) (0.408:0.408:0.408))
(IOPATH S X (0.366:0.366:0.366) (0.469:0.469:0.469))
(IOPATH S X (0.320:0.320:0.320) (0.449:0.449:0.449))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1383_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.343:0.344:0.344) (0.293:0.293:0.293))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1384_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.277:0.277:0.277))
(IOPATH A1 X (0.143:0.143:0.143) (0.288:0.288:0.288))
(IOPATH S X (0.234:0.234:0.234) (0.338:0.338:0.338))
(IOPATH S X (0.168:0.168:0.168) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.293:0.293) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1386_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.277:0.277:0.277))
(IOPATH A1 X (0.155:0.155:0.155) (0.297:0.297:0.297))
(IOPATH S X (0.198:0.198:0.198) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1387_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.295:0.295:0.295) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1388_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH A1 X (0.152:0.152:0.152) (0.299:0.299:0.299))
(IOPATH S X (0.205:0.205:0.205) (0.336:0.336:0.336))
(IOPATH S X (0.155:0.155:0.155) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1389_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1390_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.277:0.277:0.277))
(IOPATH A1 X (0.144:0.144:0.144) (0.290:0.290:0.290))
(IOPATH S X (0.198:0.198:0.198) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1391_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.276:0.276) (0.243:0.243:0.243))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1392_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.276:0.276:0.276))
(IOPATH A1 X (0.149:0.149:0.149) (0.294:0.294:0.294))
(IOPATH S X (0.198:0.198:0.198) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1393_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1394_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.296:0.296:0.296))
(IOPATH A1 X (0.185:0.185:0.185) (0.325:0.325:0.325))
(IOPATH S X (0.231:0.231:0.231) (0.358:0.358:0.358))
(IOPATH S X (0.185:0.185:0.185) (0.339:0.339:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1395_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1396_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.292:0.292:0.292))
(IOPATH A1 X (0.192:0.192:0.192) (0.331:0.331:0.331))
(IOPATH S X (0.227:0.227:0.227) (0.355:0.355:0.355))
(IOPATH S X (0.181:0.181:0.181) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1397_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.295:0.295:0.295) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1398_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.286:0.286:0.286))
(IOPATH A1 X (0.183:0.183:0.183) (0.321:0.321:0.321))
(IOPATH S X (0.218:0.218:0.218) (0.343:0.343:0.343))
(IOPATH S X (0.171:0.171:0.171) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1400_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_4")
(INSTANCE _1401_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.194:0.194:0.194) (0.317:0.317:0.317))
(IOPATH A1 X (0.253:0.253:0.253) (0.331:0.331:0.331))
(IOPATH S X (0.266:0.266:0.266) (0.368:0.368:0.368))
(IOPATH S X (0.242:0.242:0.242) (0.360:0.360:0.360))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1402_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.166:0.166:0.166) (0.323:0.323:0.323))
(IOPATH A1 X (0.187:0.187:0.187) (0.345:0.345:0.345))
(IOPATH S X (0.254:0.254:0.254) (0.383:0.383:0.383))
(IOPATH S X (0.208:0.208:0.208) (0.363:0.363:0.363))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1403_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.279:0.279:0.279) (0.247:0.247:0.247))
(IOPATH B X (0.156:0.156:0.156) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1404_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.280:0.280:0.280) (0.407:0.407:0.407))
(IOPATH A1 X (0.324:0.324:0.324) (0.435:0.435:0.435))
(IOPATH S X (0.375:0.375:0.375) (0.472:0.472:0.472))
(IOPATH S X (0.328:0.328:0.328) (0.452:0.452:0.452))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1405_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.298:0.298:0.298))
(IOPATH A1 X (0.192:0.192:0.192) (0.331:0.331:0.331))
(IOPATH S X (0.234:0.234:0.234) (0.361:0.361:0.361))
(IOPATH S X (0.188:0.188:0.188) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1406_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.176:0.176:0.176) (0.290:0.290:0.290))
(IOPATH A1 X (0.211:0.211:0.211) (0.304:0.304:0.304))
(IOPATH S X (0.270:0.270:0.270) (0.390:0.390:0.390))
(IOPATH S X (0.222:0.222:0.222) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _1407_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.280:0.280:0.280) (0.236:0.236:0.236))
(IOPATH B X (0.234:0.234:0.234) (0.225:0.225:0.225))
(IOPATH C X (0.212:0.212:0.212) (0.211:0.211:0.211))
(IOPATH D X (0.223:0.223:0.223) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1408_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.156:0.156:0.156))
(IOPATH B X (0.162:0.163:0.163) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1409_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.174:0.174:0.174) (0.330:0.330:0.330))
(IOPATH A1 X (0.226:0.226:0.226) (0.364:0.364:0.364))
(IOPATH S X (0.263:0.263:0.263) (0.391:0.391:0.391))
(IOPATH S X (0.216:0.216:0.216) (0.371:0.371:0.371))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1410_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.300:0.300:0.300) (0.419:0.419:0.419))
(IOPATH A1 X (0.319:0.319:0.319) (0.431:0.431:0.431))
(IOPATH S X (0.389:0.389:0.389) (0.481:0.481:0.481))
(IOPATH S X (0.342:0.342:0.342) (0.461:0.461:0.461))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1411_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.273:0.273:0.273) (0.401:0.401:0.401))
(IOPATH A1 X (0.284:0.284:0.284) (0.423:0.423:0.423))
(IOPATH S X (0.360:0.360:0.360) (0.450:0.450:0.450))
(IOPATH S X (0.295:0.295:0.295) (0.446:0.446:0.446))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1412_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.296:0.296:0.296) (0.416:0.416:0.416))
(IOPATH A1 X (0.327:0.327:0.327) (0.436:0.436:0.436))
(IOPATH S X (0.390:0.390:0.390) (0.481:0.481:0.481))
(IOPATH S X (0.343:0.343:0.343) (0.461:0.461:0.461))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1413_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.155:0.155:0.155))
(IOPATH B X (0.220:0.220:0.220) (0.218:0.218:0.219))
(IOPATH C X (0.202:0.202:0.202) (0.219:0.219:0.220))
(IOPATH D X (0.216:0.216:0.216) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1414_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.200:0.200:0.200) (0.310:0.310:0.310))
(IOPATH A1 X (0.231:0.231:0.231) (0.335:0.335:0.335))
(IOPATH S X (0.293:0.293:0.293) (0.409:0.409:0.409))
(IOPATH S X (0.245:0.245:0.245) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1415_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.183:0.183:0.183) (0.337:0.337:0.337))
(IOPATH A1 X (0.238:0.238:0.238) (0.372:0.372:0.372))
(IOPATH S X (0.274:0.274:0.274) (0.399:0.399:0.399))
(IOPATH S X (0.227:0.227:0.227) (0.379:0.379:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1416_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.202:0.202:0.202) (0.311:0.311:0.311))
(IOPATH A1 X (0.239:0.239:0.239) (0.341:0.341:0.341))
(IOPATH S X (0.298:0.298:0.298) (0.412:0.412:0.412))
(IOPATH S X (0.249:0.249:0.249) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1417_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.248:0.248:0.248) (0.344:0.344:0.344))
(IOPATH A1 X (0.309:0.309:0.309) (0.374:0.374:0.374))
(IOPATH S X (0.343:0.343:0.343) (0.445:0.445:0.445))
(IOPATH S X (0.295:0.295:0.295) (0.371:0.371:0.371))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4bb_1")
(INSTANCE _1418_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.278:0.278:0.278) (0.210:0.210:0.210))
(IOPATH B_N X (0.285:0.285:0.285) (0.226:0.226:0.227))
(IOPATH C X (0.220:0.220:0.220) (0.222:0.222:0.222))
(IOPATH D X (0.220:0.220:0.220) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1419_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.288:0.288:0.288))
(IOPATH A1 X (0.218:0.218:0.218) (0.310:0.310:0.310))
(IOPATH S X (0.273:0.273:0.273) (0.392:0.392:0.392))
(IOPATH S X (0.225:0.225:0.225) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1420_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.300:0.300:0.300))
(IOPATH A1 X (0.179:0.179:0.179) (0.323:0.323:0.323))
(IOPATH S X (0.236:0.236:0.236) (0.364:0.364:0.364))
(IOPATH S X (0.190:0.190:0.190) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1421_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.320:0.320:0.320))
(IOPATH A1 X (0.210:0.210:0.210) (0.351:0.351:0.351))
(IOPATH S X (0.256:0.256:0.256) (0.384:0.384:0.384))
(IOPATH S X (0.210:0.210:0.210) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1422_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.293:0.293:0.293))
(IOPATH A1 X (0.224:0.224:0.224) (0.314:0.314:0.314))
(IOPATH S X (0.275:0.275:0.275) (0.394:0.394:0.394))
(IOPATH S X (0.226:0.226:0.226) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1423_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.203:0.203:0.203))
(IOPATH B X (0.238:0.238:0.238) (0.217:0.217:0.217))
(IOPATH C X (0.248:0.248:0.248) (0.242:0.242:0.242))
(IOPATH D X (0.264:0.264:0.264) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.231:0.231) (0.187:0.188:0.189))
(IOPATH B X (0.242:0.242:0.242) (0.212:0.213:0.214))
(IOPATH C X (0.253:0.253:0.253) (0.233:0.234:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _1425_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.278:0.278:0.279) (0.110:0.110:0.110))
(IOPATH A2 Y (0.294:0.294:0.295) (0.133:0.133:0.134))
(IOPATH B1 Y (0.280:0.280:0.280) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1426_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.150:0.150:0.150) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1427_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.255:0.255:0.255))
(IOPATH B X (0.117:0.117:0.117) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1428_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.339:0.339:0.339) (0.168:0.168:0.168))
(IOPATH A2 Y (0.348:0.348:0.348) (0.173:0.173:0.173))
(IOPATH B1 Y (0.210:0.210:0.210) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_2")
(INSTANCE _1429_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.814:0.814:0.814))
(IOPATH B X (0.259:0.259:0.259) (0.781:0.781:0.781))
(IOPATH C X (0.261:0.261:0.261) (0.758:0.758:0.758))
(IOPATH D_N X (0.326:0.326:0.326) (0.736:0.736:0.736))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1430_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.528:0.528:0.528))
(IOPATH B X (0.165:0.165:0.165) (0.519:0.519:0.519))
(IOPATH C X (0.155:0.155:0.155) (0.480:0.480:0.480))
(IOPATH D X (0.173:0.173:0.173) (0.450:0.450:0.450))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1431_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.173:0.173) (0.310:0.310:0.311))
(IOPATH B X (0.134:0.135:0.136) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1432_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.608:0.608:0.608))
(IOPATH B X (0.177:0.177:0.177) (0.572:0.572:0.572))
(IOPATH C X (0.175:0.175:0.175) (0.539:0.539:0.539))
(IOPATH D X (0.181:0.181:0.181) (0.477:0.477:0.477))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.440:0.440:0.440))
(IOPATH B X (0.189:0.189:0.189) (0.422:0.422:0.422))
(IOPATH C X (0.201:0.201:0.201) (0.395:0.395:0.395))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1434_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.255:0.255:0.255))
(IOPATH B X (0.137:0.137:0.137) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1435_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.239:0.239:0.239))
(IOPATH B X (0.122:0.122:0.122) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.801:0.802:0.802))
(IOPATH B X (0.212:0.213:0.213) (0.777:0.777:0.777))
(IOPATH C X (0.193:0.193:0.193) (0.729:0.729:0.729))
(IOPATH D X (0.182:0.182:0.182) (0.657:0.657:0.657))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1437_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.833:0.833:0.833))
(IOPATH B X (0.301:0.301:0.301) (0.841:0.841:0.842))
(IOPATH C X (0.297:0.297:0.297) (0.808:0.808:0.808))
(IOPATH D X (0.239:0.239:0.239) (0.708:0.708:0.708))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1438_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.224:0.232) (0.609:0.611:0.614))
(IOPATH B X (0.172:0.172:0.172) (0.573:0.573:0.573))
(IOPATH C X (0.180:0.180:0.180) (0.571:0.572:0.572))
(IOPATH D X (0.188:0.188:0.188) (0.513:0.513:0.514))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1439_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.213:0.219) (0.304:0.306:0.309))
(IOPATH B X (0.188:0.188:0.188) (0.314:0.314:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _1440_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.581:0.581:0.581))
(IOPATH B X (0.204:0.204:0.204) (0.572:0.572:0.572))
(IOPATH C X (0.217:0.217:0.217) (0.569:0.569:0.569))
(IOPATH D_N X (0.228:0.228:0.228) (0.508:0.508:0.508))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1441_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.324:0.324:0.324))
(IOPATH B X (0.225:0.225:0.225) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1442_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.220:0.220:0.220))
(IOPATH A2 X (0.196:0.196:0.196) (0.232:0.232:0.232))
(IOPATH B1 X (0.138:0.138:0.138) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _1443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.786:0.786:0.786))
(IOPATH B X (0.193:0.193:0.193) (0.739:0.739:0.739))
(IOPATH C X (0.176:0.177:0.177) (0.712:0.712:0.712))
(IOPATH D X (0.173:0.173:0.174) (0.626:0.627:0.627))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_8")
(INSTANCE _1444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.222:0.222:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1445_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.247:0.247:0.247) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1446_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.333:0.333:0.333) (0.276:0.276:0.276))
(IOPATH B X (0.247:0.247:0.247) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1447_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.218:0.218:0.218) (0.243:0.243:0.243))
(IOPATH A2 X (0.210:0.210:0.210) (0.252:0.252:0.252))
(IOPATH B1 X (0.174:0.174:0.174) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.242) (0.256:0.256:0.256))
(IOPATH A2 X (0.258:0.258:0.258) (0.293:0.293:0.293))
(IOPATH B1 X (0.198:0.198:0.198) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.303:0.303:0.303))
(IOPATH B X (0.287:0.287:0.287) (0.244:0.245:0.245))
(IOPATH C X (0.294:0.295:0.295) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1450_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.220:0.220:0.220) (0.206:0.206:0.206))
(IOPATH B X (0.128:0.128:0.128) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1451_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.296:0.297:0.297))
(IOPATH A1 X (0.118:0.118:0.118) (0.283:0.283:0.283))
(IOPATH S X (0.229:0.229:0.229) (0.351:0.351:0.351))
(IOPATH S X (0.183:0.183:0.183) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _1452_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.341:0.342:0.343) (0.238:0.240:0.241))
(IOPATH B X (0.281:0.281:0.281) (0.252:0.252:0.252))
(IOPATH C X (0.321:0.321:0.321) (0.285:0.285:0.285))
(IOPATH D X (0.287:0.288:0.288) (0.276:0.276:0.276))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1453_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.340:0.340:0.340))
(IOPATH B X (0.248:0.248:0.248) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1454_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.205:0.205:0.205) (0.200:0.200:0.200))
(IOPATH B Y (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1455_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.232:0.232:0.232))
(IOPATH B X (0.119:0.119:0.119) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1456_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065))
(IOPATH B Y (0.090:0.090:0.090) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1457_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.149:0.149:0.149) (0.138:0.138:0.138))
(IOPATH B Y (0.141:0.141:0.141) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _1458_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.201:0.201:0.201))
(IOPATH A2 X (0.126:0.126:0.126) (0.175:0.175:0.175))
(IOPATH B1_N X (0.223:0.223:0.223) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_2")
(INSTANCE _1459_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.220:0.220:0.220) (0.422:0.422:0.422))
(IOPATH A2 X (0.226:0.227:0.229) (0.454:0.454:0.454))
(IOPATH B1 X (0.244:0.244:0.245) (0.421:0.423:0.426))
(IOPATH B2 X (0.243:0.243:0.243) (0.444:0.444:0.444))
(IOPATH C1 X (0.178:0.178:0.178) (0.367:0.367:0.368))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _1460_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.266:0.269:0.272) (0.145:0.145:0.145))
(IOPATH A2 Y (0.241:0.241:0.242) (0.119:0.119:0.120))
(IOPATH B1 Y (0.167:0.167:0.167) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3b_1")
(INSTANCE _1461_)
(DELAY
(ABSOLUTE
(IOPATH A_N Y (0.119:0.119:0.119) (0.154:0.154:0.154))
(IOPATH B Y (0.121:0.121:0.121) (0.099:0.099:0.099))
(IOPATH C Y (0.110:0.110:0.110) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1462_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.132:0.136:0.140) (0.085:0.090:0.096))
(IOPATH A2 Y (0.137:0.137:0.137) (0.060:0.060:0.060))
(IOPATH B1 Y (0.142:0.142:0.142) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1463_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.070:0.070:0.070) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _1464_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.300:0.300:0.300) (0.119:0.119:0.119))
(IOPATH A2 Y (0.372:0.372:0.372) (0.197:0.197:0.197))
(IOPATH B1_N Y (0.364:0.364:0.364) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.142:0.142:0.142))
(IOPATH B X (0.230:0.230:0.230) (0.218:0.218:0.218))
(IOPATH C X (0.208:0.208:0.208) (0.215:0.215:0.215))
(IOPATH D X (0.199:0.200:0.200) (0.217:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_4")
(INSTANCE _1466_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.184:0.184:0.184) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1467_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.353:0.353:0.353) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1468_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.180:0.181) (0.193:0.203:0.213))
(IOPATH A2 X (0.107:0.107:0.107) (0.168:0.168:0.168))
(IOPATH B1 X (0.168:0.168:0.168) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1469_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.162:0.162:0.162))
(IOPATH B X (0.212:0.212:0.212) (0.209:0.209:0.209))
(IOPATH C X (0.242:0.242:0.242) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1470_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.227:0.227:0.227))
(IOPATH A2 X (0.200:0.200:0.200) (0.285:0.285:0.285))
(IOPATH A3 X (0.175:0.175:0.175) (0.258:0.258:0.258))
(IOPATH B1 X (0.146:0.146:0.146) (0.233:0.236:0.240))
(IOPATH B2 X (0.154:0.154:0.154) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1471_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.156:0.156) (0.202:0.203:0.203))
(IOPATH A2 X (0.135:0.135:0.135) (0.190:0.190:0.190))
(IOPATH B1 X (0.194:0.194:0.194) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.289:0.289:0.289) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1473_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.353:0.353:0.353) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1474_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.259:0.259:0.259) (0.229:0.229:0.229))
(IOPATH B X (0.175:0.175:0.175) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1475_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.222:0.222:0.222))
(IOPATH A2 X (0.110:0.110:0.110) (0.165:0.165:0.165))
(IOPATH B1 X (0.170:0.170:0.170) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1476_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.205:0.205:0.205) (0.350:0.350:0.350))
(IOPATH A1 X (0.165:0.165:0.165) (0.329:0.329:0.329))
(IOPATH S X (0.274:0.274:0.274) (0.397:0.397:0.397))
(IOPATH S X (0.228:0.228:0.228) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1477_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.207:0.207:0.207) (0.352:0.352:0.352))
(IOPATH A1 X (0.178:0.178:0.178) (0.339:0.339:0.339))
(IOPATH S X (0.288:0.288:0.288) (0.408:0.408:0.408))
(IOPATH S X (0.242:0.242:0.242) (0.391:0.391:0.391))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1479_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.200:0.200:0.200) (0.347:0.347:0.347))
(IOPATH A1 X (0.178:0.178:0.178) (0.336:0.336:0.336))
(IOPATH S X (0.374:0.374:0.374) (0.430:0.430:0.430))
(IOPATH S X (0.297:0.297:0.297) (0.482:0.482:0.482))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1480_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.254:0.254:0.254) (0.387:0.387:0.387))
(IOPATH A1 X (0.216:0.216:0.216) (0.368:0.368:0.368))
(IOPATH S X (0.421:0.421:0.421) (0.465:0.465:0.465))
(IOPATH S X (0.344:0.344:0.344) (0.516:0.516:0.516))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.599:0.599:0.599))
(IOPATH B X (0.192:0.192:0.192) (0.582:0.582:0.582))
(IOPATH C X (0.193:0.193:0.193) (0.551:0.551:0.551))
(IOPATH D X (0.163:0.163:0.163) (0.468:0.468:0.468))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1482_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.207:0.207:0.207) (0.352:0.352:0.352))
(IOPATH A1 X (0.165:0.165:0.165) (0.328:0.328:0.328))
(IOPATH S X (0.368:0.368:0.368) (0.425:0.425:0.425))
(IOPATH S X (0.291:0.291:0.291) (0.476:0.476:0.476))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1483_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.189:0.189:0.189) (0.336:0.336:0.336))
(IOPATH A1 X (0.156:0.156:0.156) (0.319:0.319:0.319))
(IOPATH S X (0.360:0.360:0.360) (0.416:0.416:0.416))
(IOPATH S X (0.282:0.282:0.282) (0.468:0.468:0.468))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1484_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.256:0.256:0.256) (0.390:0.390:0.390))
(IOPATH A1 X (0.229:0.229:0.229) (0.376:0.376:0.376))
(IOPATH S X (0.429:0.429:0.429) (0.471:0.471:0.471))
(IOPATH S X (0.353:0.353:0.353) (0.523:0.523:0.523))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1485_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.187:0.187:0.187) (0.335:0.335:0.335))
(IOPATH A1 X (0.160:0.160:0.160) (0.322:0.322:0.322))
(IOPATH S X (0.266:0.266:0.266) (0.390:0.390:0.390))
(IOPATH S X (0.221:0.221:0.221) (0.373:0.373:0.373))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1486_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.197:0.197:0.197) (0.342:0.342:0.342))
(IOPATH A1 X (0.161:0.161:0.161) (0.324:0.324:0.324))
(IOPATH S X (0.269:0.269:0.269) (0.393:0.393:0.393))
(IOPATH S X (0.224:0.224:0.224) (0.376:0.376:0.376))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1487_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.395:0.395:0.395) (0.484:0.485:0.485))
(IOPATH A1 X (0.345:0.345:0.345) (0.450:0.450:0.450))
(IOPATH S X (0.451:0.451:0.451) (0.519:0.519:0.519))
(IOPATH S X (0.405:0.405:0.405) (0.501:0.501:0.501))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1488_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.229:0.229:0.229) (0.368:0.368:0.368))
(IOPATH A1 X (0.172:0.172:0.172) (0.333:0.333:0.333))
(IOPATH S X (0.277:0.277:0.277) (0.401:0.401:0.401))
(IOPATH S X (0.232:0.232:0.232) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1489_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.554:0.554:0.554))
(IOPATH B X (0.155:0.155:0.155) (0.540:0.540:0.540))
(IOPATH C X (0.186:0.186:0.186) (0.539:0.539:0.539))
(IOPATH D X (0.139:0.139:0.139) (0.432:0.432:0.432))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1490_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.596:0.596:0.596))
(IOPATH B X (0.175:0.175:0.174) (0.569:0.569:0.569))
(IOPATH C X (0.187:0.187:0.188) (0.549:0.549:0.550))
(IOPATH D X (0.149:0.150:0.150) (0.471:0.471:0.471))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1491_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.179:0.179:0.180) (0.072:0.072:0.072))
(IOPATH A2 Y (0.156:0.157:0.157) (0.062:0.062:0.062))
(IOPATH B1 Y (0.067:0.068:0.069) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.297:0.297:0.297))
(IOPATH B X (0.161:0.161:0.161) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _1493_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.117:0.117:0.117) (0.163:0.163:0.163))
(IOPATH A2 X (0.162:0.162:0.162) (0.214:0.214:0.214))
(IOPATH B1 X (0.097:0.097:0.097) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1494_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.348:0.348:0.348) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1495_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.337:0.337:0.337) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1496_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.281:0.281:0.281) (0.235:0.235:0.235))
(IOPATH B X (0.226:0.226:0.226) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1497_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.289:0.289:0.289) (0.132:0.132:0.132))
(IOPATH A X (0.277:0.277:0.277) (0.212:0.212:0.212))
(IOPATH B X (0.244:0.244:0.244) (0.102:0.102:0.102))
(IOPATH B X (0.262:0.262:0.262) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1498_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.272:0.272:0.272) (0.392:0.392:0.392))
(IOPATH A1 X (0.304:0.306:0.307) (0.402:0.407:0.412))
(IOPATH S X (0.353:0.353:0.353) (0.460:0.460:0.460))
(IOPATH S X (0.308:0.308:0.308) (0.441:0.441:0.441))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _1499_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.429:0.430:0.431) (0.285:0.286:0.288))
(IOPATH B X (0.383:0.383:0.383) (0.331:0.332:0.332))
(IOPATH C X (0.385:0.386:0.386) (0.361:0.362:0.362))
(IOPATH D X (0.409:0.409:0.409) (0.368:0.369:0.369))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1500_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.099:0.099:0.099))
(IOPATH A X (0.195:0.195:0.195) (0.187:0.187:0.187))
(IOPATH B X (0.176:0.176:0.176) (0.080:0.080:0.080))
(IOPATH B X (0.187:0.187:0.187) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1501_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.278:0.278:0.278) (0.396:0.396:0.396))
(IOPATH A1 X (0.279:0.281:0.283) (0.397:0.399:0.402))
(IOPATH S X (0.356:0.356:0.356) (0.462:0.462:0.462))
(IOPATH S X (0.311:0.311:0.311) (0.443:0.443:0.443))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.296:0.297:0.298) (0.200:0.202:0.203))
(IOPATH B X (0.255:0.255:0.256) (0.242:0.243:0.243))
(IOPATH C X (0.258:0.258:0.258) (0.270:0.271:0.271))
(IOPATH D X (0.282:0.282:0.282) (0.278:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1503_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.123:0.123) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1504_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.238:0.238:0.238) (0.086:0.086:0.086))
(IOPATH B Y (0.195:0.195:0.195) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _1505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.104:0.105) (0.362:0.363:0.363))
(IOPATH B X (0.106:0.106:0.107) (0.340:0.341:0.341))
(IOPATH C_N X (0.161:0.162:0.162) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1506_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.216:0.216:0.217) (0.082:0.082:0.083))
(IOPATH B Y (0.190:0.191:0.191) (0.061:0.061:0.062))
(IOPATH C Y (0.155:0.155:0.156) (0.057:0.057:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _1507_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.254:0.254:0.254) (0.279:0.279:0.279))
(IOPATH A2_N X (0.241:0.241:0.241) (0.266:0.266:0.267))
(IOPATH B1 X (0.159:0.159:0.159) (0.266:0.270:0.273))
(IOPATH B2 X (0.125:0.125:0.125) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _1508_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.135) (0.255:0.255:0.255))
(IOPATH B_N X (0.189:0.189:0.189) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _1509_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.336:0.336:0.336) (0.069:0.069:0.069))
(IOPATH B Y (0.317:0.317:0.317) (0.069:0.069:0.069))
(IOPATH C Y (0.320:0.321:0.321) (0.085:0.085:0.085))
(IOPATH D Y (0.210:0.210:0.210) (0.044:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1510_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.209:0.209:0.209) (0.254:0.254:0.255))
(IOPATH A2 X (0.229:0.229:0.229) (0.288:0.289:0.289))
(IOPATH B1 X (0.180:0.181:0.181) (0.223:0.223:0.224))
(IOPATH B2 X (0.240:0.241:0.241) (0.235:0.240:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1511_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.228:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1512_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.419:0.419:0.419))
(IOPATH B X (0.164:0.164:0.164) (0.431:0.431:0.431))
(IOPATH C X (0.156:0.156:0.156) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _1513_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.111:0.111:0.111) (0.173:0.173:0.173))
(IOPATH A2 X (0.153:0.153:0.153) (0.214:0.214:0.214))
(IOPATH B1_N X (0.198:0.198:0.198) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o41a_4")
(INSTANCE _1514_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.293:0.293:0.293) (0.594:0.595:0.596))
(IOPATH A2 X (0.251:0.251:0.251) (0.553:0.553:0.554))
(IOPATH A3 X (0.268:0.268:0.268) (0.513:0.514:0.514))
(IOPATH A4 X (0.228:0.228:0.228) (0.446:0.446:0.446))
(IOPATH B1 X (0.275:0.275:0.275) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.216:0.216:0.216))
(IOPATH B X (0.255:0.256:0.257) (0.232:0.243:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2b_2")
(INSTANCE _1516_)
(DELAY
(ABSOLUTE
(IOPATH A_N Y (0.211:0.211:0.211) (0.257:0.257:0.257))
(IOPATH B Y (0.172:0.172:0.172) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1517_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.172:0.172:0.172) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1518_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1519_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.133:0.133) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _1520_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.202:0.202:0.202) (0.186:0.186:0.186))
(IOPATH B Y (0.223:0.223:0.223) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_4")
(INSTANCE _1521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.351:0.351:0.351))
(IOPATH B X (0.278:0.278:0.279) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.487:0.487:0.487))
(IOPATH B X (0.192:0.192:0.192) (0.435:0.435:0.435))
(IOPATH C X (0.207:0.207:0.207) (0.398:0.398:0.398))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.332:0.333:0.334))
(IOPATH B X (0.230:0.231:0.231) (0.313:0.313:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1524_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.221:0.221:0.221))
(IOPATH B X (0.138:0.138:0.138) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1526_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.263:0.263:0.263))
(IOPATH A2 X (0.182:0.182:0.182) (0.252:0.252:0.252))
(IOPATH A3 X (0.171:0.171:0.171) (0.265:0.265:0.265))
(IOPATH B1 X (0.119:0.119:0.119) (0.202:0.202:0.202))
(IOPATH B2 X (0.139:0.139:0.139) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1527_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.227:0.227:0.227))
(IOPATH A2 X (0.186:0.186:0.186) (0.231:0.231:0.231))
(IOPATH A3 X (0.167:0.167:0.167) (0.231:0.231:0.232))
(IOPATH B1 X (0.093:0.093:0.093) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1528_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.284:0.284:0.284))
(IOPATH B X (0.156:0.156:0.156) (0.260:0.261:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1529_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.382:0.382:0.382) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1530_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.349:0.349:0.349) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _1531_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.334:0.334:0.334))
(IOPATH A2 X (0.165:0.165:0.165) (0.352:0.352:0.352))
(IOPATH B1 X (0.137:0.137:0.137) (0.292:0.292:0.292))
(IOPATH B2 X (0.181:0.181:0.181) (0.346:0.346:0.346))
(IOPATH C1 X (0.157:0.157:0.157) (0.282:0.282:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1532_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.202:0.202:0.202))
(IOPATH B X (0.175:0.175:0.175) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1533_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.241:0.241:0.241))
(IOPATH A2 X (0.163:0.163:0.163) (0.250:0.250:0.250))
(IOPATH B1 X (0.130:0.130:0.130) (0.189:0.189:0.189))
(IOPATH B2 X (0.167:0.167:0.167) (0.223:0.223:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.373:0.373:0.373) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1535_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.211:0.211:0.211) (0.116:0.116:0.116))
(IOPATH B Y (0.183:0.184:0.185) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1536_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.194:0.194:0.194) (0.134:0.134:0.134))
(IOPATH B Y (0.153:0.153:0.153) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1537_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1538_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.258:0.258:0.258))
(IOPATH A2 X (0.209:0.209:0.209) (0.291:0.291:0.291))
(IOPATH A3 X (0.187:0.187:0.187) (0.260:0.264:0.268))
(IOPATH B1 X (0.121:0.121:0.121) (0.204:0.204:0.204))
(IOPATH B2 X (0.156:0.156:0.156) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1539_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.093:0.093:0.093) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _1540_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.228:0.228:0.228) (0.279:0.279:0.279))
(IOPATH A2 X (0.217:0.217:0.217) (0.279:0.279:0.279))
(IOPATH A3 X (0.167:0.167:0.167) (0.262:0.262:0.262))
(IOPATH B1 X (0.125:0.125:0.125) (0.208:0.208:0.208))
(IOPATH B2 X (0.128:0.128:0.128) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1541_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.319:0.319:0.319) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _1542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.588:0.588:0.588))
(IOPATH B X (0.180:0.180:0.180) (0.578:0.579:0.579))
(IOPATH C X (0.185:0.185:0.185) (0.541:0.541:0.541))
(IOPATH D_N X (0.261:0.261:0.261) (0.530:0.530:0.530))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1543_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.254:0.254:0.254))
(IOPATH B X (0.210:0.210:0.210) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1544_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.230:0.230:0.230) (0.191:0.192:0.192))
(IOPATH B X (0.142:0.142:0.142) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1545_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.199:0.199:0.199))
(IOPATH A2 X (0.182:0.182:0.182) (0.238:0.238:0.238))
(IOPATH A3 X (0.146:0.146:0.146) (0.221:0.221:0.221))
(IOPATH B1 X (0.088:0.088:0.088) (0.173:0.173:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1546_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.383:0.383:0.383) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1547_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.249:0.249:0.249) (0.137:0.137:0.137))
(IOPATH B Y (0.190:0.190:0.191) (0.075:0.075:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1548_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.232:0.232:0.233))
(IOPATH A2 X (0.148:0.148:0.148) (0.230:0.230:0.230))
(IOPATH B1 X (0.161:0.161:0.161) (0.179:0.187:0.196))
(IOPATH B2 X (0.171:0.171:0.171) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1549_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.204:0.204:0.204))
(IOPATH A2 X (0.136:0.136:0.136) (0.221:0.221:0.221))
(IOPATH B1 X (0.155:0.155:0.155) (0.174:0.182:0.190))
(IOPATH B2 X (0.167:0.167:0.167) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1550_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.260:0.260:0.260) (0.396:0.396:0.396))
(IOPATH A1 X (0.261:0.261:0.261) (0.399:0.399:0.399))
(IOPATH S X (0.377:0.377:0.377) (0.463:0.463:0.463))
(IOPATH S X (0.311:0.311:0.311) (0.461:0.461:0.461))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1552_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.240:0.240:0.240) (0.381:0.381:0.381))
(IOPATH A1 X (0.260:0.260:0.260) (0.392:0.392:0.392))
(IOPATH S X (0.359:0.359:0.359) (0.448:0.448:0.448))
(IOPATH S X (0.293:0.293:0.293) (0.446:0.446:0.446))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1554_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.189:0.189:0.189) (0.342:0.342:0.342))
(IOPATH A1 X (0.213:0.213:0.213) (0.356:0.356:0.356))
(IOPATH S X (0.306:0.306:0.306) (0.408:0.408:0.408))
(IOPATH S X (0.240:0.240:0.240) (0.407:0.407:0.407))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1555_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1556_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.290:0.290:0.290))
(IOPATH A1 X (0.144:0.144:0.144) (0.296:0.296:0.296))
(IOPATH S X (0.229:0.229:0.229) (0.354:0.354:0.354))
(IOPATH S X (0.183:0.183:0.183) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1557_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.248) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1558_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.284:0.284:0.284))
(IOPATH A1 X (0.141:0.141:0.141) (0.292:0.292:0.292))
(IOPATH S X (0.225:0.225:0.225) (0.350:0.350:0.350))
(IOPATH S X (0.179:0.179:0.179) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1559_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.250) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1560_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.294:0.294:0.294))
(IOPATH A1 X (0.160:0.160:0.160) (0.307:0.307:0.307))
(IOPATH S X (0.233:0.233:0.233) (0.359:0.359:0.359))
(IOPATH S X (0.187:0.187:0.187) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.248:0.248) (0.208:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1562_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.282:0.282:0.282))
(IOPATH A1 X (0.141:0.141:0.141) (0.291:0.291:0.291))
(IOPATH S X (0.225:0.225:0.225) (0.349:0.349:0.349))
(IOPATH S X (0.178:0.178:0.178) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1563_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.281:0.282) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1564_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.287:0.287:0.287))
(IOPATH A1 X (0.156:0.156:0.156) (0.302:0.302:0.302))
(IOPATH S X (0.228:0.228:0.228) (0.353:0.353:0.353))
(IOPATH S X (0.182:0.182:0.182) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1565_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.282:0.282) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _1566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.610:0.611:0.611))
(IOPATH B X (0.216:0.216:0.216) (0.556:0.556:0.556))
(IOPATH C X (0.199:0.200:0.201) (0.525:0.525:0.525))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _1567_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.328:0.328:0.328) (0.106:0.106:0.107))
(IOPATH B Y (0.293:0.293:0.293) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4b_4")
(INSTANCE _1568_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.700:0.700:0.700) (0.155:0.155:0.155))
(IOPATH B Y (0.671:0.671:0.671) (0.123:0.123:0.123))
(IOPATH C Y (0.642:0.642:0.642) (0.112:0.112:0.112))
(IOPATH D_N Y (0.645:0.645:0.645) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _1569_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.240:0.241:0.243) (0.126:0.126:0.126))
(IOPATH B Y (0.219:0.227:0.234) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1570_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.227:0.227:0.227) (0.263:0.263:0.263))
(IOPATH A2 X (0.222:0.222:0.222) (0.254:0.255:0.257))
(IOPATH B1 X (0.255:0.255:0.255) (0.206:0.214:0.222))
(IOPATH B2 X (0.208:0.208:0.208) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _1571_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.278:0.278:0.278) (0.150:0.150:0.150))
(IOPATH A2 Y (0.263:0.275:0.286) (0.136:0.136:0.136))
(IOPATH B1 Y (0.217:0.217:0.217) (0.065:0.066:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1572_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.414:0.414:0.414) (0.370:0.370:0.370))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1573_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.275:0.275:0.275) (0.392:0.392:0.392))
(IOPATH A1 X (0.250:0.250:0.250) (0.392:0.392:0.392))
(IOPATH S X (0.356:0.356:0.356) (0.460:0.460:0.460))
(IOPATH S X (0.310:0.310:0.310) (0.442:0.442:0.442))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1574_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.223:0.223) (0.172:0.173:0.175))
(IOPATH B X (0.174:0.174:0.174) (0.194:0.194:0.194))
(IOPATH C X (0.201:0.201:0.201) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.261:0.262) (0.210:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.328:0.328:0.328) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1577_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.210:0.210:0.210) (0.113:0.113:0.113))
(IOPATH A2 Y (0.250:0.250:0.250) (0.140:0.140:0.140))
(IOPATH B1 Y (0.202:0.202:0.203) (0.102:0.102:0.102))
(IOPATH B2 Y (0.220:0.220:0.220) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1578_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.299:0.299:0.299) (0.140:0.140:0.140))
(IOPATH A2 Y (0.259:0.272:0.284) (0.136:0.136:0.137))
(IOPATH B1 Y (0.133:0.136:0.139) (0.134:0.137:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1579_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.254:0.254:0.254))
(IOPATH A2 X (0.203:0.203:0.203) (0.240:0.242:0.243))
(IOPATH B1 X (0.233:0.233:0.233) (0.192:0.200:0.208))
(IOPATH B2 X (0.193:0.193:0.193) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1580_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.332:0.332:0.332) (0.197:0.197:0.197))
(IOPATH A2 Y (0.326:0.337:0.348) (0.174:0.174:0.174))
(IOPATH B1 Y (0.280:0.281:0.281) (0.077:0.079:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1581_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.221:0.221:0.221) (0.353:0.353:0.353))
(IOPATH A1 X (0.196:0.196:0.196) (0.351:0.351:0.351))
(IOPATH S X (0.302:0.302:0.302) (0.419:0.419:0.419))
(IOPATH S X (0.257:0.257:0.257) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1582_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.209:0.209:0.209) (0.114:0.114:0.114))
(IOPATH A2 Y (0.249:0.249:0.249) (0.139:0.139:0.139))
(IOPATH B1 Y (0.184:0.184:0.185) (0.086:0.086:0.086))
(IOPATH B2 Y (0.212:0.212:0.212) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1583_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.358:0.358:0.358) (0.161:0.161:0.161))
(IOPATH A2 Y (0.326:0.342:0.357) (0.172:0.173:0.174))
(IOPATH B1 Y (0.160:0.162:0.164) (0.154:0.157:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1584_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.219:0.219:0.219) (0.259:0.259:0.259))
(IOPATH A2 X (0.209:0.209:0.209) (0.244:0.245:0.247))
(IOPATH B1 X (0.240:0.240:0.240) (0.196:0.204:0.212))
(IOPATH B2 X (0.202:0.202:0.202) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _1585_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.239:0.239:0.239) (0.131:0.131:0.131))
(IOPATH A2 Y (0.223:0.234:0.246) (0.118:0.118:0.118))
(IOPATH B1 Y (0.173:0.173:0.173) (0.051:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1586_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.199:0.199:0.199) (0.336:0.336:0.336))
(IOPATH A1 X (0.181:0.181:0.181) (0.338:0.338:0.338))
(IOPATH S X (0.283:0.283:0.283) (0.404:0.404:0.404))
(IOPATH S X (0.238:0.238:0.238) (0.387:0.387:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1587_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.204:0.204:0.204) (0.106:0.106:0.106))
(IOPATH A2 Y (0.250:0.250:0.250) (0.139:0.139:0.139))
(IOPATH B1 Y (0.180:0.180:0.181) (0.080:0.080:0.080))
(IOPATH B2 Y (0.213:0.213:0.213) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1588_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.362:0.362:0.362) (0.162:0.162:0.162))
(IOPATH A2 Y (0.318:0.330:0.343) (0.148:0.148:0.149))
(IOPATH B1 Y (0.159:0.163:0.166) (0.156:0.159:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1589_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.208:0.208:0.208) (0.251:0.251:0.251))
(IOPATH A2 X (0.200:0.200:0.200) (0.238:0.240:0.241))
(IOPATH B1 X (0.230:0.231:0.231) (0.190:0.198:0.206))
(IOPATH B2 X (0.193:0.193:0.193) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1590_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.301:0.301:0.301) (0.182:0.182:0.182))
(IOPATH A2 Y (0.291:0.302:0.313) (0.159:0.159:0.159))
(IOPATH B1 Y (0.245:0.245:0.246) (0.068:0.070:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1591_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.233:0.233:0.233) (0.363:0.363:0.363))
(IOPATH A1 X (0.220:0.220:0.220) (0.369:0.369:0.369))
(IOPATH S X (0.327:0.327:0.327) (0.437:0.437:0.437))
(IOPATH S X (0.281:0.281:0.281) (0.420:0.420:0.420))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1592_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.204:0.204:0.204) (0.107:0.107:0.107))
(IOPATH A2 Y (0.248:0.248:0.248) (0.139:0.139:0.139))
(IOPATH B1 Y (0.190:0.190:0.190) (0.092:0.092:0.092))
(IOPATH B2 Y (0.212:0.212:0.211) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1593_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.383:0.383:0.383) (0.170:0.170:0.170))
(IOPATH A2 Y (0.347:0.363:0.378) (0.174:0.176:0.178))
(IOPATH B1 Y (0.171:0.174:0.176) (0.162:0.166:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1594_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.259:0.259:0.259))
(IOPATH A2 X (0.205:0.205:0.205) (0.242:0.244:0.245))
(IOPATH B1 X (0.236:0.236:0.236) (0.194:0.202:0.210))
(IOPATH B2 X (0.195:0.195:0.195) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1595_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.300:0.300:0.300) (0.180:0.180:0.180))
(IOPATH A2 Y (0.297:0.308:0.319) (0.161:0.161:0.162))
(IOPATH B1 Y (0.251:0.252:0.252) (0.071:0.073:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1596_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.222:0.222:0.222) (0.354:0.354:0.354))
(IOPATH A1 X (0.209:0.209:0.209) (0.360:0.360:0.360))
(IOPATH S X (0.314:0.314:0.314) (0.428:0.428:0.428))
(IOPATH S X (0.269:0.269:0.269) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1597_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.209:0.209:0.209) (0.110:0.110:0.110))
(IOPATH A2 Y (0.252:0.252:0.252) (0.141:0.141:0.141))
(IOPATH B1 Y (0.191:0.191:0.191) (0.091:0.091:0.091))
(IOPATH B2 Y (0.215:0.215:0.215) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1598_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.392:0.392:0.392) (0.172:0.172:0.172))
(IOPATH A2 Y (0.357:0.371:0.386) (0.179:0.180:0.182))
(IOPATH B1 Y (0.176:0.178:0.181) (0.167:0.170:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1599_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.250:0.250:0.250))
(IOPATH A2 X (0.200:0.200:0.200) (0.239:0.240:0.241))
(IOPATH B1 X (0.231:0.231:0.231) (0.191:0.198:0.206))
(IOPATH B2 X (0.192:0.192:0.192) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1600_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.305:0.305:0.305) (0.181:0.181:0.181))
(IOPATH A2 Y (0.306:0.317:0.328) (0.165:0.165:0.165))
(IOPATH B1 Y (0.260:0.260:0.260) (0.071:0.073:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1601_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.232:0.232:0.232) (0.365:0.365:0.365))
(IOPATH A1 X (0.223:0.223:0.223) (0.372:0.372:0.372))
(IOPATH S X (0.332:0.332:0.332) (0.441:0.441:0.441))
(IOPATH S X (0.286:0.286:0.286) (0.424:0.424:0.424))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1602_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.214:0.214:0.214) (0.121:0.121:0.121))
(IOPATH A2 Y (0.248:0.248:0.248) (0.139:0.139:0.139))
(IOPATH B1 Y (0.192:0.192:0.193) (0.094:0.094:0.094))
(IOPATH B2 Y (0.212:0.212:0.212) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1603_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.385:0.385:0.385) (0.170:0.170:0.170))
(IOPATH A2 Y (0.351:0.365:0.379) (0.178:0.180:0.181))
(IOPATH B1 Y (0.176:0.176:0.177) (0.163:0.166:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1604_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.214:0.214:0.214) (0.257:0.257:0.257))
(IOPATH A2 X (0.204:0.204:0.204) (0.241:0.243:0.244))
(IOPATH B1 X (0.234:0.234:0.234) (0.193:0.201:0.209))
(IOPATH B2 X (0.197:0.197:0.197) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1605_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.298:0.298:0.298) (0.178:0.178:0.178))
(IOPATH A2 Y (0.297:0.308:0.319) (0.161:0.161:0.162))
(IOPATH B1 Y (0.251:0.251:0.252) (0.070:0.073:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1606_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.213:0.213:0.213) (0.347:0.347:0.347))
(IOPATH A1 X (0.200:0.200:0.200) (0.352:0.352:0.352))
(IOPATH S X (0.303:0.303:0.303) (0.419:0.419:0.419))
(IOPATH S X (0.257:0.257:0.257) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1607_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.230:0.230:0.230) (0.144:0.144:0.144))
(IOPATH A2 Y (0.248:0.248:0.248) (0.139:0.139:0.139))
(IOPATH B1 Y (0.184:0.184:0.184) (0.086:0.086:0.086))
(IOPATH B2 Y (0.211:0.211:0.211) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1608_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.411:0.411:0.411) (0.179:0.179:0.179))
(IOPATH A2 Y (0.376:0.390:0.404) (0.186:0.188:0.190))
(IOPATH B1 Y (0.185:0.190:0.195) (0.172:0.175:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1609_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.224:0.224:0.224) (0.265:0.265:0.265))
(IOPATH A2 X (0.210:0.210:0.210) (0.244:0.246:0.247))
(IOPATH B1 X (0.241:0.241:0.241) (0.196:0.204:0.212))
(IOPATH B2 X (0.197:0.197:0.197) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _1610_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.269:0.269:0.269) (0.146:0.146:0.146))
(IOPATH A2 Y (0.255:0.267:0.278) (0.132:0.132:0.132))
(IOPATH B1 Y (0.206:0.206:0.206) (0.058:0.060:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1611_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.255:0.255:0.255) (0.380:0.380:0.380))
(IOPATH A1 X (0.244:0.244:0.244) (0.386:0.386:0.386))
(IOPATH S X (0.349:0.349:0.349) (0.454:0.454:0.454))
(IOPATH S X (0.303:0.303:0.303) (0.436:0.436:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _1612_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.213:0.213:0.213) (0.119:0.119:0.119))
(IOPATH A2 Y (0.249:0.249:0.249) (0.139:0.139:0.139))
(IOPATH B1 Y (0.198:0.198:0.198) (0.098:0.098:0.098))
(IOPATH B2 Y (0.212:0.212:0.212) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1613_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.386:0.386:0.386) (0.170:0.170:0.170))
(IOPATH A2 Y (0.345:0.358:0.371) (0.166:0.167:0.167))
(IOPATH B1 Y (0.176:0.177:0.179) (0.164:0.167:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.373:0.373:0.373) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.387:0.387:0.387) (0.360:0.360:0.360))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1616_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.315:0.315:0.315) (0.376:0.376:0.376))
(IOPATH A1 X (0.295:0.295:0.295) (0.371:0.371:0.371))
(IOPATH S X (0.393:0.393:0.393) (0.478:0.478:0.478))
(IOPATH S X (0.345:0.345:0.345) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1617_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.333:0.333:0.333) (0.328:0.328:0.328))
(IOPATH A2 X (0.342:0.342:0.342) (0.375:0.375:0.375))
(IOPATH B1 X (0.317:0.317:0.317) (0.307:0.308:0.308))
(IOPATH B2 X (0.326:0.326:0.326) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1618_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.303:0.303:0.303) (0.370:0.370:0.370))
(IOPATH A1 X (0.289:0.289:0.289) (0.368:0.368:0.368))
(IOPATH S X (0.387:0.387:0.387) (0.475:0.475:0.475))
(IOPATH S X (0.339:0.339:0.339) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1619_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.367:0.367:0.367) (0.347:0.347:0.347))
(IOPATH A2 X (0.368:0.368:0.368) (0.389:0.389:0.389))
(IOPATH B1 X (0.341:0.341:0.341) (0.319:0.320:0.320))
(IOPATH B2 X (0.353:0.353:0.353) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1620_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.304:0.304:0.304) (0.372:0.372:0.372))
(IOPATH A1 X (0.274:0.274:0.274) (0.361:0.361:0.361))
(IOPATH S X (0.375:0.375:0.375) (0.469:0.469:0.469))
(IOPATH S X (0.327:0.327:0.327) (0.395:0.395:0.395))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1621_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.345:0.345:0.345) (0.340:0.340:0.340))
(IOPATH A2 X (0.382:0.382:0.382) (0.396:0.396:0.396))
(IOPATH B1 X (0.350:0.350:0.350) (0.322:0.323:0.323))
(IOPATH B2 X (0.367:0.367:0.367) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1622_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.317:0.317:0.317) (0.379:0.379:0.379))
(IOPATH A1 X (0.291:0.291:0.291) (0.370:0.370:0.370))
(IOPATH S X (0.387:0.387:0.387) (0.475:0.475:0.475))
(IOPATH S X (0.339:0.339:0.339) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1623_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.328:0.328:0.328) (0.324:0.324:0.324))
(IOPATH A2 X (0.350:0.350:0.350) (0.380:0.380:0.380))
(IOPATH B1 X (0.322:0.322:0.322) (0.309:0.309:0.309))
(IOPATH B2 X (0.334:0.334:0.334) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1624_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.327:0.327:0.327) (0.383:0.383:0.383))
(IOPATH A1 X (0.314:0.314:0.314) (0.382:0.382:0.382))
(IOPATH S X (0.412:0.412:0.412) (0.489:0.489:0.489))
(IOPATH S X (0.363:0.363:0.363) (0.414:0.414:0.414))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1625_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.312:0.312:0.312) (0.316:0.316:0.316))
(IOPATH A2 X (0.325:0.325:0.325) (0.366:0.366:0.366))
(IOPATH B1 X (0.305:0.305:0.306) (0.301:0.302:0.302))
(IOPATH B2 X (0.310:0.310:0.310) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1626_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.429:0.429:0.429) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_4")
(INSTANCE _1627_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.268:0.268:0.268) (0.362:0.362:0.362))
(IOPATH A1 X (0.251:0.251:0.251) (0.342:0.342:0.342))
(IOPATH S X (0.340:0.340:0.340) (0.423:0.423:0.423))
(IOPATH S X (0.321:0.321:0.321) (0.420:0.420:0.420))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1628_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.318:0.318:0.318) (0.318:0.318:0.318))
(IOPATH A2 X (0.346:0.346:0.346) (0.378:0.378:0.378))
(IOPATH B1 X (0.306:0.306:0.306) (0.299:0.300:0.301))
(IOPATH B2 X (0.331:0.331:0.331) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1629_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.366:0.366:0.366) (0.404:0.404:0.404))
(IOPATH A1 X (0.356:0.356:0.356) (0.404:0.404:0.404))
(IOPATH S X (0.463:0.463:0.463) (0.520:0.520:0.520))
(IOPATH S X (0.420:0.420:0.420) (0.446:0.446:0.446))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1630_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.304:0.304:0.304) (0.309:0.309:0.309))
(IOPATH A2 X (0.345:0.345:0.345) (0.377:0.377:0.377))
(IOPATH B1 X (0.336:0.336:0.336) (0.322:0.322:0.323))
(IOPATH B2 X (0.330:0.330:0.330) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1631_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.364:0.364:0.364) (0.403:0.403:0.403))
(IOPATH A1 X (0.344:0.344:0.344) (0.398:0.398:0.398))
(IOPATH S X (0.454:0.454:0.454) (0.516:0.516:0.516))
(IOPATH S X (0.411:0.411:0.411) (0.442:0.442:0.442))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1632_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.305:0.305:0.305) (0.311:0.311:0.311))
(IOPATH A2 X (0.334:0.334:0.334) (0.372:0.372:0.372))
(IOPATH B1 X (0.324:0.324:0.324) (0.314:0.315:0.315))
(IOPATH B2 X (0.319:0.319:0.319) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1633_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.252:0.252:0.252))
(IOPATH A1 X (0.140:0.140:0.140) (0.253:0.253:0.253))
(IOPATH S X (0.207:0.207:0.207) (0.321:0.321:0.321))
(IOPATH S X (0.141:0.141:0.141) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_1")
(INSTANCE _1634_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.296:0.297:0.297) (0.201:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _1635_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.215:0.215:0.215) (0.321:0.321:0.321))
(IOPATH A1 X (0.275:0.275:0.275) (0.350:0.350:0.350))
(IOPATH S X (0.331:0.331:0.331) (0.425:0.425:0.425))
(IOPATH S X (0.266:0.266:0.266) (0.367:0.367:0.367))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _1636_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.424:0.424:0.424) (0.299:0.300:0.300))
(IOPATH B X (0.445:0.445:0.445) (0.357:0.357:0.357))
(IOPATH C X (0.404:0.404:0.405) (0.329:0.329:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1637_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.309:0.309:0.309) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1638_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.229:0.229:0.229) (0.130:0.130:0.130))
(IOPATH B Y (0.222:0.222:0.222) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.145:0.145:0.145))
(IOPATH B X (0.157:0.157:0.157) (0.172:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1640_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1641_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.350:0.350:0.350) (0.153:0.153:0.153))
(IOPATH B Y (0.327:0.327:0.327) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1642_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.342:0.345:0.348) (0.141:0.141:0.142))
(IOPATH B Y (0.313:0.316:0.318) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1643_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.235:0.235:0.235))
(IOPATH B X (0.247:0.247:0.247) (0.219:0.227:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1644_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.252:0.252) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.331:0.331:0.331) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1646_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.222:0.222:0.223) (0.202:0.202:0.203))
(IOPATH B X (0.170:0.170:0.170) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1647_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1648_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.390:0.391:0.392) (0.111:0.111:0.112))
(IOPATH B Y (0.375:0.375:0.376) (0.129:0.129:0.129))
(IOPATH C Y (0.346:0.346:0.346) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1649_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.304:0.304:0.304) (0.103:0.103:0.103))
(IOPATH B Y (0.276:0.280:0.284) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1650_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.216:0.216:0.216))
(IOPATH B X (0.208:0.208:0.208) (0.212:0.213:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1651_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1652_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.091:0.091:0.091) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1653_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.112) (0.087:0.087:0.087))
(IOPATH B Y (0.135:0.135:0.135) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _1654_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.572:0.572:0.572))
(IOPATH B X (0.173:0.173:0.173) (0.516:0.516:0.516))
(IOPATH C X (0.179:0.180:0.182) (0.487:0.488:0.490))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1655_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.125:0.125:0.125) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1656_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.217:0.217:0.217) (0.118:0.118:0.118))
(IOPATH A2 Y (0.218:0.218:0.218) (0.096:0.096:0.096))
(IOPATH B1 Y (0.240:0.240:0.240) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _1657_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.462:0.462:0.462) (0.160:0.160:0.160))
(IOPATH B Y (0.442:0.442:0.442) (0.103:0.103:0.103))
(IOPATH C Y (0.387:0.393:0.399) (0.125:0.128:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1658_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.108:0.118) (0.095:0.095:0.096))
(IOPATH B Y (0.104:0.111:0.119) (0.146:0.146:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1659_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.166:0.166:0.166) (0.095:0.095:0.095))
(IOPATH B Y (0.119:0.127:0.134) (0.052:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1660_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.276:0.276:0.276))
(IOPATH A1 X (0.165:0.165:0.165) (0.303:0.304:0.305))
(IOPATH S X (0.179:0.187:0.194) (0.319:0.320:0.320))
(IOPATH S X (0.138:0.139:0.139) (0.292:0.298:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1661_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1662_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.103:0.103:0.103))
(IOPATH A X (0.196:0.196:0.196) (0.181:0.181:0.181))
(IOPATH B X (0.173:0.173:0.173) (0.079:0.079:0.079))
(IOPATH B X (0.185:0.185:0.185) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1663_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.248:0.248:0.248))
(IOPATH B X (0.136:0.136:0.136) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _1664_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1665_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.297:0.297:0.297) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1666_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.146:0.147:0.149) (0.280:0.284:0.287))
(IOPATH S X (0.212:0.212:0.212) (0.337:0.337:0.337))
(IOPATH S X (0.166:0.166:0.166) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1667_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1668_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.099:0.099:0.099))
(IOPATH A X (0.190:0.190:0.190) (0.177:0.177:0.177))
(IOPATH B X (0.167:0.167:0.167) (0.075:0.075:0.075))
(IOPATH B X (0.179:0.179:0.179) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1669_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH A1 X (0.158:0.159:0.161) (0.296:0.299:0.301))
(IOPATH S X (0.225:0.225:0.225) (0.353:0.353:0.353))
(IOPATH S X (0.179:0.179:0.179) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1670_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1671_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271))
(IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289))
(IOPATH S X (0.213:0.213:0.213) (0.337:0.337:0.337))
(IOPATH S X (0.166:0.166:0.166) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1672_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1673_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.279:0.279:0.279))
(IOPATH A1 X (0.151:0.151:0.151) (0.297:0.297:0.297))
(IOPATH S X (0.218:0.218:0.218) (0.344:0.344:0.344))
(IOPATH S X (0.171:0.171:0.171) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1674_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1675_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.280:0.280:0.280))
(IOPATH A1 X (0.149:0.149:0.149) (0.297:0.297:0.297))
(IOPATH S X (0.220:0.220:0.220) (0.346:0.346:0.346))
(IOPATH S X (0.173:0.173:0.173) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1676_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1677_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.131:0.131:0.131) (0.282:0.282:0.282))
(IOPATH S X (0.212:0.212:0.212) (0.337:0.337:0.337))
(IOPATH S X (0.165:0.165:0.165) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1678_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1679_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.110:0.110:0.110) (0.270:0.270:0.270))
(IOPATH A1 X (0.134:0.134:0.134) (0.284:0.284:0.284))
(IOPATH S X (0.213:0.213:0.213) (0.338:0.338:0.338))
(IOPATH S X (0.166:0.166:0.166) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1680_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.302:0.302:0.302) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1682_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.116:0.116:0.116) (0.277:0.277:0.277))
(IOPATH A1 X (0.132:0.132:0.132) (0.287:0.287:0.287))
(IOPATH S X (0.219:0.219:0.219) (0.345:0.345:0.345))
(IOPATH S X (0.172:0.172:0.172) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1683_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1684_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.274:0.274:0.274))
(IOPATH A1 X (0.139:0.139:0.139) (0.287:0.287:0.287))
(IOPATH S X (0.215:0.215:0.215) (0.340:0.340:0.340))
(IOPATH S X (0.169:0.169:0.169) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1686_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.276:0.276:0.276))
(IOPATH A1 X (0.137:0.137:0.137) (0.288:0.288:0.288))
(IOPATH S X (0.217:0.217:0.217) (0.343:0.343:0.343))
(IOPATH S X (0.171:0.171:0.171) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1687_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1688_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.277:0.277:0.277))
(IOPATH A1 X (0.145:0.145:0.145) (0.292:0.292:0.292))
(IOPATH S X (0.218:0.218:0.218) (0.343:0.343:0.343))
(IOPATH S X (0.171:0.171:0.171) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1690_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH A1 X (0.148:0.148:0.148) (0.300:0.300:0.300))
(IOPATH S X (0.226:0.226:0.226) (0.354:0.354:0.354))
(IOPATH S X (0.180:0.180:0.180) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1691_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1692_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.274:0.274:0.274))
(IOPATH A1 X (0.147:0.147:0.147) (0.292:0.292:0.292))
(IOPATH S X (0.214:0.214:0.214) (0.339:0.339:0.339))
(IOPATH S X (0.168:0.168:0.168) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1693_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1694_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.272:0.272:0.272))
(IOPATH A1 X (0.147:0.147:0.147) (0.292:0.292:0.292))
(IOPATH S X (0.213:0.213:0.213) (0.338:0.338:0.338))
(IOPATH S X (0.167:0.167:0.167) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1695_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1696_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.273:0.273:0.273))
(IOPATH A1 X (0.136:0.136:0.136) (0.286:0.286:0.286))
(IOPATH S X (0.215:0.215:0.215) (0.340:0.340:0.340))
(IOPATH S X (0.168:0.168:0.168) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1697_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1698_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.110:0.110:0.110) (0.271:0.271:0.271))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.213:0.213:0.213) (0.338:0.338:0.338))
(IOPATH S X (0.167:0.167:0.167) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1699_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1700_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.133:0.133:0.133) (0.282:0.282:0.282))
(IOPATH S X (0.212:0.212:0.212) (0.337:0.337:0.337))
(IOPATH S X (0.166:0.166:0.166) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1701_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1702_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.283:0.283:0.283))
(IOPATH A1 X (0.150:0.150:0.150) (0.299:0.299:0.299))
(IOPATH S X (0.242:0.242:0.242) (0.350:0.350:0.350))
(IOPATH S X (0.176:0.176:0.176) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1703_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1704_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.368:0.368:0.368) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _1705_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.276:0.276:0.276) (0.233:0.233:0.233))
(IOPATH B X (0.227:0.227:0.227) (0.203:0.203:0.204))
(IOPATH C X (0.244:0.244:0.244) (0.252:0.252:0.252))
(IOPATH D X (0.260:0.260:0.260) (0.214:0.219:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.081:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1707_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.276:0.276:0.276))
(IOPATH A1 X (0.172:0.172:0.172) (0.311:0.311:0.311))
(IOPATH S X (0.220:0.220:0.220) (0.344:0.344:0.344))
(IOPATH S X (0.173:0.173:0.173) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1708_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.177:0.177:0.177))
(IOPATH B X (0.130:0.130:0.130) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1709_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.097) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1710_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.281:0.281:0.281))
(IOPATH A1 X (0.159:0.159:0.159) (0.304:0.304:0.304))
(IOPATH S X (0.225:0.225:0.225) (0.350:0.350:0.350))
(IOPATH S X (0.178:0.178:0.178) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1711_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.171:0.171:0.171))
(IOPATH B X (0.119:0.119:0.119) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1712_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1713_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.283:0.283:0.283))
(IOPATH A1 X (0.148:0.148:0.148) (0.296:0.296:0.296))
(IOPATH S X (0.223:0.223:0.223) (0.348:0.348:0.348))
(IOPATH S X (0.176:0.176:0.176) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1714_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.167:0.167:0.167))
(IOPATH B X (0.115:0.115:0.115) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1715_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.088:0.088) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1716_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.340:0.340:0.340) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1717_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271))
(IOPATH A1 X (0.127:0.127:0.127) (0.281:0.281:0.281))
(IOPATH S X (0.217:0.217:0.217) (0.340:0.340:0.340))
(IOPATH S X (0.169:0.169:0.169) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1718_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.187:0.187:0.187))
(IOPATH B X (0.125:0.125:0.125) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1719_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1720_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.274:0.274:0.274))
(IOPATH A1 X (0.123:0.123:0.123) (0.281:0.281:0.281))
(IOPATH S X (0.219:0.219:0.219) (0.342:0.342:0.342))
(IOPATH S X (0.171:0.171:0.171) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1721_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.179:0.179:0.179))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1722_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1723_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.278:0.278:0.278))
(IOPATH A1 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH S X (0.221:0.221:0.221) (0.345:0.345:0.345))
(IOPATH S X (0.174:0.174:0.174) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1724_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.186:0.186:0.186))
(IOPATH B X (0.123:0.123:0.123) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1725_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1726_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.109:0.109:0.109) (0.269:0.269:0.269))
(IOPATH A1 X (0.125:0.125:0.125) (0.279:0.279:0.279))
(IOPATH S X (0.215:0.215:0.215) (0.338:0.338:0.338))
(IOPATH S X (0.168:0.168:0.168) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1727_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.180:0.180:0.180))
(IOPATH B X (0.118:0.118:0.118) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1728_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1729_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.110:0.110:0.110) (0.270:0.270:0.270))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.215:0.215:0.215) (0.338:0.338:0.338))
(IOPATH S X (0.168:0.168:0.168) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1730_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.180:0.180:0.180))
(IOPATH B X (0.119:0.119:0.119) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1731_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1732_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.163:0.163:0.163) (0.295:0.295:0.295))
(IOPATH A1 X (0.153:0.153:0.153) (0.295:0.295:0.295))
(IOPATH S X (0.291:0.291:0.291) (0.368:0.368:0.368))
(IOPATH S X (0.222:0.222:0.222) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1733_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.178:0.178:0.178))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1734_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1735_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275))
(IOPATH A1 X (0.152:0.152:0.152) (0.297:0.297:0.297))
(IOPATH S X (0.295:0.295:0.295) (0.373:0.373:0.373))
(IOPATH S X (0.227:0.227:0.227) (0.393:0.393:0.393))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1736_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.181:0.181:0.181))
(IOPATH B X (0.125:0.125:0.125) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1737_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1738_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.280:0.280:0.280))
(IOPATH A1 X (0.144:0.144:0.144) (0.292:0.292:0.292))
(IOPATH S X (0.297:0.297:0.297) (0.376:0.376:0.376))
(IOPATH S X (0.230:0.230:0.230) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1739_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.179:0.179:0.179))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1740_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1741_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.274:0.274:0.274))
(IOPATH A1 X (0.139:0.139:0.139) (0.287:0.287:0.287))
(IOPATH S X (0.293:0.293:0.293) (0.372:0.372:0.372))
(IOPATH S X (0.225:0.225:0.225) (0.391:0.391:0.391))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1742_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.189:0.189:0.189))
(IOPATH B X (0.127:0.127:0.127) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1743_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.088:0.088) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1744_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.273:0.273:0.273))
(IOPATH A1 X (0.140:0.140:0.140) (0.287:0.287:0.287))
(IOPATH S X (0.292:0.292:0.292) (0.371:0.371:0.371))
(IOPATH S X (0.224:0.224:0.224) (0.390:0.390:0.390))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1745_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.181:0.181:0.181))
(IOPATH B X (0.117:0.117:0.117) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1746_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1747_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.342:0.342:0.342) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1748_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.198:0.198:0.198) (0.323:0.323:0.323))
(IOPATH S X (0.143:0.143:0.143) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1749_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.169:0.169:0.169))
(IOPATH B X (0.116:0.116:0.116) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1751_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.116:0.116:0.116) (0.277:0.277:0.277))
(IOPATH A1 X (0.155:0.155:0.155) (0.300:0.300:0.300))
(IOPATH S X (0.204:0.204:0.204) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1752_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.174:0.174:0.174))
(IOPATH B X (0.124:0.124:0.124) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1753_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1754_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH A1 X (0.175:0.175:0.175) (0.318:0.318:0.318))
(IOPATH S X (0.306:0.306:0.306) (0.387:0.387:0.387))
(IOPATH S X (0.240:0.240:0.240) (0.407:0.407:0.407))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1755_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.176:0.176:0.176))
(IOPATH B X (0.125:0.125:0.125) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1756_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.092) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1757_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.342:0.342:0.342) (0.343:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1758_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.162:0.162:0.162))
(IOPATH B X (0.166:0.166:0.166) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1759_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1760_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.246:0.246:0.246) (0.508:0.508:0.508))
(IOPATH A1 X (0.278:0.278:0.278) (0.534:0.534:0.534))
(IOPATH A2 X (0.260:0.260:0.260) (0.514:0.514:0.514))
(IOPATH A3 X (0.230:0.230:0.230) (0.485:0.485:0.485))
(IOPATH S0 X (0.392:0.392:0.392) (0.605:0.605:0.605))
(IOPATH S0 X (0.307:0.307:0.307) (0.504:0.504:0.504))
(IOPATH S1 X (0.253:0.253:0.253) (0.335:0.335:0.335))
(IOPATH S1 X (0.208:0.208:0.208) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1761_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.251:0.251:0.251) (0.514:0.514:0.514))
(IOPATH A1 X (0.249:0.249:0.249) (0.519:0.519:0.519))
(IOPATH A2 X (0.256:0.256:0.256) (0.514:0.514:0.514))
(IOPATH A3 X (0.258:0.258:0.258) (0.505:0.505:0.505))
(IOPATH S0 X (0.398:0.398:0.398) (0.611:0.611:0.611))
(IOPATH S0 X (0.313:0.313:0.313) (0.511:0.511:0.511))
(IOPATH S1 X (0.258:0.258:0.258) (0.341:0.341:0.341))
(IOPATH S1 X (0.214:0.214:0.214) (0.273:0.273:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1762_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.194:0.195:0.196) (0.346:0.350:0.353))
(IOPATH A1 X (0.198:0.199:0.200) (0.359:0.362:0.365))
(IOPATH S X (0.300:0.300:0.300) (0.403:0.403:0.403))
(IOPATH S X (0.238:0.238:0.238) (0.394:0.394:0.394))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1763_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.072:0.072) (0.045:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _1764_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.252:0.252:0.252) (0.521:0.521:0.521))
(IOPATH A1 X (0.278:0.278:0.278) (0.544:0.544:0.544))
(IOPATH A2 X (0.258:0.258:0.258) (0.522:0.522:0.522))
(IOPATH A3 X (0.264:0.264:0.264) (0.515:0.515:0.515))
(IOPATH S0 X (0.414:0.414:0.414) (0.628:0.628:0.628))
(IOPATH S0 X (0.330:0.330:0.330) (0.528:0.528:0.528))
(IOPATH S1 X (0.275:0.275:0.275) (0.357:0.357:0.357))
(IOPATH S1 X (0.230:0.230:0.230) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1765_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.291:0.291:0.291))
(IOPATH A1 X (0.146:0.146:0.146) (0.303:0.303:0.303))
(IOPATH S X (0.261:0.261:0.261) (0.362:0.362:0.362))
(IOPATH S X (0.201:0.201:0.201) (0.362:0.362:0.362))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _1766_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.249:0.249:0.249) (0.229:0.229:0.229))
(IOPATH B X (0.133:0.133:0.133) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _1767_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.222:0.222:0.222))
(IOPATH A2 X (0.117:0.117:0.117) (0.196:0.196:0.196))
(IOPATH B1_N X (0.220:0.220:0.220) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _1768_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.241:0.241:0.241) (0.303:0.303:0.303))
(IOPATH A2 X (0.181:0.182:0.182) (0.246:0.246:0.246))
(IOPATH B1 X (0.179:0.179:0.179) (0.222:0.222:0.222))
(IOPATH B2 X (0.173:0.174:0.174) (0.205:0.205:0.206))
(IOPATH C1 X (0.216:0.216:0.216) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1769_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.073:0.073:0.073) (0.045:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _1770_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.307:0.307:0.307))
(IOPATH A2 X (0.197:0.198:0.199) (0.361:0.364:0.367))
(IOPATH B1 X (0.169:0.170:0.170) (0.321:0.323:0.325))
(IOPATH C1 X (0.158:0.158:0.158) (0.282:0.282:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_4")
(INSTANCE _1771_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.295:0.295:0.295) (0.141:0.141:0.141))
(IOPATH A2 Y (0.257:0.257:0.257) (0.108:0.108:0.109))
(IOPATH B1 Y (0.132:0.133:0.133) (0.117:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1772_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.262:0.280) (0.205:0.208:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _1773_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.128:0.128:0.128))
(IOPATH A Y (0.155:0.155:0.155) (0.072:0.072:0.072))
(IOPATH B Y (0.142:0.142:0.142) (0.140:0.140:0.140))
(IOPATH B Y (0.150:0.150:0.150) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _1774_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.211:0.211:0.211) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_4")
(INSTANCE _1775_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.668:0.668:0.668))
(IOPATH B X (0.216:0.216:0.217) (0.635:0.635:0.635))
(IOPATH C X (0.241:0.241:0.241) (0.634:0.634:0.634))
(IOPATH D_N X (0.299:0.299:0.299) (0.577:0.578:0.578))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1776_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.305:0.305:0.305))
(IOPATH A1 X (0.124:0.124:0.124) (0.287:0.287:0.287))
(IOPATH S X (0.232:0.232:0.232) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1777_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1778_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.165:0.165) (0.314:0.314:0.314))
(IOPATH A1 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH S X (0.230:0.230:0.230) (0.323:0.323:0.323))
(IOPATH S X (0.143:0.143:0.144) (0.332:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1779_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1780_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.168:0.168:0.168) (0.316:0.316:0.316))
(IOPATH A1 X (0.130:0.130:0.130) (0.295:0.295:0.295))
(IOPATH S X (0.237:0.237:0.238) (0.332:0.332:0.332))
(IOPATH S X (0.151:0.151:0.151) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1781_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1782_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.179:0.179:0.179) (0.310:0.310:0.310))
(IOPATH A1 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH S X (0.231:0.231:0.231) (0.324:0.324:0.324))
(IOPATH S X (0.144:0.144:0.144) (0.333:0.333:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1783_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _1784_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.071:0.071:0.071) (0.061:0.061:0.061))
(IOPATH B Y (0.079:0.082:0.086) (0.067:0.077:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1785_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.152:0.152:0.152) (0.077:0.077:0.077))
(IOPATH A2 Y (0.134:0.134:0.134) (0.059:0.059:0.059))
(IOPATH B1 Y (0.137:0.137:0.137) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _1786_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.311:0.311:0.311) (0.336:0.336:0.336))
(IOPATH A2 X (0.265:0.265:0.266) (0.305:0.305:0.305))
(IOPATH B1 X (0.280:0.280:0.281) (0.220:0.221:0.222))
(IOPATH C1 X (0.268:0.268:0.268) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1787_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.189:0.189:0.189) (0.333:0.333:0.333))
(IOPATH A1 X (0.125:0.125:0.125) (0.288:0.288:0.288))
(IOPATH S X (0.217:0.219:0.221) (0.335:0.335:0.335))
(IOPATH S X (0.160:0.160:0.160) (0.322:0.324:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1788_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.274:0.274:0.274))
(IOPATH B X (0.102:0.102:0.102) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1789_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1790_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.174:0.174:0.174) (0.313:0.313:0.313))
(IOPATH A1 X (0.126:0.126:0.126) (0.289:0.289:0.289))
(IOPATH S X (0.216:0.218:0.220) (0.334:0.334:0.334))
(IOPATH S X (0.159:0.159:0.160) (0.322:0.323:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1791_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.180:0.180:0.180))
(IOPATH B X (0.137:0.137:0.137) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1792_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.092:0.092) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1793_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.165:0.165) (0.310:0.310:0.310))
(IOPATH A1 X (0.133:0.133:0.133) (0.298:0.298:0.298))
(IOPATH S X (0.224:0.226:0.228) (0.344:0.344:0.344))
(IOPATH S X (0.167:0.167:0.168) (0.332:0.333:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _1794_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.264:0.264:0.264))
(IOPATH B X (0.090:0.090:0.090) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1795_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1796_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.180:0.180:0.180) (0.320:0.320:0.320))
(IOPATH A1 X (0.140:0.140:0.140) (0.304:0.304:0.304))
(IOPATH S X (0.227:0.229:0.231) (0.348:0.348:0.348))
(IOPATH S X (0.170:0.170:0.171) (0.335:0.337:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1797_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.178:0.178:0.178))
(IOPATH B X (0.131:0.131:0.131) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1798_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.094:0.094) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _1799_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.372:0.372:0.372))
(IOPATH B X (0.222:0.222:0.222) (0.370:0.370:0.370))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1800_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.170:0.170:0.170) (0.097:0.097:0.097))
(IOPATH B Y (0.158:0.158:0.158) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1801_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.225:0.225:0.225) (0.142:0.142:0.142))
(IOPATH A2 Y (0.228:0.228:0.228) (0.122:0.122:0.122))
(IOPATH B1 Y (0.192:0.194:0.197) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _1802_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.472:0.472:0.472))
(IOPATH B X (0.242:0.242:0.242) (0.467:0.467:0.467))
(IOPATH C X (0.179:0.179:0.179) (0.387:0.387:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111o_1")
(INSTANCE _1803_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.234:0.234) (0.442:0.442:0.442))
(IOPATH A2 X (0.201:0.201:0.201) (0.449:0.452:0.455))
(IOPATH B1 X (0.178:0.180:0.182) (0.434:0.439:0.444))
(IOPATH C1 X (0.158:0.158:0.158) (0.396:0.396:0.396))
(IOPATH D1 X (0.158:0.158:0.158) (0.355:0.355:0.355))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _1804_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.274:0.275:0.275) (0.214:0.215:0.216))
(IOPATH B X (0.224:0.225:0.225) (0.218:0.219:0.219))
(IOPATH C X (0.264:0.264:0.264) (0.233:0.242:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1805_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.084:0.084) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1806_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.050:0.050:0.050))
(IOPATH B Y (0.128:0.128:0.129) (0.058:0.059:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _1807_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.202:0.202:0.202))
(IOPATH A2 X (0.125:0.126:0.126) (0.167:0.168:0.169))
(IOPATH B1_N X (0.198:0.200:0.201) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _1808_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.226:0.229:0.231))
(IOPATH A2 X (0.210:0.211:0.212) (0.261:0.262:0.262))
(IOPATH A3 X (0.249:0.249:0.249) (0.272:0.279:0.287))
(IOPATH B1 X (0.157:0.158:0.159) (0.233:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1809_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.188:0.188:0.188))
(IOPATH A2 X (0.114:0.114:0.114) (0.152:0.154:0.155))
(IOPATH B1 X (0.123:0.124:0.125) (0.103:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1810_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.125:0.125:0.125) (0.068:0.068:0.068))
(IOPATH B Y (0.109:0.112:0.114) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _1811_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.219:0.219:0.219))
(IOPATH A2 X (0.145:0.146:0.147) (0.229:0.229:0.230))
(IOPATH B1 X (0.124:0.125:0.125) (0.169:0.170:0.171))
(IOPATH B2 X (0.134:0.134:0.135) (0.196:0.196:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.336:0.336:0.336) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _1813_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.082:0.082:0.082))
(IOPATH A X (0.189:0.189:0.189) (0.167:0.167:0.167))
(IOPATH B X (0.182:0.182:0.182) (0.087:0.087:0.087))
(IOPATH B X (0.202:0.202:0.202) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1814_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.286:0.288:0.290) (0.213:0.216:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1815_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.326:0.326:0.326) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1816_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.116:0.116:0.116) (0.266:0.266:0.266))
(IOPATH A1 X (0.126:0.126:0.126) (0.288:0.288:0.288))
(IOPATH S X (0.221:0.221:0.221) (0.344:0.344:0.344))
(IOPATH S X (0.176:0.176:0.176) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1817_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.182:0.182:0.182))
(IOPATH B X (0.112:0.112:0.112) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1818_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1819_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.277:0.277:0.277))
(IOPATH A1 X (0.124:0.124:0.124) (0.287:0.287:0.287))
(IOPATH S X (0.222:0.222:0.222) (0.345:0.345:0.345))
(IOPATH S X (0.177:0.177:0.177) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1820_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.184:0.184:0.184))
(IOPATH B X (0.112:0.112:0.112) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1821_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1822_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.271:0.271:0.271))
(IOPATH A1 X (0.125:0.125:0.125) (0.287:0.287:0.287))
(IOPATH S X (0.221:0.221:0.221) (0.343:0.343:0.343))
(IOPATH S X (0.176:0.176:0.176) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1823_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.200:0.200:0.200))
(IOPATH B X (0.143:0.143:0.143) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1824_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1825_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.265:0.265:0.265))
(IOPATH A1 X (0.125:0.125:0.125) (0.287:0.287:0.287))
(IOPATH S X (0.222:0.222:0.222) (0.344:0.344:0.344))
(IOPATH S X (0.176:0.176:0.176) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1826_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.189:0.189:0.189))
(IOPATH B X (0.119:0.119:0.119) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1827_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1828_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.271:0.271:0.271))
(IOPATH A1 X (0.127:0.127:0.127) (0.291:0.291:0.291))
(IOPATH S X (0.225:0.225:0.225) (0.347:0.347:0.347))
(IOPATH S X (0.180:0.180:0.180) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1829_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.186:0.186:0.186))
(IOPATH B X (0.116:0.116:0.116) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1831_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.275:0.275:0.275))
(IOPATH A1 X (0.128:0.128:0.128) (0.294:0.294:0.294))
(IOPATH S X (0.228:0.228:0.228) (0.352:0.352:0.352))
(IOPATH S X (0.183:0.183:0.183) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1832_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.186:0.186:0.186))
(IOPATH B X (0.116:0.116:0.116) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1833_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1834_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.336:0.336:0.336) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1835_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.279:0.279:0.279))
(IOPATH A1 X (0.133:0.133:0.133) (0.298:0.298:0.298))
(IOPATH S X (0.230:0.230:0.230) (0.354:0.354:0.354))
(IOPATH S X (0.185:0.185:0.185) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1836_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.177:0.177:0.177))
(IOPATH B X (0.117:0.117:0.117) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1837_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1838_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.270:0.270:0.270))
(IOPATH A1 X (0.127:0.127:0.127) (0.291:0.291:0.291))
(IOPATH S X (0.225:0.225:0.225) (0.347:0.347:0.347))
(IOPATH S X (0.180:0.180:0.180) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1839_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.183:0.183:0.183))
(IOPATH B X (0.128:0.128:0.128) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1840_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1841_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.275:0.275:0.275))
(IOPATH A1 X (0.129:0.129:0.129) (0.294:0.294:0.294))
(IOPATH S X (0.228:0.228:0.228) (0.351:0.351:0.351))
(IOPATH S X (0.183:0.183:0.183) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1842_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.184:0.184:0.184))
(IOPATH B X (0.127:0.127:0.127) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1843_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1844_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.268:0.268:0.268))
(IOPATH A1 X (0.130:0.130:0.130) (0.291:0.291:0.291))
(IOPATH S X (0.223:0.223:0.223) (0.345:0.345:0.345))
(IOPATH S X (0.178:0.178:0.178) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1845_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.173:0.173:0.173))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1846_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1847_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.330:0.330:0.330) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1848_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.285:0.285:0.285))
(IOPATH A1 X (0.139:0.139:0.139) (0.304:0.304:0.304))
(IOPATH S X (0.235:0.235:0.235) (0.361:0.361:0.361))
(IOPATH S X (0.191:0.191:0.191) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1849_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.176:0.176:0.176))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1850_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1851_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.275:0.275:0.275))
(IOPATH A1 X (0.131:0.131:0.131) (0.294:0.294:0.294))
(IOPATH S X (0.226:0.226:0.226) (0.349:0.349:0.349))
(IOPATH S X (0.181:0.181:0.181) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1852_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.185:0.185:0.185))
(IOPATH B X (0.127:0.127:0.127) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1853_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1854_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.275:0.275:0.275))
(IOPATH A1 X (0.130:0.130:0.130) (0.293:0.293:0.293))
(IOPATH S X (0.227:0.227:0.227) (0.350:0.350:0.350))
(IOPATH S X (0.182:0.182:0.182) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1855_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.180:0.180:0.180))
(IOPATH B X (0.124:0.124:0.124) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1856_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1857_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.270:0.270:0.270))
(IOPATH A1 X (0.123:0.123:0.123) (0.288:0.288:0.288))
(IOPATH S X (0.225:0.225:0.225) (0.347:0.347:0.347))
(IOPATH S X (0.180:0.180:0.180) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1858_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.173:0.173:0.173))
(IOPATH B X (0.112:0.112:0.112) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1859_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1860_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.272:0.272:0.272))
(IOPATH A1 X (0.130:0.130:0.130) (0.293:0.293:0.293))
(IOPATH S X (0.227:0.227:0.227) (0.350:0.350:0.350))
(IOPATH S X (0.182:0.182:0.182) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1861_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.191:0.191:0.191))
(IOPATH B X (0.148:0.148:0.148) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1862_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1863_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.278:0.278:0.278))
(IOPATH A1 X (0.133:0.133:0.133) (0.297:0.297:0.297))
(IOPATH S X (0.231:0.231:0.231) (0.355:0.355:0.355))
(IOPATH S X (0.186:0.186:0.186) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1864_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.172:0.172:0.172))
(IOPATH B X (0.112:0.112:0.112) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1865_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1866_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.335:0.335:0.335) (0.287:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1867_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.274:0.274:0.274))
(IOPATH A1 X (0.130:0.130:0.130) (0.294:0.294:0.294))
(IOPATH S X (0.228:0.228:0.228) (0.351:0.351:0.351))
(IOPATH S X (0.183:0.183:0.183) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1868_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.174:0.174:0.174))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1869_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1870_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.283:0.283:0.283))
(IOPATH A1 X (0.135:0.135:0.135) (0.302:0.302:0.302))
(IOPATH S X (0.236:0.236:0.236) (0.361:0.361:0.361))
(IOPATH S X (0.191:0.191:0.191) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1871_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.182:0.182:0.182))
(IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1872_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1873_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.282:0.282:0.282))
(IOPATH A1 X (0.139:0.139:0.139) (0.303:0.303:0.303))
(IOPATH S X (0.235:0.235:0.235) (0.360:0.360:0.360))
(IOPATH S X (0.190:0.190:0.190) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1874_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.188:0.188:0.188))
(IOPATH B X (0.132:0.132:0.132) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1875_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1876_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.267:0.267:0.267))
(IOPATH A1 X (0.124:0.124:0.124) (0.287:0.287:0.287))
(IOPATH S X (0.222:0.222:0.222) (0.344:0.344:0.344))
(IOPATH S X (0.177:0.177:0.177) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1877_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.183:0.183:0.183))
(IOPATH B X (0.124:0.124:0.124) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1878_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1879_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.319:0.319:0.319) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1880_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.272:0.272:0.272))
(IOPATH A1 X (0.127:0.127:0.127) (0.292:0.292:0.292))
(IOPATH S X (0.224:0.224:0.224) (0.348:0.348:0.348))
(IOPATH S X (0.179:0.179:0.179) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1881_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.183:0.183:0.183))
(IOPATH B X (0.124:0.124:0.124) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1882_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1883_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.269:0.269:0.269))
(IOPATH A1 X (0.126:0.126:0.126) (0.290:0.290:0.290))
(IOPATH S X (0.221:0.221:0.221) (0.345:0.345:0.345))
(IOPATH S X (0.176:0.176:0.176) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1884_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.173:0.173:0.173))
(IOPATH B X (0.109:0.109:0.109) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1885_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1886_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.166:0.166:0.166) (0.298:0.298:0.298))
(IOPATH A1 X (0.123:0.123:0.123) (0.287:0.287:0.287))
(IOPATH S X (0.220:0.220:0.220) (0.343:0.343:0.343))
(IOPATH S X (0.175:0.175:0.175) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1887_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.187:0.187:0.187))
(IOPATH B X (0.128:0.128:0.128) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1888_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1889_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.268:0.268:0.268))
(IOPATH A1 X (0.129:0.129:0.129) (0.290:0.290:0.290))
(IOPATH S X (0.219:0.219:0.219) (0.343:0.343:0.343))
(IOPATH S X (0.174:0.174:0.174) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1890_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.176:0.176:0.176))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1891_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1892_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.268:0.268:0.268))
(IOPATH A1 X (0.131:0.131:0.131) (0.292:0.292:0.292))
(IOPATH S X (0.220:0.220:0.220) (0.343:0.343:0.343))
(IOPATH S X (0.174:0.174:0.174) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1893_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.184:0.184:0.184))
(IOPATH B X (0.124:0.124:0.124) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1894_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1895_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.266:0.266:0.266))
(IOPATH A1 X (0.123:0.123:0.123) (0.285:0.285:0.285))
(IOPATH S X (0.218:0.218:0.218) (0.340:0.340:0.340))
(IOPATH S X (0.172:0.172:0.172) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1896_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.177:0.177:0.177))
(IOPATH B X (0.116:0.116:0.116) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1897_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1898_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.374:0.374:0.374) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1899_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.268:0.268:0.268))
(IOPATH A1 X (0.122:0.122:0.122) (0.286:0.286:0.286))
(IOPATH S X (0.219:0.219:0.219) (0.342:0.342:0.342))
(IOPATH S X (0.174:0.174:0.174) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1900_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198))
(IOPATH B X (0.133:0.133:0.133) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1901_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1902_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.277:0.277:0.277))
(IOPATH A1 X (0.122:0.122:0.122) (0.286:0.286:0.286))
(IOPATH S X (0.219:0.219:0.219) (0.342:0.342:0.342))
(IOPATH S X (0.173:0.173:0.173) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1903_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.185:0.185:0.185))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1904_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1905_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.275:0.275:0.275))
(IOPATH A1 X (0.131:0.131:0.131) (0.296:0.296:0.296))
(IOPATH S X (0.226:0.226:0.226) (0.351:0.351:0.351))
(IOPATH S X (0.181:0.181:0.181) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1906_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.185:0.185:0.185))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1907_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1908_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.275:0.275:0.275))
(IOPATH A1 X (0.130:0.130:0.130) (0.295:0.295:0.295))
(IOPATH S X (0.226:0.226:0.226) (0.351:0.351:0.351))
(IOPATH S X (0.181:0.181:0.181) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1909_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1910_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1911_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.280:0.282) (0.207:0.211:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1912_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.273:0.273:0.273))
(IOPATH A1 X (0.125:0.125:0.125) (0.291:0.291:0.291))
(IOPATH S X (0.218:0.218:0.218) (0.343:0.343:0.343))
(IOPATH S X (0.170:0.170:0.170) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1913_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.187:0.187:0.187))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1914_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1915_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.270:0.270:0.270))
(IOPATH A1 X (0.126:0.126:0.126) (0.291:0.291:0.291))
(IOPATH S X (0.216:0.216:0.216) (0.341:0.341:0.341))
(IOPATH S X (0.168:0.168:0.168) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1916_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.187:0.187:0.187))
(IOPATH B X (0.119:0.119:0.119) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1917_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1918_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.271:0.271:0.271))
(IOPATH A1 X (0.128:0.128:0.128) (0.292:0.292:0.292))
(IOPATH S X (0.216:0.216:0.216) (0.341:0.341:0.341))
(IOPATH S X (0.169:0.169:0.169) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1919_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1920_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1921_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.282:0.282:0.282))
(IOPATH A1 X (0.135:0.135:0.135) (0.301:0.301:0.301))
(IOPATH S X (0.224:0.224:0.224) (0.351:0.351:0.351))
(IOPATH S X (0.176:0.176:0.176) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1922_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.196:0.196:0.196))
(IOPATH B X (0.130:0.130:0.130) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1923_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1924_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.267:0.267:0.267))
(IOPATH A1 X (0.128:0.128:0.128) (0.290:0.290:0.290))
(IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1925_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1926_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1927_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.271:0.271:0.271))
(IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
(IOPATH S X (0.214:0.214:0.214) (0.339:0.339:0.339))
(IOPATH S X (0.167:0.167:0.167) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1928_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.199:0.199:0.199))
(IOPATH B X (0.135:0.135:0.135) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1929_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1930_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.293:0.293) (0.268:0.268:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1931_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.282:0.282:0.282))
(IOPATH A1 X (0.138:0.138:0.138) (0.303:0.303:0.303))
(IOPATH S X (0.225:0.225:0.225) (0.353:0.353:0.353))
(IOPATH S X (0.178:0.178:0.178) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1932_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.163:0.163:0.163))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1933_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1934_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.270:0.270:0.270))
(IOPATH A1 X (0.134:0.134:0.134) (0.294:0.294:0.294))
(IOPATH S X (0.215:0.215:0.215) (0.340:0.340:0.340))
(IOPATH S X (0.167:0.167:0.167) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1935_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.164:0.164:0.164))
(IOPATH B X (0.118:0.118:0.118) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1936_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1937_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.280:0.280:0.280))
(IOPATH A1 X (0.136:0.136:0.136) (0.301:0.301:0.301))
(IOPATH S X (0.223:0.223:0.223) (0.351:0.351:0.351))
(IOPATH S X (0.176:0.176:0.176) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1938_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.165:0.165:0.165))
(IOPATH B X (0.121:0.121:0.121) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1939_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1940_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.112:0.112:0.112) (0.263:0.263:0.263))
(IOPATH A1 X (0.123:0.123:0.123) (0.285:0.285:0.285))
(IOPATH S X (0.210:0.210:0.210) (0.334:0.335:0.335))
(IOPATH S X (0.163:0.163:0.163) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1941_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.161:0.161:0.161))
(IOPATH B X (0.111:0.111:0.111) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1942_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1943_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.271:0.271:0.271))
(IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
(IOPATH S X (0.216:0.216:0.216) (0.341:0.341:0.341))
(IOPATH S X (0.169:0.169:0.169) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1944_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.167:0.167:0.167))
(IOPATH B X (0.119:0.119:0.119) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1945_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1946_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.277:0.277:0.277))
(IOPATH A1 X (0.134:0.134:0.134) (0.298:0.298:0.298))
(IOPATH S X (0.222:0.222:0.222) (0.349:0.349:0.349))
(IOPATH S X (0.175:0.175:0.175) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1947_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.171:0.171:0.171))
(IOPATH B X (0.124:0.124:0.124) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1948_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1949_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.272:0.272:0.272))
(IOPATH A1 X (0.128:0.128:0.128) (0.292:0.292:0.292))
(IOPATH S X (0.216:0.216:0.216) (0.342:0.342:0.342))
(IOPATH S X (0.170:0.170:0.170) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1950_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.160:0.160:0.160))
(IOPATH B X (0.112:0.112:0.112) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1951_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1952_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.116:0.116:0.116) (0.266:0.266:0.266))
(IOPATH A1 X (0.122:0.122:0.122) (0.285:0.285:0.285))
(IOPATH S X (0.212:0.212:0.212) (0.336:0.336:0.336))
(IOPATH S X (0.165:0.165:0.165) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1953_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.160:0.160:0.160))
(IOPATH B X (0.112:0.112:0.112) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1954_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1955_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.267:0.267:0.267))
(IOPATH A1 X (0.129:0.129:0.129) (0.291:0.291:0.291))
(IOPATH S X (0.214:0.214:0.214) (0.339:0.339:0.339))
(IOPATH S X (0.168:0.168:0.168) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1956_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.169:0.169:0.169))
(IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1957_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1958_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.270:0.270:0.270))
(IOPATH A1 X (0.126:0.126:0.126) (0.291:0.291:0.291))
(IOPATH S X (0.216:0.216:0.216) (0.342:0.342:0.342))
(IOPATH S X (0.170:0.170:0.170) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1959_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.161:0.161:0.161))
(IOPATH B X (0.118:0.118:0.118) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1960_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1961_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.377:0.377:0.377) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1962_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.275:0.275:0.275))
(IOPATH A1 X (0.132:0.132:0.132) (0.295:0.295:0.295))
(IOPATH S X (0.218:0.218:0.218) (0.345:0.345:0.345))
(IOPATH S X (0.172:0.172:0.172) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1963_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH B X (0.113:0.113:0.113) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1964_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _1965_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.201:0.207:0.212) (0.112:0.113:0.114))
(IOPATH B Y (0.176:0.176:0.176) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _1966_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.142:0.142:0.142) (0.094:0.094:0.094))
(IOPATH B Y (0.133:0.133:0.133) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _1967_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.046:0.046:0.046) (0.037:0.037:0.037))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _1968_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.592:0.592:0.592))
(IOPATH B X (0.179:0.179:0.179) (0.576:0.576:0.576))
(IOPATH C X (0.171:0.171:0.171) (0.542:0.542:0.542))
(IOPATH D X (0.186:0.186:0.186) (0.480:0.480:0.480))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1969_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.129:0.129:0.129) (0.060:0.060:0.060))
(IOPATH A2 Y (0.134:0.134:0.134) (0.056:0.056:0.056))
(IOPATH B1 Y (0.153:0.154:0.154) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _1970_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.214:0.214:0.215))
(IOPATH B X (0.245:0.245:0.245) (0.208:0.208:0.208))
(IOPATH C X (0.219:0.219:0.220) (0.210:0.210:0.210))
(IOPATH D X (0.208:0.208:0.208) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _1971_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _1972_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.190:0.190:0.190) (0.103:0.103:0.103))
(IOPATH A2 Y (0.211:0.211:0.211) (0.110:0.110:0.110))
(IOPATH B1 Y (0.220:0.220:0.220) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _1973_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.195:0.195:0.195))
(IOPATH A2 X (0.125:0.125:0.125) (0.183:0.183:0.183))
(IOPATH B1 X (0.137:0.139:0.142) (0.107:0.112:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1974_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.156:0.156:0.156))
(IOPATH B X (0.186:0.186:0.186) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1975_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1976_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.194:0.194:0.194))
(IOPATH B X (0.124:0.124:0.124) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1977_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1978_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.187:0.187:0.187))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1979_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_4")
(INSTANCE _1980_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.374:0.374:0.374) (0.170:0.170:0.170))
(IOPATH A X (0.355:0.355:0.355) (0.255:0.255:0.255))
(IOPATH B X (0.354:0.354:0.354) (0.170:0.170:0.170))
(IOPATH B X (0.351:0.351:0.351) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _1981_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.338:0.339:0.339) (0.231:0.244:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1982_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.300:0.300:0.300))
(IOPATH A1 X (0.136:0.136:0.136) (0.294:0.294:0.294))
(IOPATH S X (0.227:0.227:0.227) (0.354:0.354:0.354))
(IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1983_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.177:0.177:0.177))
(IOPATH B X (0.128:0.128:0.128) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1984_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1985_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.295:0.295:0.295))
(IOPATH A1 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH S X (0.221:0.221:0.221) (0.346:0.346:0.346))
(IOPATH S X (0.175:0.175:0.175) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1986_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.189:0.189:0.189))
(IOPATH B X (0.148:0.148:0.148) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1987_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.114:0.114) (0.103:0.103:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1988_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271))
(IOPATH A1 X (0.119:0.119:0.119) (0.278:0.278:0.278))
(IOPATH S X (0.217:0.217:0.217) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1989_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.172:0.172:0.172))
(IOPATH B X (0.121:0.121:0.121) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1990_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.094:0.095) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1991_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.275:0.275:0.275))
(IOPATH A1 X (0.128:0.128:0.128) (0.283:0.283:0.283))
(IOPATH S X (0.219:0.219:0.219) (0.343:0.343:0.343))
(IOPATH S X (0.173:0.173:0.173) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1992_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.168:0.168:0.168))
(IOPATH B X (0.118:0.118:0.118) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1993_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1994_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275))
(IOPATH A1 X (0.121:0.121:0.121) (0.282:0.282:0.282))
(IOPATH S X (0.220:0.220:0.220) (0.344:0.344:0.344))
(IOPATH S X (0.174:0.174:0.174) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1995_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.169:0.169:0.169))
(IOPATH B X (0.117:0.117:0.117) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _1996_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _1997_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.364:0.364:0.364) (0.284:0.284:0.284))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _1998_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.110:0.110:0.110) (0.270:0.270:0.270))
(IOPATH A1 X (0.118:0.118:0.118) (0.277:0.277:0.277))
(IOPATH S X (0.216:0.216:0.216) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _1999_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.188:0.188:0.188))
(IOPATH B X (0.129:0.129:0.129) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2000_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.094) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2001_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.273:0.273:0.273))
(IOPATH A1 X (0.121:0.121:0.121) (0.280:0.280:0.280))
(IOPATH S X (0.218:0.218:0.218) (0.342:0.342:0.342))
(IOPATH S X (0.172:0.172:0.172) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2002_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.192:0.192:0.192))
(IOPATH B X (0.135:0.135:0.135) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2003_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.089) (0.087:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2004_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.281:0.281:0.281))
(IOPATH A1 X (0.129:0.129:0.129) (0.289:0.289:0.289))
(IOPATH S X (0.225:0.225:0.225) (0.350:0.350:0.350))
(IOPATH S X (0.179:0.179:0.179) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2005_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.177:0.177:0.177))
(IOPATH B X (0.115:0.115:0.115) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2006_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.084) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2007_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.279:0.279:0.279))
(IOPATH A1 X (0.123:0.123:0.123) (0.285:0.285:0.285))
(IOPATH S X (0.223:0.223:0.223) (0.348:0.348:0.348))
(IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2008_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.185:0.185:0.185))
(IOPATH B X (0.126:0.126:0.126) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2009_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.092) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2010_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.272:0.272:0.272))
(IOPATH A1 X (0.121:0.121:0.121) (0.279:0.279:0.279))
(IOPATH S X (0.217:0.217:0.217) (0.341:0.341:0.341))
(IOPATH S X (0.171:0.171:0.171) (0.322:0.322:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2011_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.176:0.176:0.176))
(IOPATH B X (0.114:0.114:0.114) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2012_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2013_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.280:0.280:0.280))
(IOPATH A1 X (0.128:0.128:0.128) (0.288:0.288:0.288))
(IOPATH S X (0.209:0.222:0.236) (0.360:0.360:0.361))
(IOPATH S X (0.197:0.197:0.198) (0.319:0.330:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2014_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.189:0.189:0.189))
(IOPATH B X (0.131:0.131:0.131) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2015_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.091) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2016_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.283:0.283:0.283))
(IOPATH A1 X (0.128:0.128:0.128) (0.288:0.288:0.288))
(IOPATH S X (0.209:0.223:0.236) (0.361:0.361:0.361))
(IOPATH S X (0.197:0.198:0.198) (0.319:0.330:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2017_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.185:0.185:0.185))
(IOPATH B X (0.125:0.125:0.125) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2018_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2019_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.287:0.287:0.287))
(IOPATH A1 X (0.129:0.129:0.129) (0.291:0.291:0.291))
(IOPATH S X (0.212:0.225:0.239) (0.364:0.365:0.365))
(IOPATH S X (0.200:0.200:0.201) (0.323:0.334:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2020_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.178:0.178:0.178))
(IOPATH B X (0.115:0.115:0.115) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2021_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2022_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.119:0.119:0.119) (0.277:0.277:0.277))
(IOPATH S X (0.200:0.214:0.227) (0.350:0.350:0.350))
(IOPATH S X (0.188:0.188:0.189) (0.308:0.319:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2023_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.187:0.187:0.187))
(IOPATH B X (0.130:0.130:0.130) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2024_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2025_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.284:0.284:0.284))
(IOPATH A1 X (0.128:0.128:0.128) (0.290:0.290:0.290))
(IOPATH S X (0.211:0.225:0.238) (0.364:0.364:0.364))
(IOPATH S X (0.199:0.200:0.200) (0.322:0.333:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2026_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.181:0.181:0.181))
(IOPATH B X (0.121:0.121:0.121) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2027_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2028_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.364:0.364:0.364) (0.359:0.359:0.359))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2029_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.279:0.279:0.279))
(IOPATH A1 X (0.128:0.128:0.128) (0.287:0.287:0.287))
(IOPATH S X (0.208:0.221:0.234) (0.359:0.359:0.359))
(IOPATH S X (0.195:0.196:0.196) (0.318:0.328:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2030_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.204:0.204:0.204))
(IOPATH B X (0.134:0.134:0.134) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2031_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2032_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.278:0.278:0.278))
(IOPATH A1 X (0.128:0.128:0.128) (0.286:0.286:0.286))
(IOPATH S X (0.207:0.220:0.234) (0.358:0.358:0.358))
(IOPATH S X (0.195:0.195:0.196) (0.317:0.327:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2033_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.189:0.189:0.189))
(IOPATH B X (0.113:0.113:0.113) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2034_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2035_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.274:0.274:0.274))
(IOPATH A1 X (0.124:0.124:0.124) (0.282:0.282:0.282))
(IOPATH S X (0.204:0.218:0.231) (0.354:0.355:0.355))
(IOPATH S X (0.192:0.192:0.193) (0.313:0.324:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2036_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.199:0.199:0.199))
(IOPATH B X (0.127:0.127:0.127) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2037_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.087:0.087) (0.086:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2038_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.050:0.050:0.050) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2039_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.283:0.283:0.283))
(IOPATH A1 X (0.119:0.119:0.119) (0.287:0.287:0.287))
(IOPATH S X (0.215:0.215:0.215) (0.332:0.332:0.332))
(IOPATH S X (0.153:0.153:0.153) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2040_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _2041_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.401:0.401:0.401) (0.338:0.338:0.338))
(IOPATH B X (0.371:0.371:0.371) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2042_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.284:0.284:0.284))
(IOPATH A1 X (0.189:0.189:0.189) (0.328:0.328:0.329))
(IOPATH S X (0.218:0.218:0.218) (0.335:0.335:0.335))
(IOPATH S X (0.156:0.156:0.156) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2043_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.082:0.083) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _2044_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.360:0.360:0.360) (0.318:0.318:0.318))
(IOPATH B X (0.330:0.330:0.330) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2045_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.297:0.297:0.297))
(IOPATH A1 X (0.190:0.190:0.190) (0.331:0.331:0.331))
(IOPATH S X (0.228:0.228:0.228) (0.347:0.347:0.347))
(IOPATH S X (0.165:0.165:0.165) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2046_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.092:0.093) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2047_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.283:0.283:0.283))
(IOPATH B X (0.211:0.211:0.211) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2048_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.286) (0.240:0.241:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2049_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.315:0.315:0.315) (0.263:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2050_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.284:0.284:0.284))
(IOPATH A1 X (0.143:0.144:0.144) (0.301:0.301:0.301))
(IOPATH S X (0.223:0.223:0.223) (0.348:0.348:0.348))
(IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2051_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2052_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.283:0.283:0.283))
(IOPATH B X (0.206:0.206:0.206) (0.292:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2053_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.282:0.282:0.282))
(IOPATH A1 X (0.142:0.142:0.142) (0.299:0.299:0.299))
(IOPATH S X (0.221:0.221:0.221) (0.346:0.346:0.346))
(IOPATH S X (0.175:0.175:0.175) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2054_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.085) (0.094:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2055_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.297:0.297:0.297))
(IOPATH A1 X (0.194:0.194:0.194) (0.341:0.341:0.341))
(IOPATH S X (0.236:0.236:0.236) (0.363:0.363:0.363))
(IOPATH S X (0.190:0.190:0.190) (0.343:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2056_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.087) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2057_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.276:0.276:0.276))
(IOPATH A1 X (0.179:0.179:0.179) (0.312:0.312:0.312))
(IOPATH S X (0.216:0.216:0.216) (0.339:0.339:0.339))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2058_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2059_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.289:0.289:0.289))
(IOPATH A1 X (0.180:0.180:0.180) (0.336:0.336:0.336))
(IOPATH S X (0.227:0.227:0.227) (0.353:0.353:0.353))
(IOPATH S X (0.181:0.181:0.181) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2060_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2061_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.291:0.291:0.291))
(IOPATH A1 X (0.178:0.178:0.178) (0.333:0.333:0.333))
(IOPATH S X (0.230:0.230:0.230) (0.356:0.356:0.356))
(IOPATH S X (0.184:0.184:0.184) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2062_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2063_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.278:0.278:0.278))
(IOPATH A1 X (0.181:0.181:0.181) (0.318:0.318:0.318))
(IOPATH S X (0.216:0.216:0.216) (0.339:0.339:0.339))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2064_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2065_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.289:0.289:0.289))
(IOPATH A1 X (0.171:0.171:0.171) (0.326:0.326:0.326))
(IOPATH S X (0.227:0.227:0.227) (0.352:0.352:0.352))
(IOPATH S X (0.181:0.181:0.181) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2066_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.081) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2067_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.287:0.287:0.287))
(IOPATH A1 X (0.172:0.172:0.172) (0.330:0.330:0.330))
(IOPATH S X (0.224:0.224:0.224) (0.349:0.349:0.349))
(IOPATH S X (0.178:0.178:0.178) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2068_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2069_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.290:0.290:0.290))
(IOPATH A1 X (0.192:0.192:0.192) (0.330:0.330:0.330))
(IOPATH S X (0.228:0.228:0.228) (0.354:0.354:0.354))
(IOPATH S X (0.182:0.182:0.182) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2070_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2071_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.296:0.296:0.296) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2072_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH A1 X (0.178:0.178:0.178) (0.334:0.334:0.334))
(IOPATH S X (0.220:0.220:0.220) (0.347:0.347:0.347))
(IOPATH S X (0.173:0.173:0.173) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2073_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.090:0.091) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2074_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.287:0.287:0.287))
(IOPATH A1 X (0.170:0.170:0.170) (0.328:0.328:0.328))
(IOPATH S X (0.219:0.219:0.219) (0.346:0.346:0.346))
(IOPATH S X (0.172:0.172:0.172) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2075_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.098:0.098) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2076_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.290:0.290:0.290))
(IOPATH A1 X (0.170:0.170:0.170) (0.328:0.328:0.328))
(IOPATH S X (0.222:0.222:0.222) (0.350:0.350:0.350))
(IOPATH S X (0.175:0.175:0.175) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2077_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.085) (0.094:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2078_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.309:0.309:0.309))
(IOPATH A1 X (0.200:0.200:0.200) (0.360:0.360:0.360))
(IOPATH S X (0.240:0.240:0.240) (0.368:0.368:0.368))
(IOPATH S X (0.193:0.193:0.193) (0.349:0.349:0.349))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2079_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.110:0.110) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2080_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.297:0.297:0.297))
(IOPATH A1 X (0.188:0.188:0.188) (0.328:0.328:0.328))
(IOPATH S X (0.230:0.230:0.230) (0.358:0.358:0.358))
(IOPATH S X (0.183:0.183:0.183) (0.339:0.339:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2081_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.086) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2082_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.304:0.304:0.304))
(IOPATH A1 X (0.195:0.195:0.195) (0.334:0.334:0.334))
(IOPATH S X (0.235:0.235:0.235) (0.363:0.363:0.363))
(IOPATH S X (0.188:0.188:0.188) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2083_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.107) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2084_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.275:0.275:0.275))
(IOPATH A1 X (0.166:0.166:0.166) (0.304:0.304:0.304))
(IOPATH S X (0.210:0.210:0.210) (0.334:0.334:0.334))
(IOPATH S X (0.162:0.162:0.162) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2085_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2086_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.293:0.293:0.293))
(IOPATH A1 X (0.196:0.196:0.196) (0.335:0.335:0.335))
(IOPATH S X (0.226:0.226:0.226) (0.354:0.354:0.354))
(IOPATH S X (0.179:0.179:0.179) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2087_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.082) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2088_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.291:0.291:0.291))
(IOPATH A1 X (0.183:0.183:0.183) (0.339:0.339:0.339))
(IOPATH S X (0.223:0.223:0.223) (0.351:0.351:0.351))
(IOPATH S X (0.176:0.176:0.176) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2089_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.082) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2090_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.293:0.293:0.293))
(IOPATH A1 X (0.192:0.192:0.192) (0.327:0.327:0.327))
(IOPATH S X (0.226:0.226:0.226) (0.354:0.354:0.354))
(IOPATH S X (0.179:0.179:0.179) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2091_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2092_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.317:0.317:0.317) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2093_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.295:0.295:0.295))
(IOPATH A1 X (0.180:0.180:0.180) (0.336:0.336:0.336))
(IOPATH S X (0.230:0.230:0.230) (0.356:0.356:0.356))
(IOPATH S X (0.184:0.184:0.184) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2094_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2095_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.290:0.290:0.290))
(IOPATH A1 X (0.170:0.170:0.170) (0.325:0.325:0.325))
(IOPATH S X (0.225:0.225:0.225) (0.350:0.350:0.350))
(IOPATH S X (0.179:0.179:0.179) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2096_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.082) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2097_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.282:0.282:0.282))
(IOPATH A1 X (0.185:0.185:0.185) (0.323:0.323:0.323))
(IOPATH S X (0.221:0.221:0.221) (0.345:0.345:0.345))
(IOPATH S X (0.175:0.175:0.175) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2098_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.078:0.079) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2099_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.287:0.287:0.287))
(IOPATH A1 X (0.187:0.187:0.187) (0.324:0.324:0.324))
(IOPATH S X (0.227:0.227:0.227) (0.352:0.352:0.352))
(IOPATH S X (0.181:0.181:0.181) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2100_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.085) (0.094:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2101_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.292:0.292:0.292))
(IOPATH A1 X (0.196:0.196:0.196) (0.334:0.334:0.334))
(IOPATH S X (0.231:0.231:0.231) (0.357:0.357:0.357))
(IOPATH S X (0.185:0.185:0.185) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2102_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.093:0.094) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2103_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.283:0.283:0.283))
(IOPATH A1 X (0.175:0.175:0.175) (0.312:0.312:0.312))
(IOPATH S X (0.222:0.222:0.222) (0.347:0.347:0.347))
(IOPATH S X (0.176:0.176:0.176) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2104_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.083:0.084) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2105_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.291:0.291:0.291))
(IOPATH A1 X (0.215:0.215:0.215) (0.372:0.372:0.372))
(IOPATH S X (0.230:0.230:0.230) (0.357:0.357:0.357))
(IOPATH S X (0.184:0.184:0.184) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2106_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.091:0.092) (0.098:0.098:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2107_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.275:0.275:0.275))
(IOPATH A1 X (0.129:0.129:0.129) (0.290:0.290:0.290))
(IOPATH S X (0.217:0.217:0.217) (0.341:0.341:0.341))
(IOPATH S X (0.171:0.171:0.171) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2108_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2109_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.287:0.287:0.287))
(IOPATH A1 X (0.147:0.147:0.147) (0.305:0.305:0.305))
(IOPATH S X (0.226:0.226:0.226) (0.352:0.352:0.352))
(IOPATH S X (0.180:0.180:0.180) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2110_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2111_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.280:0.280:0.280))
(IOPATH A1 X (0.179:0.179:0.179) (0.331:0.331:0.332))
(IOPATH S X (0.220:0.220:0.220) (0.344:0.344:0.344))
(IOPATH S X (0.174:0.174:0.174) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2114_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.285:0.285:0.285))
(IOPATH A1 X (0.184:0.184:0.184) (0.337:0.337:0.337))
(IOPATH S X (0.217:0.217:0.217) (0.344:0.344:0.344))
(IOPATH S X (0.169:0.169:0.169) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2115_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2116_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.299:0.299:0.299))
(IOPATH A1 X (0.176:0.176:0.176) (0.335:0.335:0.336))
(IOPATH S X (0.227:0.227:0.227) (0.355:0.355:0.355))
(IOPATH S X (0.179:0.179:0.179) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2117_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2118_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.284:0.284:0.284))
(IOPATH A1 X (0.153:0.153:0.153) (0.307:0.307:0.307))
(IOPATH S X (0.214:0.214:0.214) (0.341:0.341:0.341))
(IOPATH S X (0.166:0.166:0.166) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.087:0.088) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2120_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.280:0.280:0.280))
(IOPATH A1 X (0.157:0.157:0.157) (0.309:0.309:0.309))
(IOPATH S X (0.212:0.212:0.212) (0.338:0.338:0.338))
(IOPATH S X (0.164:0.164:0.164) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2121_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.075) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2122_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.282:0.282:0.282))
(IOPATH A1 X (0.170:0.170:0.170) (0.323:0.323:0.323))
(IOPATH S X (0.209:0.209:0.209) (0.335:0.335:0.335))
(IOPATH S X (0.162:0.162:0.162) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2124_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.289:0.289:0.289))
(IOPATH A1 X (0.157:0.157:0.157) (0.312:0.312:0.312))
(IOPATH S X (0.219:0.219:0.219) (0.347:0.347:0.347))
(IOPATH S X (0.172:0.172:0.172) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2126_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.284:0.284:0.284))
(IOPATH A1 X (0.166:0.166:0.166) (0.318:0.318:0.318))
(IOPATH S X (0.215:0.215:0.215) (0.341:0.341:0.341))
(IOPATH S X (0.167:0.167:0.167) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2127_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2128_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.283:0.283:0.283))
(IOPATH A1 X (0.183:0.183:0.183) (0.329:0.329:0.329))
(IOPATH S X (0.214:0.214:0.214) (0.341:0.341:0.341))
(IOPATH S X (0.166:0.166:0.166) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2129_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.081) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2130_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.299:0.299:0.299))
(IOPATH A1 X (0.179:0.179:0.179) (0.331:0.331:0.331))
(IOPATH S X (0.229:0.229:0.229) (0.358:0.358:0.358))
(IOPATH S X (0.181:0.181:0.181) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2131_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.087:0.087) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2132_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.289:0.289:0.289))
(IOPATH A1 X (0.159:0.159:0.159) (0.314:0.314:0.314))
(IOPATH S X (0.219:0.219:0.219) (0.347:0.347:0.347))
(IOPATH S X (0.172:0.172:0.172) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.095) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2134_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.279:0.279:0.279))
(IOPATH A1 X (0.153:0.153:0.153) (0.306:0.306:0.306))
(IOPATH S X (0.236:0.236:0.236) (0.342:0.342:0.342))
(IOPATH S X (0.171:0.171:0.171) (0.339:0.339:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2135_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2136_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.279:0.279:0.279))
(IOPATH A1 X (0.155:0.155:0.155) (0.306:0.306:0.306))
(IOPATH S X (0.233:0.233:0.233) (0.339:0.339:0.339))
(IOPATH S X (0.169:0.169:0.169) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2137_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2138_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.286:0.286:0.286))
(IOPATH A1 X (0.161:0.161:0.161) (0.315:0.315:0.315))
(IOPATH S X (0.242:0.242:0.242) (0.350:0.350:0.350))
(IOPATH S X (0.178:0.178:0.178) (0.346:0.346:0.346))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2139_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2140_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.214:0.214:0.214) (0.200:0.200:0.200))
(IOPATH B X (0.130:0.130:0.130) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2141_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.197:0.197:0.197))
(IOPATH A2 X (0.156:0.157:0.157) (0.213:0.214:0.214))
(IOPATH A3 X (0.154:0.154:0.154) (0.228:0.228:0.228))
(IOPATH B1 X (0.084:0.084:0.084) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2142_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.157:0.157:0.157) (0.086:0.086:0.086))
(IOPATH A2 Y (0.155:0.155:0.155) (0.096:0.096:0.096))
(IOPATH B1 Y (0.137:0.137:0.137) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2143_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.129:0.129:0.129) (0.070:0.070:0.070))
(IOPATH A2 Y (0.157:0.157:0.157) (0.099:0.099:0.099))
(IOPATH B1 Y (0.109:0.116:0.122) (0.049:0.051:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2144_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.151:0.151:0.151))
(IOPATH B X (0.171:0.171:0.171) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.188:0.188:0.188))
(IOPATH B X (0.111:0.111:0.111) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2148_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.189:0.189:0.189))
(IOPATH B X (0.149:0.149:0.149) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2149_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.191:0.191:0.191))
(IOPATH B X (0.199:0.199:0.199) (0.193:0.193:0.193))
(IOPATH C X (0.166:0.166:0.166) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2152_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.164:0.164:0.164))
(IOPATH B X (0.177:0.177:0.177) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _2154_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.144:0.144:0.144))
(IOPATH A Y (0.173:0.173:0.173) (0.091:0.091:0.091))
(IOPATH B Y (0.137:0.137:0.137) (0.144:0.144:0.144))
(IOPATH B Y (0.157:0.157:0.157) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2155_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.087:0.087:0.087))
(IOPATH B Y (0.081:0.083:0.086) (0.039:0.047:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _2156_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.176:0.176:0.176) (0.142:0.142:0.142))
(IOPATH B Y (0.164:0.164:0.164) (0.156:0.156:0.156))
(IOPATH C Y (0.160:0.161:0.161) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _2157_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.166:0.166:0.166) (0.130:0.130:0.130))
(IOPATH B Y (0.155:0.155:0.155) (0.144:0.144:0.144))
(IOPATH C Y (0.143:0.143:0.144) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2158_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.236:0.236:0.236) (0.097:0.097:0.097))
(IOPATH B Y (0.245:0.245:0.245) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2159_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.158:0.158:0.158))
(IOPATH B X (0.179:0.179:0.179) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2160_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.420:0.420:0.420))
(IOPATH B X (0.186:0.186:0.186) (0.392:0.397:0.402))
(IOPATH C X (0.135:0.135:0.136) (0.346:0.346:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _2161_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.206:0.206:0.206))
(IOPATH B X (0.203:0.204:0.205) (0.207:0.208:0.209))
(IOPATH C X (0.204:0.205:0.206) (0.216:0.217:0.218))
(IOPATH D X (0.206:0.206:0.207) (0.224:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2162_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.095:0.095) (0.090:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _2163_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.153:0.153:0.153))
(IOPATH A Y (0.182:0.182:0.182) (0.098:0.098:0.098))
(IOPATH B Y (0.154:0.154:0.154) (0.131:0.137:0.143))
(IOPATH B Y (0.146:0.151:0.157) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _2164_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.243:0.243:0.243) (0.108:0.108:0.108))
(IOPATH B Y (0.201:0.201:0.201) (0.075:0.075:0.075))
(IOPATH C Y (0.147:0.147:0.147) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.591:0.591:0.591))
(IOPATH B X (0.195:0.195:0.195) (0.586:0.586:0.586))
(IOPATH C X (0.182:0.182:0.182) (0.539:0.539:0.539))
(IOPATH D X (0.204:0.204:0.204) (0.494:0.494:0.494))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31ai_1")
(INSTANCE _2166_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.268:0.268:0.268) (0.106:0.106:0.106))
(IOPATH A2 Y (0.240:0.240:0.240) (0.094:0.094:0.094))
(IOPATH A3 Y (0.200:0.207:0.213) (0.091:0.091:0.092))
(IOPATH B1 Y (0.077:0.077:0.077) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2167_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.142) (0.292:0.292:0.293))
(IOPATH A2 X (0.131:0.131:0.131) (0.301:0.301:0.301))
(IOPATH B1 X (0.151:0.151:0.151) (0.314:0.314:0.314))
(IOPATH C1 X (0.127:0.127:0.127) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31ai_1")
(INSTANCE _2168_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.316:0.316:0.316) (0.140:0.140:0.140))
(IOPATH A2 Y (0.295:0.295:0.295) (0.133:0.133:0.133))
(IOPATH A3 Y (0.242:0.243:0.244) (0.080:0.082:0.083))
(IOPATH B1 Y (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _2169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.069:0.069:0.069))
(IOPATH A X (0.142:0.142:0.142) (0.163:0.163:0.163))
(IOPATH B X (0.162:0.162:0.163) (0.078:0.078:0.078))
(IOPATH B X (0.157:0.157:0.157) (0.170:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2170_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.287:0.287:0.287))
(IOPATH B X (0.123:0.123:0.123) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2171_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.152:0.152:0.153) (0.078:0.078:0.079))
(IOPATH A2 Y (0.151:0.151:0.151) (0.071:0.071:0.071))
(IOPATH B1 Y (0.149:0.149:0.149) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2172_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.435:0.435:0.435))
(IOPATH B X (0.188:0.188:0.188) (0.420:0.420:0.420))
(IOPATH C X (0.151:0.151:0.151) (0.359:0.359:0.359))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2173_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.296:0.296:0.296) (0.142:0.142:0.142))
(IOPATH A2 Y (0.298:0.298:0.298) (0.133:0.133:0.133))
(IOPATH B1 Y (0.229:0.229:0.229) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2174_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.162:0.162:0.162) (0.090:0.090:0.090))
(IOPATH A2 Y (0.175:0.175:0.175) (0.084:0.084:0.084))
(IOPATH B1 Y (0.177:0.177:0.177) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2175_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.246:0.246:0.246) (0.130:0.130:0.130))
(IOPATH A2 Y (0.229:0.229:0.229) (0.108:0.108:0.108))
(IOPATH B1 Y (0.146:0.149:0.153) (0.127:0.130:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2176_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.299:0.307) (0.231:0.239:0.246))
(IOPATH B X (0.277:0.284:0.291) (0.239:0.244:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2177_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.084:0.084) (0.073:0.073:0.073))
(IOPATH B Y (0.092:0.093:0.093) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2178_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.289:0.289:0.289))
(IOPATH B X (0.191:0.198:0.205) (0.271:0.278:0.285))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2179_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.140:0.140:0.140) (0.069:0.069:0.069))
(IOPATH B Y (0.130:0.130:0.130) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2180_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.399:0.399:0.399))
(IOPATH B X (0.134:0.142:0.149) (0.353:0.357:0.360))
(IOPATH C X (0.121:0.121:0.121) (0.303:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31oi_1")
(INSTANCE _2181_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.158:0.158:0.158) (0.081:0.081:0.082))
(IOPATH A2 Y (0.203:0.203:0.203) (0.108:0.108:0.108))
(IOPATH A3 Y (0.200:0.200:0.200) (0.102:0.102:0.102))
(IOPATH B1 Y (0.200:0.200:0.200) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2182_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.165:0.165:0.165))
(IOPATH B X (0.176:0.184:0.192) (0.175:0.180:0.184))
(IOPATH C X (0.164:0.164:0.164) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2183_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.085:0.085:0.085))
(IOPATH A2 Y (0.116:0.116:0.116) (0.064:0.064:0.064))
(IOPATH B1 Y (0.075:0.075:0.075) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2184_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.110:0.110:0.111) (0.168:0.169:0.170))
(IOPATH A2 X (0.097:0.097:0.097) (0.159:0.159:0.159))
(IOPATH B1 X (0.162:0.162:0.162) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2185_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.064:0.064:0.065) (0.043:0.043:0.043))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2186_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.158:0.158:0.158) (0.096:0.096:0.096))
(IOPATH A2 Y (0.146:0.146:0.146) (0.082:0.082:0.082))
(IOPATH B1 Y (0.093:0.093:0.093) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2187_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.181:0.181:0.181) (0.091:0.091:0.091))
(IOPATH A2 Y (0.174:0.176:0.177) (0.084:0.088:0.092))
(IOPATH B1 Y (0.171:0.178:0.185) (0.085:0.090:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2188_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.188:0.188:0.188))
(IOPATH A2 X (0.176:0.176:0.176) (0.273:0.273:0.273))
(IOPATH A3 X (0.180:0.187:0.194) (0.240:0.247:0.253))
(IOPATH B1 X (0.136:0.136:0.136) (0.207:0.207:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _2189_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.262:0.262:0.262))
(IOPATH A2 X (0.161:0.161:0.161) (0.227:0.227:0.227))
(IOPATH B1 X (0.190:0.193:0.195) (0.217:0.223:0.229))
(IOPATH B2 X (0.160:0.160:0.160) (0.192:0.193:0.193))
(IOPATH C1 X (0.216:0.216:0.216) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2190_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.199:0.199:0.199))
(IOPATH A2 X (0.133:0.133:0.133) (0.202:0.202:0.203))
(IOPATH B1 X (0.120:0.120:0.120) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2191_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.151:0.151:0.151))
(IOPATH B X (0.177:0.177:0.177) (0.223:0.223:0.223))
(IOPATH C X (0.148:0.148:0.149) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2192_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2193_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.245:0.245:0.245))
(IOPATH A2 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH B1 X (0.187:0.187:0.187) (0.152:0.153:0.153))
(IOPATH C1 X (0.199:0.199:0.199) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2194_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH B X (0.112:0.112:0.112) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2195_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2196_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.189:0.189:0.189))
(IOPATH B X (0.117:0.117:0.117) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2197_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2198_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.158:0.158:0.158))
(IOPATH B X (0.174:0.174:0.174) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2199_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2200_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.155:0.155:0.155))
(IOPATH B X (0.188:0.188:0.188) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2201_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2202_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.180:0.180:0.180) (0.320:0.320:0.320))
(IOPATH A1 X (0.128:0.128:0.128) (0.289:0.289:0.289))
(IOPATH S X (0.235:0.235:0.235) (0.357:0.357:0.357))
(IOPATH S X (0.190:0.190:0.190) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2203_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2204_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.370:0.370:0.370))
(IOPATH B X (0.135:0.135:0.135) (0.351:0.351:0.351))
(IOPATH C X (0.113:0.113:0.113) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2205_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _2206_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.239:0.239:0.239) (0.087:0.087:0.087))
(IOPATH B Y (0.248:0.248:0.248) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2207_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.261) (0.204:0.204:0.205))
(IOPATH B X (0.222:0.222:0.222) (0.203:0.203:0.203))
(IOPATH C X (0.267:0.267:0.267) (0.227:0.231:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2208_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.289:0.289:0.289))
(IOPATH A1 X (0.145:0.145:0.145) (0.304:0.304:0.304))
(IOPATH S X (0.207:0.208:0.208) (0.336:0.336:0.337))
(IOPATH S X (0.155:0.155:0.155) (0.318:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2209_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2210_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.216:0.216:0.216) (0.348:0.348:0.348))
(IOPATH A1 X (0.158:0.158:0.158) (0.328:0.328:0.328))
(IOPATH S X (0.267:0.267:0.267) (0.392:0.392:0.392))
(IOPATH S X (0.223:0.223:0.223) (0.373:0.373:0.373))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2211_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.245:0.245:0.245) (0.372:0.372:0.372))
(IOPATH A1 X (0.199:0.199:0.199) (0.355:0.355:0.355))
(IOPATH S X (0.289:0.289:0.289) (0.408:0.408:0.408))
(IOPATH S X (0.244:0.244:0.244) (0.391:0.391:0.391))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2212_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.300:0.300:0.300) (0.204:0.208:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4bb_1")
(INSTANCE _2213_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.555:0.555:0.556))
(IOPATH B X (0.158:0.159:0.160) (0.529:0.529:0.529))
(IOPATH C_N X (0.233:0.233:0.233) (0.548:0.548:0.548))
(IOPATH D_N X (0.213:0.214:0.214) (0.460:0.460:0.460))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _2214_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.220:0.220:0.220))
(IOPATH A2 X (0.148:0.148:0.148) (0.201:0.202:0.203))
(IOPATH B1 X (0.138:0.139:0.139) (0.185:0.186:0.186))
(IOPATH B2 X (0.123:0.123:0.123) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2215_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.198:0.198:0.198))
(IOPATH A2 X (0.132:0.133:0.133) (0.180:0.181:0.181))
(IOPATH B1 X (0.128:0.128:0.128) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2216_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH A1 X (0.170:0.170:0.170) (0.314:0.314:0.314))
(IOPATH S X (0.247:0.247:0.247) (0.355:0.355:0.355))
(IOPATH S X (0.182:0.182:0.182) (0.352:0.352:0.352))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2217_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2218_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.274:0.274:0.274))
(IOPATH A1 X (0.148:0.148:0.148) (0.293:0.293:0.293))
(IOPATH S X (0.237:0.237:0.237) (0.343:0.343:0.343))
(IOPATH S X (0.172:0.172:0.172) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2219_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2220_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.280:0.280:0.280))
(IOPATH A1 X (0.165:0.165:0.165) (0.308:0.308:0.308))
(IOPATH S X (0.242:0.242:0.242) (0.349:0.349:0.349))
(IOPATH S X (0.177:0.177:0.177) (0.346:0.346:0.346))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2222_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.234:0.234:0.234) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2223_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2224_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.273:0.273:0.273))
(IOPATH A1 X (0.159:0.159:0.159) (0.300:0.300:0.300))
(IOPATH S X (0.235:0.235:0.235) (0.341:0.341:0.341))
(IOPATH S X (0.171:0.171:0.171) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2225_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2226_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.282:0.282:0.282))
(IOPATH A1 X (0.167:0.167:0.167) (0.310:0.310:0.310))
(IOPATH S X (0.244:0.244:0.244) (0.351:0.351:0.351))
(IOPATH S X (0.179:0.179:0.179) (0.348:0.348:0.348))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2227_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2228_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.275:0.275:0.275))
(IOPATH A1 X (0.149:0.149:0.149) (0.294:0.294:0.294))
(IOPATH S X (0.238:0.238:0.238) (0.344:0.344:0.344))
(IOPATH S X (0.173:0.173:0.173) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2229_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2230_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271))
(IOPATH A1 X (0.180:0.180:0.180) (0.319:0.319:0.319))
(IOPATH S X (0.235:0.235:0.235) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2231_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2232_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.282:0.282:0.282))
(IOPATH A1 X (0.186:0.186:0.186) (0.323:0.323:0.323))
(IOPATH S X (0.241:0.241:0.241) (0.348:0.348:0.348))
(IOPATH S X (0.176:0.176:0.176) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2233_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2234_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.113:0.113:0.113) (0.272:0.272:0.272))
(IOPATH A1 X (0.189:0.189:0.189) (0.333:0.333:0.333))
(IOPATH S X (0.209:0.209:0.209) (0.334:0.334:0.334))
(IOPATH S X (0.160:0.160:0.160) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2235_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2236_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.110:0.110:0.110) (0.270:0.270:0.270))
(IOPATH A1 X (0.152:0.152:0.152) (0.294:0.294:0.294))
(IOPATH S X (0.208:0.208:0.208) (0.333:0.333:0.333))
(IOPATH S X (0.159:0.159:0.159) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2238_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.277:0.277:0.277))
(IOPATH A1 X (0.156:0.156:0.156) (0.300:0.300:0.300))
(IOPATH S X (0.212:0.212:0.212) (0.338:0.338:0.338))
(IOPATH S X (0.164:0.164:0.164) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2239_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2240_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.274:0.274:0.274))
(IOPATH A1 X (0.127:0.127:0.127) (0.283:0.283:0.283))
(IOPATH S X (0.212:0.212:0.212) (0.338:0.338:0.338))
(IOPATH S X (0.163:0.163:0.163) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2241_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2242_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.277:0.277:0.277))
(IOPATH A1 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH S X (0.213:0.213:0.213) (0.340:0.340:0.340))
(IOPATH S X (0.165:0.165:0.165) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2243_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2244_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.279:0.279:0.279))
(IOPATH A1 X (0.142:0.142:0.142) (0.292:0.292:0.292))
(IOPATH S X (0.216:0.216:0.216) (0.343:0.343:0.343))
(IOPATH S X (0.167:0.167:0.167) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2246_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.134:0.134:0.134) (0.282:0.282:0.282))
(IOPATH S X (0.207:0.207:0.207) (0.332:0.332:0.332))
(IOPATH S X (0.159:0.159:0.159) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2247_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2248_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.235:0.235:0.235) (0.097:0.097:0.097))
(IOPATH B Y (0.220:0.220:0.220) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2249_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.179:0.182:0.185))
(IOPATH B X (0.203:0.203:0.203) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2250_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.257:0.257:0.257))
(IOPATH A2 X (0.229:0.229:0.229) (0.267:0.275:0.283))
(IOPATH A3 X (0.206:0.206:0.206) (0.264:0.264:0.264))
(IOPATH B1 X (0.132:0.132:0.132) (0.203:0.203:0.204))
(IOPATH B2 X (0.125:0.125:0.125) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.196:0.196:0.196))
(IOPATH B X (0.127:0.127:0.127) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2253_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.264:0.264:0.264))
(IOPATH A2 X (0.231:0.231:0.231) (0.268:0.276:0.283))
(IOPATH A3 X (0.207:0.207:0.207) (0.265:0.265:0.265))
(IOPATH B1 X (0.133:0.133:0.133) (0.204:0.204:0.204))
(IOPATH B2 X (0.144:0.144:0.144) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.193:0.193:0.193))
(IOPATH B X (0.122:0.122:0.122) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2255_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.191:0.191:0.191))
(IOPATH B X (0.137:0.137:0.137) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2258_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.233:0.233:0.233))
(IOPATH B X (0.180:0.180:0.180) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2259_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2260_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
(IOPATH B X (0.138:0.138:0.138) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2262_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.226:0.226:0.226))
(IOPATH A2 X (0.176:0.176:0.176) (0.207:0.210:0.213))
(IOPATH B1 X (0.146:0.146:0.146) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2263_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.075:0.075:0.075) (0.065:0.065:0.065))
(IOPATH B Y (0.073:0.073:0.073) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2264_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.395:0.395:0.395))
(IOPATH B X (0.152:0.152:0.152) (0.393:0.396:0.399))
(IOPATH C X (0.139:0.140:0.140) (0.342:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2265_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.173:0.180) (0.282:0.286:0.290))
(IOPATH A2 X (0.135:0.135:0.136) (0.314:0.314:0.314))
(IOPATH B1 X (0.141:0.141:0.141) (0.309:0.309:0.309))
(IOPATH C1 X (0.167:0.167:0.167) (0.257:0.259:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2266_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.123:0.123:0.123) (0.059:0.059:0.059))
(IOPATH A2 Y (0.141:0.141:0.142) (0.062:0.063:0.064))
(IOPATH B1 Y (0.124:0.124:0.124) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _2267_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.226:0.226:0.226) (0.096:0.096:0.096))
(IOPATH B Y (0.192:0.192:0.192) (0.070:0.070:0.070))
(IOPATH C Y (0.158:0.158:0.159) (0.062:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _2268_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.197:0.197:0.197) (0.219:0.219:0.219))
(IOPATH B Y (0.166:0.166:0.166) (0.159:0.159:0.159))
(IOPATH C Y (0.167:0.171:0.175) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.551:0.551:0.551))
(IOPATH B X (0.145:0.145:0.145) (0.526:0.526:0.526))
(IOPATH C X (0.127:0.127:0.127) (0.478:0.478:0.479))
(IOPATH D_N X (0.216:0.220:0.223) (0.463:0.464:0.465))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2270_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.145:0.145:0.145) (0.084:0.084:0.084))
(IOPATH B Y (0.135:0.135:0.135) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2271_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.154:0.154:0.155))
(IOPATH A2 X (0.137:0.138:0.140) (0.216:0.219:0.223))
(IOPATH B1 X (0.080:0.080:0.080) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2272_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.216:0.221:0.226))
(IOPATH A2 X (0.131:0.131:0.131) (0.218:0.218:0.218))
(IOPATH B1 X (0.142:0.142:0.142) (0.125:0.125:0.125))
(IOPATH C1 X (0.195:0.195:0.195) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _2273_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.288:0.299:0.310) (0.264:0.265:0.266))
(IOPATH B X (0.218:0.218:0.218) (0.200:0.200:0.200))
(IOPATH C X (0.248:0.249:0.251) (0.271:0.276:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2274_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.181:0.181:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2275_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_2")
(INSTANCE _2276_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.288:0.288:0.288) (0.419:0.419:0.419))
(IOPATH A2 X (0.278:0.278:0.278) (0.424:0.427:0.430))
(IOPATH B1 X (0.223:0.223:0.223) (0.414:0.414:0.414))
(IOPATH C1 X (0.263:0.264:0.266) (0.368:0.377:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2277_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.189:0.189:0.189) (0.317:0.317:0.317))
(IOPATH A1 X (0.134:0.134:0.134) (0.292:0.292:0.292))
(IOPATH S X (0.238:0.238:0.238) (0.359:0.359:0.359))
(IOPATH S X (0.192:0.192:0.192) (0.343:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2278_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.305:0.305:0.305))
(IOPATH A1 X (0.179:0.179:0.179) (0.319:0.319:0.319))
(IOPATH S X (0.237:0.237:0.237) (0.359:0.359:0.359))
(IOPATH S X (0.192:0.192:0.192) (0.343:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2279_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.232:0.232:0.232))
(IOPATH A2 X (0.124:0.125:0.126) (0.222:0.222:0.222))
(IOPATH B1 X (0.111:0.111:0.111) (0.171:0.171:0.171))
(IOPATH B2 X (0.164:0.164:0.164) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _2280_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.544:0.544:0.544))
(IOPATH B X (0.179:0.179:0.180) (0.523:0.523:0.524))
(IOPATH C X (0.135:0.135:0.135) (0.461:0.461:0.461))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2281_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.213:0.213:0.213))
(IOPATH A2 X (0.153:0.153:0.153) (0.212:0.212:0.212))
(IOPATH B1 X (0.158:0.158:0.158) (0.139:0.139:0.139))
(IOPATH C1 X (0.188:0.188:0.188) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2282_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.405:0.405:0.405) (0.354:0.354:0.354))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2283_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.303:0.303:0.303))
(IOPATH A1 X (0.138:0.138:0.138) (0.299:0.299:0.299))
(IOPATH S X (0.233:0.233:0.233) (0.350:0.350:0.350))
(IOPATH S X (0.170:0.170:0.170) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2284_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.201:0.201:0.201) (0.349:0.349:0.349))
(IOPATH A1 X (0.151:0.151:0.151) (0.313:0.313:0.313))
(IOPATH S X (0.259:0.259:0.259) (0.381:0.381:0.381))
(IOPATH S X (0.214:0.214:0.214) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2285_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.422:0.422:0.422) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2286_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.144:0.145:0.145) (0.295:0.295:0.295))
(IOPATH A1 X (0.126:0.126:0.126) (0.288:0.288:0.288))
(IOPATH S X (0.233:0.233:0.233) (0.356:0.356:0.356))
(IOPATH S X (0.188:0.188:0.188) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2287_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.240:0.240:0.240))
(IOPATH A2 X (0.141:0.141:0.142) (0.236:0.236:0.236))
(IOPATH B1 X (0.117:0.117:0.118) (0.180:0.180:0.180))
(IOPATH B2 X (0.166:0.166:0.166) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2288_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.264) (0.221:0.221:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2289_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.256:0.256:0.256) (0.367:0.367:0.367))
(IOPATH A2 X (0.213:0.213:0.213) (0.369:0.369:0.369))
(IOPATH B1 X (0.181:0.182:0.182) (0.337:0.337:0.337))
(IOPATH C1 X (0.217:0.217:0.217) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2290_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.213:0.213:0.213))
(IOPATH A2 X (0.151:0.151:0.151) (0.210:0.210:0.210))
(IOPATH B1 X (0.180:0.180:0.180) (0.159:0.159:0.159))
(IOPATH C1 X (0.187:0.187:0.187) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2291_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.312:0.312:0.312))
(IOPATH A1 X (0.147:0.147:0.147) (0.307:0.307:0.307))
(IOPATH S X (0.240:0.240:0.240) (0.358:0.358:0.358))
(IOPATH S X (0.178:0.178:0.178) (0.349:0.349:0.349))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2292_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.186:0.186:0.186) (0.334:0.334:0.334))
(IOPATH A1 X (0.146:0.146:0.146) (0.305:0.305:0.305))
(IOPATH S X (0.250:0.250:0.250) (0.373:0.373:0.373))
(IOPATH S X (0.205:0.205:0.205) (0.356:0.356:0.356))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2293_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.299:0.299:0.299))
(IOPATH A1 X (0.133:0.133:0.133) (0.293:0.293:0.293))
(IOPATH S X (0.236:0.236:0.236) (0.360:0.360:0.360))
(IOPATH S X (0.192:0.192:0.192) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2294_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.242:0.242:0.242))
(IOPATH A2 X (0.139:0.140:0.140) (0.235:0.235:0.235))
(IOPATH B1 X (0.120:0.120:0.121) (0.182:0.183:0.183))
(IOPATH B2 X (0.172:0.172:0.172) (0.202:0.206:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2295_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.246:0.246:0.246) (0.360:0.360:0.360))
(IOPATH A2 X (0.205:0.205:0.205) (0.362:0.362:0.362))
(IOPATH B1 X (0.172:0.173:0.174) (0.330:0.330:0.330))
(IOPATH C1 X (0.207:0.207:0.207) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2296_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.232:0.232:0.232))
(IOPATH A2 X (0.169:0.169:0.169) (0.226:0.226:0.226))
(IOPATH B1 X (0.180:0.180:0.180) (0.158:0.158:0.158))
(IOPATH C1 X (0.205:0.205:0.205) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2297_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.324:0.324:0.324))
(IOPATH A1 X (0.162:0.162:0.162) (0.321:0.321:0.321))
(IOPATH S X (0.254:0.254:0.254) (0.371:0.371:0.371))
(IOPATH S X (0.191:0.191:0.191) (0.362:0.362:0.362))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2298_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.206:0.206:0.206) (0.337:0.337:0.337))
(IOPATH A1 X (0.145:0.145:0.145) (0.306:0.306:0.306))
(IOPATH S X (0.248:0.248:0.248) (0.373:0.373:0.373))
(IOPATH S X (0.204:0.204:0.204) (0.354:0.354:0.354))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2299_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.220:0.224:0.227))
(IOPATH A2 X (0.165:0.165:0.165) (0.251:0.251:0.251))
(IOPATH B1 X (0.136:0.137:0.137) (0.194:0.194:0.194))
(IOPATH B2 X (0.178:0.178:0.178) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2300_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.240:0.240:0.240) (0.356:0.356:0.356))
(IOPATH A2 X (0.201:0.201:0.201) (0.360:0.360:0.360))
(IOPATH B1 X (0.171:0.171:0.172) (0.328:0.328:0.328))
(IOPATH C1 X (0.201:0.201:0.201) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2301_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.219:0.219:0.219))
(IOPATH A2 X (0.155:0.155:0.155) (0.214:0.214:0.214))
(IOPATH B1 X (0.164:0.164:0.164) (0.145:0.145:0.145))
(IOPATH C1 X (0.191:0.191:0.191) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2302_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.281:0.281:0.281))
(IOPATH A1 X (0.126:0.126:0.126) (0.285:0.285:0.285))
(IOPATH S X (0.228:0.228:0.228) (0.351:0.351:0.351))
(IOPATH S X (0.184:0.184:0.184) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2303_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.186:0.186:0.186) (0.335:0.335:0.335))
(IOPATH A1 X (0.152:0.152:0.152) (0.309:0.309:0.309))
(IOPATH S X (0.242:0.242:0.242) (0.359:0.359:0.359))
(IOPATH S X (0.179:0.179:0.179) (0.349:0.349:0.349))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2304_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2305_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.208:0.208:0.208) (0.263:0.263:0.263))
(IOPATH A2 X (0.158:0.158:0.158) (0.248:0.248:0.248))
(IOPATH B1 X (0.145:0.146:0.146) (0.202:0.202:0.202))
(IOPATH B2 X (0.181:0.181:0.181) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2306_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.323:0.323:0.323))
(IOPATH A2 X (0.187:0.188:0.188) (0.352:0.352:0.353))
(IOPATH B1 X (0.187:0.187:0.187) (0.329:0.329:0.329))
(IOPATH C1 X (0.153:0.153:0.153) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2307_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.314:0.314:0.314) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2308_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.215:0.215:0.215))
(IOPATH A2 X (0.153:0.153:0.153) (0.212:0.212:0.212))
(IOPATH B1 X (0.162:0.162:0.162) (0.144:0.144:0.144))
(IOPATH C1 X (0.171:0.171:0.171) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _2309_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.239:0.239:0.240) (0.198:0.198:0.198))
(IOPATH B X (0.214:0.214:0.214) (0.177:0.181:0.185))
(IOPATH C X (0.180:0.180:0.181) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2310_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.137:0.137:0.137) (0.287:0.287:0.287))
(IOPATH A1 X (0.142:0.142:0.142) (0.289:0.289:0.289))
(IOPATH S X (0.225:0.225:0.225) (0.346:0.346:0.346))
(IOPATH S X (0.179:0.179:0.179) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2311_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.321:0.321:0.321))
(IOPATH A1 X (0.135:0.135:0.135) (0.290:0.290:0.290))
(IOPATH S X (0.235:0.235:0.235) (0.356:0.356:0.356))
(IOPATH S X (0.190:0.190:0.190) (0.339:0.339:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2312_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.206:0.206) (0.262:0.262:0.262))
(IOPATH A2 X (0.149:0.149:0.149) (0.241:0.240:0.240))
(IOPATH B1 X (0.130:0.131:0.132) (0.191:0.191:0.191))
(IOPATH B2 X (0.179:0.179:0.179) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _2313_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.182:0.182) (0.547:0.547:0.548))
(IOPATH B X (0.147:0.147:0.147) (0.498:0.498:0.499))
(IOPATH C X (0.141:0.141:0.141) (0.464:0.464:0.464))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2314_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.222:0.222:0.222))
(IOPATH A2 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH B1 X (0.171:0.171:0.171) (0.150:0.150:0.150))
(IOPATH C1 X (0.180:0.180:0.180) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2315_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.197:0.197:0.197) (0.327:0.327:0.327))
(IOPATH A1 X (0.145:0.145:0.145) (0.301:0.301:0.301))
(IOPATH S X (0.233:0.233:0.233) (0.349:0.349:0.349))
(IOPATH S X (0.170:0.170:0.170) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2316_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.284:0.284:0.284))
(IOPATH A1 X (0.120:0.120:0.120) (0.281:0.281:0.281))
(IOPATH S X (0.218:0.218:0.218) (0.333:0.333:0.333))
(IOPATH S X (0.155:0.155:0.155) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_2")
(INSTANCE _2317_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.237:0.237:0.237) (0.412:0.412:0.412))
(IOPATH A2 X (0.193:0.194:0.195) (0.434:0.435:0.435))
(IOPATH B1 X (0.184:0.184:0.184) (0.381:0.381:0.381))
(IOPATH B2 X (0.238:0.238:0.238) (0.444:0.444:0.444))
(IOPATH C1 X (0.184:0.184:0.184) (0.369:0.369:0.370))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2318_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.216:0.216:0.216))
(IOPATH A2 X (0.156:0.156:0.156) (0.214:0.214:0.214))
(IOPATH B1 X (0.168:0.168:0.168) (0.149:0.149:0.149))
(IOPATH C1 X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2319_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.216:0.216:0.216) (0.364:0.364:0.364))
(IOPATH A1 X (0.169:0.169:0.169) (0.329:0.329:0.329))
(IOPATH S X (0.263:0.263:0.263) (0.380:0.380:0.380))
(IOPATH S X (0.200:0.200:0.200) (0.371:0.371:0.371))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2320_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.198:0.198:0.198) (0.349:0.349:0.349))
(IOPATH A1 X (0.119:0.119:0.119) (0.280:0.280:0.280))
(IOPATH S X (0.217:0.217:0.217) (0.331:0.331:0.331))
(IOPATH S X (0.154:0.154:0.154) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_2")
(INSTANCE _2321_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.263:0.263:0.263) (0.433:0.433:0.433))
(IOPATH A2 X (0.230:0.230:0.231) (0.463:0.463:0.464))
(IOPATH B1 X (0.211:0.211:0.211) (0.402:0.402:0.402))
(IOPATH B2 X (0.264:0.264:0.264) (0.464:0.464:0.464))
(IOPATH C1 X (0.209:0.209:0.210) (0.389:0.390:0.390))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2322_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.219:0.219:0.219))
(IOPATH A2 X (0.157:0.157:0.157) (0.215:0.215:0.215))
(IOPATH B1 X (0.198:0.198:0.198) (0.176:0.176:0.176))
(IOPATH C1 X (0.175:0.175:0.175) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2323_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.212:0.213:0.213) (0.361:0.361:0.361))
(IOPATH A1 X (0.198:0.198:0.198) (0.353:0.353:0.353))
(IOPATH S X (0.293:0.293:0.293) (0.413:0.413:0.413))
(IOPATH S X (0.246:0.246:0.246) (0.394:0.394:0.394))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2324_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.167:0.167:0.167) (0.317:0.317:0.317))
(IOPATH A1 X (0.126:0.126:0.126) (0.286:0.286:0.286))
(IOPATH S X (0.222:0.222:0.222) (0.337:0.337:0.337))
(IOPATH S X (0.159:0.159:0.159) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2325_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.251:0.251:0.251) (0.293:0.293:0.293))
(IOPATH A2 X (0.215:0.215:0.215) (0.292:0.292:0.292))
(IOPATH B1 X (0.174:0.175:0.176) (0.221:0.221:0.222))
(IOPATH B2 X (0.218:0.218:0.218) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2326_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.233:0.233:0.233) (0.347:0.347:0.347))
(IOPATH A2 X (0.246:0.246:0.246) (0.380:0.380:0.380))
(IOPATH B1 X (0.217:0.217:0.217) (0.352:0.352:0.352))
(IOPATH C1 X (0.196:0.196:0.196) (0.304:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2327_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.226:0.226:0.226))
(IOPATH A2 X (0.165:0.165:0.165) (0.223:0.223:0.223))
(IOPATH B1 X (0.187:0.187:0.187) (0.166:0.166:0.166))
(IOPATH C1 X (0.183:0.183:0.183) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2328_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.217:0.217:0.218) (0.365:0.365:0.366))
(IOPATH A1 X (0.207:0.207:0.207) (0.360:0.360:0.360))
(IOPATH S X (0.302:0.302:0.302) (0.421:0.421:0.421))
(IOPATH S X (0.255:0.255:0.255) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2329_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.200:0.200:0.200) (0.349:0.349:0.349))
(IOPATH A1 X (0.164:0.164:0.164) (0.324:0.324:0.324))
(IOPATH S X (0.257:0.257:0.257) (0.374:0.374:0.374))
(IOPATH S X (0.194:0.194:0.194) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2330_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.250:0.250:0.250) (0.292:0.292:0.292))
(IOPATH A2 X (0.217:0.217:0.217) (0.294:0.294:0.294))
(IOPATH B1 X (0.187:0.188:0.188) (0.231:0.231:0.231))
(IOPATH B2 X (0.217:0.217:0.217) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2331_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.334:0.334:0.334))
(IOPATH A2 X (0.228:0.228:0.228) (0.367:0.367:0.367))
(IOPATH B1 X (0.199:0.199:0.199) (0.339:0.339:0.339))
(IOPATH C1 X (0.178:0.178:0.178) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2332_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.153:0.153) (0.222:0.222:0.222))
(IOPATH A2 X (0.162:0.162:0.162) (0.220:0.220:0.220))
(IOPATH B1 X (0.174:0.174:0.174) (0.154:0.154:0.154))
(IOPATH C1 X (0.180:0.180:0.180) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2333_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.273:0.273:0.274) (0.407:0.407:0.407))
(IOPATH A1 X (0.217:0.217:0.217) (0.367:0.367:0.367))
(IOPATH S X (0.311:0.311:0.311) (0.427:0.427:0.427))
(IOPATH S X (0.264:0.264:0.264) (0.408:0.408:0.408))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2334_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.210:0.210:0.210) (0.363:0.363:0.363))
(IOPATH A1 X (0.163:0.163:0.163) (0.323:0.323:0.323))
(IOPATH S X (0.265:0.265:0.265) (0.389:0.389:0.389))
(IOPATH S X (0.220:0.220:0.220) (0.371:0.371:0.371))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2335_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.260:0.260:0.260) (0.298:0.298:0.298))
(IOPATH A2 X (0.230:0.230:0.230) (0.302:0.303:0.303))
(IOPATH B1 X (0.196:0.197:0.197) (0.236:0.236:0.237))
(IOPATH B2 X (0.227:0.227:0.227) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2336_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.327:0.327:0.327))
(IOPATH A2 X (0.230:0.230:0.230) (0.369:0.369:0.369))
(IOPATH B1 X (0.201:0.201:0.201) (0.340:0.340:0.340))
(IOPATH C1 X (0.182:0.182:0.182) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2337_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.214:0.214:0.214))
(IOPATH A2 X (0.147:0.147:0.147) (0.216:0.216:0.216))
(IOPATH B1 X (0.160:0.160:0.160) (0.142:0.142:0.142))
(IOPATH C1 X (0.170:0.170:0.170) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2338_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.264:0.264:0.264) (0.400:0.400:0.400))
(IOPATH A1 X (0.211:0.211:0.211) (0.361:0.361:0.361))
(IOPATH S X (0.302:0.302:0.302) (0.420:0.420:0.420))
(IOPATH S X (0.255:0.255:0.255) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2339_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.240:0.240:0.240) (0.366:0.366:0.366))
(IOPATH A1 X (0.192:0.192:0.192) (0.348:0.348:0.348))
(IOPATH S X (0.295:0.295:0.295) (0.415:0.415:0.415))
(IOPATH S X (0.251:0.251:0.251) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2340_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.247:0.247:0.247) (0.291:0.291:0.291))
(IOPATH A2 X (0.214:0.214:0.215) (0.292:0.292:0.292))
(IOPATH B1 X (0.197:0.197:0.198) (0.236:0.236:0.236))
(IOPATH B2 X (0.214:0.214:0.214) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2341_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.228:0.228:0.228) (0.343:0.343:0.343))
(IOPATH A2 X (0.242:0.242:0.242) (0.378:0.378:0.378))
(IOPATH B1 X (0.214:0.214:0.214) (0.350:0.350:0.350))
(IOPATH C1 X (0.191:0.191:0.191) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2342_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.221:0.221:0.221))
(IOPATH A2 X (0.155:0.155:0.155) (0.224:0.224:0.224))
(IOPATH B1 X (0.167:0.167:0.167) (0.147:0.147:0.147))
(IOPATH C1 X (0.178:0.178:0.178) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2343_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.217:0.217:0.217) (0.366:0.366:0.366))
(IOPATH A1 X (0.178:0.178:0.178) (0.338:0.338:0.338))
(IOPATH S X (0.274:0.274:0.274) (0.399:0.399:0.399))
(IOPATH S X (0.227:0.227:0.227) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2344_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.258:0.258:0.258) (0.379:0.379:0.379))
(IOPATH A1 X (0.207:0.207:0.207) (0.360:0.360:0.360))
(IOPATH S X (0.311:0.311:0.311) (0.428:0.428:0.428))
(IOPATH S X (0.267:0.267:0.267) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2345_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.229:0.229:0.229) (0.279:0.279:0.279))
(IOPATH A2 X (0.186:0.187:0.187) (0.272:0.272:0.272))
(IOPATH B1 X (0.185:0.185:0.185) (0.229:0.229:0.229))
(IOPATH B2 X (0.196:0.196:0.196) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2346_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.208:0.208:0.208) (0.328:0.328:0.328))
(IOPATH A2 X (0.228:0.228:0.228) (0.367:0.367:0.367))
(IOPATH B1 X (0.199:0.199:0.199) (0.339:0.339:0.339))
(IOPATH C1 X (0.168:0.169:0.169) (0.287:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2347_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.218:0.218:0.218))
(IOPATH A2 X (0.152:0.152:0.152) (0.222:0.222:0.222))
(IOPATH B1 X (0.165:0.165:0.165) (0.145:0.145:0.146))
(IOPATH C1 X (0.176:0.176:0.176) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2348_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.290:0.290:0.290) (0.404:0.404:0.404))
(IOPATH A1 X (0.243:0.243:0.243) (0.387:0.387:0.387))
(IOPATH S X (0.346:0.346:0.346) (0.454:0.454:0.454))
(IOPATH S X (0.301:0.301:0.301) (0.435:0.435:0.435))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2349_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.179:0.180) (0.242:0.243:0.243))
(IOPATH A2 X (0.195:0.195:0.195) (0.240:0.243:0.247))
(IOPATH B1 X (0.169:0.169:0.169) (0.219:0.219:0.219))
(IOPATH B2 X (0.169:0.169:0.169) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2350_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.221:0.221:0.221) (0.342:0.342:0.342))
(IOPATH A2 X (0.208:0.208:0.208) (0.365:0.365:0.365))
(IOPATH B1 X (0.188:0.188:0.188) (0.330:0.330:0.330))
(IOPATH C1 X (0.147:0.147:0.147) (0.273:0.273:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2351_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.213:0.213:0.213))
(IOPATH A2 X (0.148:0.148:0.148) (0.217:0.217:0.217))
(IOPATH B1 X (0.156:0.156:0.156) (0.138:0.138:0.138))
(IOPATH C1 X (0.171:0.171:0.171) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2352_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.265:0.265:0.265) (0.386:0.386:0.386))
(IOPATH A1 X (0.203:0.203:0.203) (0.357:0.357:0.357))
(IOPATH S X (0.308:0.308:0.308) (0.425:0.425:0.425))
(IOPATH S X (0.264:0.264:0.264) (0.406:0.406:0.406))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2353_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.177:0.177) (0.241:0.241:0.241))
(IOPATH A2 X (0.196:0.196:0.196) (0.240:0.244:0.247))
(IOPATH B1 X (0.158:0.158:0.158) (0.208:0.209:0.209))
(IOPATH B2 X (0.176:0.176:0.176) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2354_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.225:0.225:0.225) (0.346:0.346:0.346))
(IOPATH A2 X (0.207:0.207:0.207) (0.363:0.363:0.363))
(IOPATH B1 X (0.185:0.185:0.185) (0.342:0.343:0.344))
(IOPATH C1 X (0.152:0.152:0.152) (0.276:0.276:0.276))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2355_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.216:0.216:0.216))
(IOPATH A2 X (0.149:0.149:0.149) (0.219:0.219:0.219))
(IOPATH B1 X (0.159:0.159:0.159) (0.141:0.141:0.141))
(IOPATH C1 X (0.184:0.184:0.184) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2356_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.239:0.239:0.239) (0.383:0.383:0.383))
(IOPATH A1 X (0.188:0.188:0.188) (0.345:0.345:0.345))
(IOPATH S X (0.293:0.293:0.293) (0.413:0.413:0.413))
(IOPATH S X (0.249:0.249:0.249) (0.395:0.395:0.395))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2357_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.218:0.218:0.218) (0.270:0.270:0.270))
(IOPATH A2 X (0.228:0.228:0.228) (0.308:0.308:0.308))
(IOPATH B1 X (0.185:0.185:0.185) (0.213:0.213:0.213))
(IOPATH B2 X (0.168:0.169:0.169) (0.234:0.234:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2358_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.314:0.314:0.314))
(IOPATH A2 X (0.215:0.215:0.215) (0.358:0.358:0.358))
(IOPATH B1 X (0.179:0.179:0.179) (0.338:0.339:0.340))
(IOPATH C1 X (0.152:0.152:0.152) (0.275:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2359_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.222:0.222:0.222))
(IOPATH A2 X (0.153:0.153:0.153) (0.222:0.222:0.222))
(IOPATH B1 X (0.163:0.163:0.163) (0.143:0.143:0.143))
(IOPATH C1 X (0.188:0.188:0.188) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2360_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.251:0.251:0.251))
(IOPATH B X (0.126:0.126:0.126) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211oi_1")
(INSTANCE _2361_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.274:0.274:0.274) (0.089:0.089:0.089))
(IOPATH A2 Y (0.298:0.298:0.298) (0.078:0.078:0.078))
(IOPATH B1 Y (0.312:0.312:0.312) (0.104:0.104:0.104))
(IOPATH C1 Y (0.289:0.289:0.289) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2362_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.450:0.450:0.450))
(IOPATH B X (0.176:0.176:0.176) (0.420:0.421:0.421))
(IOPATH C_N X (0.242:0.242:0.242) (0.425:0.425:0.425))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31ai_2")
(INSTANCE _2363_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.456:0.456:0.456) (0.171:0.171:0.171))
(IOPATH A2 Y (0.408:0.410:0.411) (0.118:0.119:0.119))
(IOPATH A3 Y (0.367:0.373:0.378) (0.142:0.145:0.148))
(IOPATH B1 Y (0.136:0.137:0.137) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2364_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.290:0.290:0.290) (0.165:0.165:0.165))
(IOPATH B Y (0.248:0.248:0.248) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2365_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.484:0.484:0.484))
(IOPATH B X (0.245:0.245:0.245) (0.462:0.462:0.462))
(IOPATH C X (0.242:0.242:0.242) (0.403:0.410:0.416))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2366_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.242:0.268) (0.196:0.202:0.209))
(IOPATH B X (0.224:0.224:0.224) (0.237:0.237:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_2")
(INSTANCE _2367_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.276:0.285:0.294) (0.129:0.130:0.131))
(IOPATH A2 Y (0.275:0.275:0.275) (0.124:0.124:0.124))
(IOPATH B1 Y (0.136:0.142:0.149) (0.119:0.152:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2368_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.144) (0.282:0.283:0.283))
(IOPATH A1 X (0.143:0.159:0.175) (0.298:0.308:0.319))
(IOPATH S X (0.240:0.240:0.240) (0.349:0.349:0.349))
(IOPATH S X (0.183:0.183:0.183) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.190:0.190:0.190))
(IOPATH B X (0.110:0.111:0.112) (0.149:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.079) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2371_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.414:0.414:0.414))
(IOPATH B X (0.270:0.270:0.270) (0.406:0.406:0.406))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2372_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.178:0.179) (0.254:0.255:0.255))
(IOPATH A2 X (0.174:0.174:0.174) (0.248:0.248:0.248))
(IOPATH A3 X (0.176:0.176:0.177) (0.277:0.278:0.278))
(IOPATH B1 X (0.133:0.147:0.160) (0.210:0.221:0.232))
(IOPATH B2 X (0.158:0.158:0.158) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.195:0.195:0.195))
(IOPATH B X (0.120:0.120:0.121) (0.148:0.149:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2374_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2375_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.214:0.214:0.214))
(IOPATH A2 X (0.202:0.202:0.202) (0.255:0.255:0.255))
(IOPATH A3 X (0.164:0.186:0.209) (0.232:0.239:0.245))
(IOPATH B1 X (0.135:0.135:0.135) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _2376_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.236:0.236:0.236))
(IOPATH A2 X (0.176:0.176:0.176) (0.269:0.269:0.270))
(IOPATH A3 X (0.150:0.151:0.151) (0.240:0.241:0.242))
(IOPATH B1 X (0.131:0.145:0.158) (0.209:0.220:0.231))
(IOPATH B2 X (0.146:0.146:0.146) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2377_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.191:0.191:0.191))
(IOPATH B X (0.113:0.114:0.114) (0.143:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2378_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.340:0.340:0.340) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2380_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.192:0.192:0.192) (0.114:0.114:0.114))
(IOPATH B Y (0.179:0.179:0.179) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2381_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.148:0.148:0.148) (0.138:0.138:0.138))
(IOPATH B Y (0.135:0.135:0.135) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2382_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.169:0.169:0.169))
(IOPATH B X (0.194:0.194:0.194) (0.197:0.197:0.197))
(IOPATH C X (0.184:0.184:0.184) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2383_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.160:0.160) (0.179:0.181:0.183))
(IOPATH A2 X (0.160:0.160:0.160) (0.212:0.212:0.212))
(IOPATH A3 X (0.147:0.148:0.149) (0.225:0.232:0.238))
(IOPATH B1 X (0.095:0.095:0.095) (0.176:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2384_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.149:0.149:0.149) (0.099:0.099:0.099))
(IOPATH A2 Y (0.158:0.168:0.178) (0.084:0.096:0.109))
(IOPATH B1 Y (0.109:0.109:0.110) (0.036:0.036:0.037))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2385_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.143:0.143:0.143) (0.096:0.096:0.096))
(IOPATH B Y (0.089:0.097:0.105) (0.052:0.055:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2386_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.379:0.379:0.379))
(IOPATH B X (0.227:0.227:0.227) (0.381:0.382:0.382))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2387_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.292:0.292:0.292) (0.445:0.445:0.445))
(IOPATH B X (0.234:0.234:0.234) (0.387:0.387:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2388_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.118:0.118:0.118))
(IOPATH B Y (0.109:0.109:0.109) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _2389_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.198:0.198:0.198) (0.167:0.168:0.168))
(IOPATH B Y (0.175:0.187:0.198) (0.139:0.142:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2390_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.086:0.086:0.086))
(IOPATH B Y (0.126:0.128:0.129) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _2391_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.240:0.240:0.240))
(IOPATH A2 X (0.173:0.173:0.173) (0.204:0.212:0.220))
(IOPATH B1 X (0.138:0.139:0.140) (0.170:0.173:0.176))
(IOPATH B2 X (0.152:0.152:0.152) (0.178:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2392_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.164:0.174:0.183) (0.096:0.110:0.123))
(IOPATH A2 Y (0.129:0.130:0.131) (0.059:0.060:0.060))
(IOPATH B1 Y (0.150:0.150:0.150) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2393_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.306:0.306:0.306) (0.119:0.119:0.119))
(IOPATH B Y (0.321:0.321:0.322) (0.134:0.135:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _2394_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.237:0.237:0.237))
(IOPATH A2 X (0.142:0.142:0.142) (0.217:0.217:0.217))
(IOPATH B1 X (0.133:0.133:0.133) (0.181:0.181:0.181))
(IOPATH B2 X (0.180:0.180:0.180) (0.176:0.178:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2395_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.110:0.123:0.136) (0.072:0.074:0.076))
(IOPATH A2 Y (0.133:0.133:0.133) (0.058:0.058:0.058))
(IOPATH B1 Y (0.138:0.138:0.138) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2396_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.048:0.054:0.060) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2397_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.556:0.556:0.556))
(IOPATH B X (0.135:0.135:0.135) (0.502:0.502:0.502))
(IOPATH C X (0.156:0.156:0.156) (0.489:0.489:0.489))
(IOPATH D_N X (0.163:0.163:0.163) (0.439:0.439:0.439))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2398_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.605:0.605:0.605))
(IOPATH B X (0.131:0.132:0.134) (0.550:0.550:0.550))
(IOPATH C X (0.154:0.155:0.155) (0.540:0.540:0.540))
(IOPATH D X (0.129:0.130:0.131) (0.459:0.459:0.459))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.229:0.229) (0.218:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2400_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.326:0.326:0.326) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2401_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.160:0.177) (0.293:0.294:0.295))
(IOPATH A1 X (0.131:0.131:0.131) (0.292:0.292:0.292))
(IOPATH S X (0.236:0.236:0.236) (0.342:0.342:0.342))
(IOPATH S X (0.171:0.171:0.171) (0.339:0.339:0.339))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2402_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2403_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.177:0.195) (0.311:0.314:0.316))
(IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295))
(IOPATH S X (0.243:0.243:0.243) (0.350:0.350:0.350))
(IOPATH S X (0.178:0.178:0.178) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2404_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2405_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.169:0.187) (0.301:0.302:0.304))
(IOPATH A1 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH S X (0.235:0.235:0.235) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2406_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2407_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.174:0.193) (0.308:0.310:0.311))
(IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
(IOPATH S X (0.237:0.237:0.237) (0.343:0.343:0.343))
(IOPATH S X (0.172:0.172:0.172) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2408_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2409_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.159:0.177:0.195) (0.311:0.313:0.315))
(IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
(IOPATH S X (0.238:0.238:0.238) (0.344:0.344:0.344))
(IOPATH S X (0.173:0.173:0.173) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2410_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2411_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.164:0.183:0.201) (0.317:0.319:0.321))
(IOPATH A1 X (0.141:0.141:0.141) (0.303:0.303:0.303))
(IOPATH S X (0.244:0.244:0.244) (0.351:0.351:0.351))
(IOPATH S X (0.179:0.179:0.179) (0.348:0.348:0.348))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2412_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2413_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.184:0.203) (0.318:0.320:0.322))
(IOPATH A1 X (0.131:0.131:0.131) (0.295:0.295:0.295))
(IOPATH S X (0.241:0.241:0.241) (0.348:0.348:0.348))
(IOPATH S X (0.176:0.176:0.176) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2414_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2415_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.177:0.196:0.215) (0.330:0.331:0.332))
(IOPATH A1 X (0.148:0.148:0.148) (0.312:0.312:0.312))
(IOPATH S X (0.256:0.256:0.256) (0.364:0.364:0.364))
(IOPATH S X (0.191:0.191:0.191) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2416_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2417_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.172:0.172:0.172) (0.308:0.308:0.308))
(IOPATH A1 X (0.132:0.132:0.132) (0.294:0.294:0.294))
(IOPATH S X (0.238:0.238:0.238) (0.345:0.345:0.345))
(IOPATH S X (0.174:0.174:0.174) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2418_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2419_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.184:0.184:0.184) (0.319:0.320:0.320))
(IOPATH A1 X (0.133:0.133:0.133) (0.297:0.297:0.297))
(IOPATH S X (0.242:0.242:0.242) (0.349:0.349:0.349))
(IOPATH S X (0.177:0.177:0.177) (0.346:0.346:0.346))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2420_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2421_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.314:0.314:0.315))
(IOPATH A1 X (0.126:0.126:0.126) (0.288:0.288:0.288))
(IOPATH S X (0.206:0.206:0.206) (0.332:0.332:0.332))
(IOPATH S X (0.157:0.157:0.157) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2422_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2423_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.313:0.313:0.314))
(IOPATH A1 X (0.137:0.137:0.137) (0.299:0.299:0.299))
(IOPATH S X (0.213:0.213:0.213) (0.340:0.340:0.340))
(IOPATH S X (0.164:0.164:0.164) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2425_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.167:0.167:0.167) (0.305:0.305:0.305))
(IOPATH A1 X (0.136:0.136:0.136) (0.297:0.297:0.297))
(IOPATH S X (0.211:0.211:0.211) (0.338:0.338:0.338))
(IOPATH S X (0.162:0.162:0.162) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2426_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2427_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.188:0.188:0.188) (0.325:0.325:0.325))
(IOPATH A1 X (0.153:0.153:0.153) (0.314:0.314:0.314))
(IOPATH S X (0.224:0.224:0.224) (0.353:0.353:0.353))
(IOPATH S X (0.175:0.175:0.175) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2428_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2429_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.180:0.181:0.181) (0.317:0.317:0.317))
(IOPATH A1 X (0.138:0.138:0.138) (0.302:0.302:0.302))
(IOPATH S X (0.217:0.217:0.217) (0.345:0.345:0.345))
(IOPATH S X (0.168:0.168:0.168) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2430_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2431_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.167:0.167:0.167) (0.303:0.304:0.304))
(IOPATH A1 X (0.131:0.131:0.131) (0.292:0.292:0.292))
(IOPATH S X (0.209:0.209:0.209) (0.335:0.335:0.335))
(IOPATH S X (0.160:0.160:0.160) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2432_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.113:0.115) (0.236:0.236:0.237))
(IOPATH B X (0.104:0.104:0.104) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2434_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.245:0.245:0.245))
(IOPATH B X (0.106:0.106:0.106) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2435_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.316:0.316:0.316))
(IOPATH A1 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH S X (0.192:0.192:0.192) (0.309:0.309:0.309))
(IOPATH S X (0.126:0.126:0.126) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_2")
(INSTANCE _2437_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.400:0.400:0.400))
(IOPATH B_N X (0.291:0.291:0.291) (0.408:0.408:0.408))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2438_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.222:0.222:0.222) (0.116:0.116:0.116))
(IOPATH B Y (0.214:0.214:0.214) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2439_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.359:0.361:0.362) (0.148:0.149:0.150))
(IOPATH B Y (0.323:0.323:0.323) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2440_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.065:0.068:0.071) (0.077:0.077:0.077))
(IOPATH B Y (0.085:0.089:0.093) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2441_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.232:0.232:0.232))
(IOPATH B X (0.255:0.255:0.255) (0.217:0.220:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2442_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.215:0.215:0.215))
(IOPATH A2 X (0.122:0.124:0.125) (0.223:0.225:0.227))
(IOPATH B1 X (0.144:0.144:0.144) (0.185:0.185:0.185))
(IOPATH B2 X (0.180:0.180:0.180) (0.205:0.208:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_2")
(INSTANCE _2443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.397:0.397:0.397))
(IOPATH B_N X (0.218:0.218:0.218) (0.358:0.358:0.358))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2444_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.355:0.355:0.355) (0.157:0.157:0.157))
(IOPATH B Y (0.336:0.336:0.336) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2445_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.225) (0.325:0.326:0.328))
(IOPATH B X (0.201:0.201:0.201) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2446_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.392:0.392:0.392))
(IOPATH B X (0.212:0.212:0.212) (0.367:0.367:0.367))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2447_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.199:0.199:0.199))
(IOPATH A2 X (0.124:0.124:0.124) (0.188:0.188:0.188))
(IOPATH B1 X (0.110:0.110:0.110) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.220:0.220:0.220))
(IOPATH A2 X (0.205:0.205:0.205) (0.222:0.226:0.229))
(IOPATH A3 X (0.201:0.201:0.201) (0.236:0.240:0.243))
(IOPATH B1 X (0.095:0.095:0.095) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.300:0.300:0.300) (0.433:0.433:0.433))
(IOPATH B X (0.295:0.296:0.297) (0.438:0.438:0.439))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2450_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.244:0.244:0.244))
(IOPATH B X (0.140:0.140:0.140) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _2451_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.364:0.364:0.364) (0.266:0.267:0.267))
(IOPATH B X (0.283:0.283:0.283) (0.242:0.242:0.242))
(IOPATH C X (0.320:0.320:0.320) (0.287:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2452_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.210:0.210:0.210))
(IOPATH A2 X (0.122:0.122:0.122) (0.217:0.218:0.218))
(IOPATH B1 X (0.149:0.149:0.149) (0.188:0.189:0.190))
(IOPATH B2 X (0.179:0.179:0.179) (0.204:0.208:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2453_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.411:0.411:0.411) (0.303:0.303:0.303))
(IOPATH B X (0.380:0.380:0.380) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2454_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.418:0.418:0.418))
(IOPATH B X (0.273:0.273:0.273) (0.413:0.413:0.413))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2455_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.162:0.162:0.162) (0.087:0.087:0.087))
(IOPATH B Y (0.161:0.161:0.161) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2456_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.115:0.115:0.115) (0.273:0.273:0.273))
(IOPATH A1 X (0.188:0.188:0.188) (0.331:0.331:0.332))
(IOPATH S X (0.190:0.191:0.193) (0.323:0.324:0.324))
(IOPATH S X (0.143:0.144:0.144) (0.300:0.302:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2457_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _2458_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.342:0.342:0.342) (0.129:0.129:0.129))
(IOPATH B Y (0.320:0.320:0.320) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2459_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.385:0.385:0.385))
(IOPATH B X (0.221:0.221:0.221) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _2460_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.254:0.254:0.254) (0.116:0.116:0.116))
(IOPATH B Y (0.237:0.237:0.237) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2461_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.114:0.119) (0.158:0.158:0.158))
(IOPATH B Y (0.114:0.117:0.120) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2462_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.317:0.317:0.317))
(IOPATH A1 X (0.116:0.116:0.116) (0.283:0.283:0.283))
(IOPATH S X (0.207:0.215:0.222) (0.316:0.317:0.317))
(IOPATH S X (0.134:0.135:0.135) (0.314:0.320:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2463_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _2464_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.343:0.343:0.343))
(IOPATH B X (0.155:0.155:0.155) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2465_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.167:0.167:0.167) (0.090:0.090:0.090))
(IOPATH B Y (0.143:0.143:0.143) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2466_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.286:0.286:0.286))
(IOPATH A1 X (0.201:0.201:0.202) (0.346:0.346:0.346))
(IOPATH S X (0.193:0.197:0.202) (0.339:0.339:0.339))
(IOPATH S X (0.156:0.156:0.156) (0.308:0.312:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2467_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2468_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.214:0.214:0.214))
(IOPATH A2 X (0.140:0.140:0.140) (0.195:0.195:0.195))
(IOPATH B1 X (0.128:0.128:0.128) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2469_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.215:0.215:0.215))
(IOPATH A2 X (0.193:0.193:0.193) (0.215:0.217:0.220))
(IOPATH A3 X (0.193:0.193:0.193) (0.228:0.232:0.235))
(IOPATH B1 X (0.092:0.092:0.092) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _2470_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.133:0.133) (0.103:0.103:0.103))
(IOPATH B Y (0.090:0.094:0.098) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2471_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.269:0.269:0.269))
(IOPATH B X (0.158:0.158:0.158) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2472_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.168:0.168:0.168) (0.315:0.315:0.315))
(IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283))
(IOPATH S X (0.192:0.193:0.193) (0.309:0.309:0.309))
(IOPATH S X (0.126:0.126:0.127) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2473_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.317:0.317:0.317))
(IOPATH B X (0.225:0.225:0.225) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2475_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.202:0.202:0.202))
(IOPATH A2 X (0.134:0.134:0.134) (0.193:0.193:0.193))
(IOPATH B1 X (0.108:0.108:0.108) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2476_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.163) (0.207:0.208:0.210))
(IOPATH A2 X (0.198:0.198:0.198) (0.210:0.214:0.218))
(IOPATH A3 X (0.163:0.163:0.163) (0.227:0.227:0.227))
(IOPATH B1 X (0.093:0.093:0.093) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2477_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.248:0.249:0.249))
(IOPATH B X (0.097:0.100:0.103) (0.215:0.219:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _2478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.199:0.199:0.199))
(IOPATH B X (0.282:0.282:0.282) (0.238:0.238:0.238))
(IOPATH C X (0.247:0.247:0.247) (0.242:0.242:0.242))
(IOPATH D X (0.288:0.288:0.288) (0.245:0.249:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2479_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.214:0.214:0.214))
(IOPATH A2 X (0.131:0.131:0.131) (0.227:0.227:0.227))
(IOPATH B1 X (0.134:0.135:0.135) (0.180:0.181:0.182))
(IOPATH B2 X (0.187:0.187:0.187) (0.207:0.210:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2480_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.162) (0.217:0.217:0.217))
(IOPATH A2 X (0.137:0.137:0.137) (0.196:0.196:0.196))
(IOPATH B1 X (0.111:0.111:0.111) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2481_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.156) (0.201:0.203:0.204))
(IOPATH A2 X (0.191:0.191:0.191) (0.205:0.208:0.212))
(IOPATH A3 X (0.160:0.161:0.161) (0.226:0.226:0.227))
(IOPATH B1 X (0.084:0.084:0.084) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2482_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.262:0.262:0.262))
(IOPATH B X (0.101:0.104:0.107) (0.219:0.224:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4bb_1")
(INSTANCE _2483_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.330:0.330:0.330) (0.230:0.230:0.230))
(IOPATH B_N X (0.358:0.358:0.359) (0.276:0.276:0.276))
(IOPATH C X (0.265:0.265:0.265) (0.252:0.252:0.252))
(IOPATH D X (0.303:0.303:0.303) (0.295:0.295:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2484_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.220:0.220:0.220))
(IOPATH A2 X (0.135:0.135:0.136) (0.230:0.231:0.231))
(IOPATH B1 X (0.144:0.144:0.145) (0.186:0.187:0.188))
(IOPATH B2 X (0.190:0.190:0.190) (0.209:0.213:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2485_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.249:0.249:0.249))
(IOPATH B X (0.136:0.136:0.136) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2486_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.170:0.170:0.170) (0.317:0.317:0.317))
(IOPATH A1 X (0.124:0.124:0.124) (0.288:0.288:0.288))
(IOPATH S X (0.193:0.193:0.193) (0.310:0.310:0.310))
(IOPATH S X (0.127:0.127:0.127) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2487_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2488_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.376:0.376:0.376) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2489_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.286:0.286:0.286))
(IOPATH B X (0.145:0.145:0.145) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2490_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.175:0.175:0.175) (0.324:0.324:0.324))
(IOPATH A1 X (0.120:0.120:0.120) (0.288:0.288:0.288))
(IOPATH S X (0.193:0.193:0.193) (0.311:0.311:0.311))
(IOPATH S X (0.126:0.127:0.127) (0.305:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2491_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.255:0.255:0.255))
(IOPATH B X (0.142:0.142:0.142) (0.243:0.243:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2493_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.168:0.168:0.168) (0.317:0.317:0.317))
(IOPATH A1 X (0.118:0.118:0.118) (0.283:0.283:0.283))
(IOPATH S X (0.187:0.187:0.187) (0.303:0.303:0.303))
(IOPATH S X (0.120:0.120:0.120) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2494_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_4")
(INSTANCE _2495_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.215:0.216) (0.475:0.476:0.478))
(IOPATH B X (0.251:0.251:0.251) (0.483:0.483:0.483))
(IOPATH C_N X (0.346:0.346:0.346) (0.488:0.488:0.488))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2496_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.450:0.450:0.450))
(IOPATH B X (0.161:0.161:0.161) (0.401:0.401:0.401))
(IOPATH C X (0.150:0.150:0.150) (0.360:0.360:0.360))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2497_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.172:0.172:0.172) (0.321:0.321:0.321))
(IOPATH A1 X (0.135:0.135:0.135) (0.295:0.295:0.295))
(IOPATH S X (0.207:0.208:0.208) (0.313:0.314:0.314))
(IOPATH S X (0.130:0.131:0.131) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2498_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _2499_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.304:0.304:0.304) (0.169:0.169:0.169))
(IOPATH A X (0.279:0.279:0.279) (0.243:0.243:0.243))
(IOPATH B X (0.253:0.253:0.253) (0.125:0.125:0.125))
(IOPATH B X (0.256:0.256:0.256) (0.194:0.194:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2b_1")
(INSTANCE _2500_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.262:0.262:0.262) (0.101:0.101:0.101))
(IOPATH B_N Y (0.296:0.297:0.298) (0.171:0.184:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2501_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.280) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.199:0.199:0.199))
(IOPATH B X (0.257:0.257:0.257) (0.235:0.235:0.235))
(IOPATH C X (0.235:0.235:0.235) (0.216:0.218:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.591:0.591:0.591))
(IOPATH B X (0.176:0.176:0.176) (0.545:0.545:0.545))
(IOPATH C X (0.159:0.159:0.160) (0.512:0.512:0.512))
(IOPATH D X (0.143:0.143:0.143) (0.443:0.443:0.443))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2504_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.222:0.222:0.222))
(IOPATH A2 X (0.151:0.151:0.151) (0.231:0.232:0.233))
(IOPATH B1 X (0.123:0.124:0.124) (0.197:0.197:0.198))
(IOPATH B2 X (0.154:0.154:0.154) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.437:0.437:0.437))
(IOPATH B X (0.173:0.173:0.173) (0.419:0.419:0.419))
(IOPATH C_N X (0.220:0.220:0.220) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2506_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.317:0.317:0.317))
(IOPATH A1 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH S X (0.210:0.210:0.210) (0.315:0.315:0.315))
(IOPATH S X (0.133:0.133:0.134) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2508_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.324:0.324:0.324) (0.324:0.324:0.324))
(IOPATH A2 X (0.267:0.267:0.268) (0.282:0.282:0.282))
(IOPATH B1 X (0.310:0.310:0.310) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2509_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.402:0.402:0.402))
(IOPATH B X (0.164:0.164:0.164) (0.397:0.397:0.397))
(IOPATH C_N X (0.181:0.181:0.181) (0.392:0.392:0.392))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2510_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.306:0.307:0.308))
(IOPATH A1 X (0.155:0.155:0.155) (0.310:0.310:0.310))
(IOPATH S X (0.207:0.207:0.207) (0.315:0.315:0.315))
(IOPATH S X (0.130:0.130:0.130) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2511_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2512_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.175:0.175:0.175) (0.107:0.107:0.107))
(IOPATH B Y (0.171:0.171:0.171) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2513_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.094:0.096:0.097) (0.098:0.099:0.099))
(IOPATH B Y (0.112:0.112:0.112) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2514_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.172:0.172:0.172) (0.320:0.320:0.320))
(IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
(IOPATH S X (0.196:0.198:0.199) (0.313:0.314:0.315))
(IOPATH S X (0.130:0.131:0.132) (0.307:0.308:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2516_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.087:0.090) (0.108:0.108:0.108))
(IOPATH B Y (0.102:0.102:0.102) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2517_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.172:0.172:0.172) (0.308:0.309:0.310))
(IOPATH A1 X (0.136:0.136:0.136) (0.300:0.300:0.300))
(IOPATH S X (0.199:0.205:0.211) (0.318:0.319:0.320))
(IOPATH S X (0.133:0.134:0.135) (0.312:0.316:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2518_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.091:0.091) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2519_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.389:0.389:0.389))
(IOPATH B X (0.171:0.171:0.171) (0.399:0.399:0.399))
(IOPATH C_N X (0.170:0.170:0.170) (0.378:0.378:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2520_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.320:0.320:0.320))
(IOPATH A1 X (0.131:0.131:0.131) (0.292:0.292:0.292))
(IOPATH S X (0.195:0.195:0.195) (0.312:0.312:0.312))
(IOPATH S X (0.129:0.129:0.129) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _2522_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.078:0.080:0.082) (0.085:0.085:0.085))
(IOPATH B Y (0.096:0.096:0.096) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2523_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.165:0.165) (0.299:0.300:0.301))
(IOPATH A1 X (0.132:0.132:0.132) (0.293:0.293:0.293))
(IOPATH S X (0.189:0.192:0.195) (0.308:0.309:0.310))
(IOPATH S X (0.124:0.125:0.126) (0.301:0.303:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2524_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.079) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _2525_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.227:0.227:0.227) (0.216:0.216:0.216))
(IOPATH B Y (0.168:0.168:0.169) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2526_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.272:0.272:0.272))
(IOPATH B X (0.152:0.152:0.153) (0.265:0.274:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2527_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.319:0.319:0.319))
(IOPATH A1 X (0.116:0.116:0.116) (0.283:0.283:0.283))
(IOPATH S X (0.195:0.195:0.195) (0.312:0.312:0.312))
(IOPATH S X (0.129:0.129:0.129) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2528_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2529_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.204:0.204:0.204))
(IOPATH A2 X (0.129:0.129:0.130) (0.200:0.209:0.219))
(IOPATH B1 X (0.138:0.138:0.138) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2530_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.157) (0.203:0.204:0.206))
(IOPATH A2 X (0.158:0.158:0.158) (0.212:0.212:0.212))
(IOPATH A3 X (0.150:0.150:0.150) (0.224:0.224:0.224))
(IOPATH B1 X (0.086:0.086:0.086) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.254:0.255:0.255))
(IOPATH B X (0.133:0.133:0.134) (0.248:0.256:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2532_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.136:0.136) (0.198:0.199:0.200))
(IOPATH A2 X (0.148:0.148:0.148) (0.235:0.235:0.235))
(IOPATH B1 X (0.107:0.107:0.107) (0.169:0.170:0.170))
(IOPATH B2 X (0.123:0.123:0.123) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2533_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.221:0.221:0.221))
(IOPATH A2 X (0.134:0.134:0.134) (0.204:0.213:0.223))
(IOPATH B1 X (0.115:0.115:0.115) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2534_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.174) (0.215:0.217:0.218))
(IOPATH A2 X (0.180:0.181:0.181) (0.230:0.230:0.231))
(IOPATH A3 X (0.167:0.167:0.167) (0.237:0.237:0.237))
(IOPATH B1 X (0.103:0.103:0.103) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.256:0.256:0.256))
(IOPATH B X (0.126:0.126:0.127) (0.240:0.249:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2536_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.207:0.208:0.209))
(IOPATH A2 X (0.153:0.153:0.153) (0.239:0.239:0.239))
(IOPATH B1 X (0.109:0.109:0.110) (0.172:0.172:0.172))
(IOPATH B2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.269:0.269:0.269))
(IOPATH B X (0.149:0.149:0.150) (0.262:0.271:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2538_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.170:0.170:0.170) (0.319:0.319:0.319))
(IOPATH A1 X (0.129:0.129:0.129) (0.290:0.290:0.290))
(IOPATH S X (0.193:0.194:0.194) (0.310:0.310:0.310))
(IOPATH S X (0.127:0.127:0.127) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2539_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2540_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.284:0.284:0.284))
(IOPATH B X (0.133:0.133:0.134) (0.248:0.257:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2541_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.176:0.176:0.176) (0.325:0.325:0.325))
(IOPATH A1 X (0.132:0.132:0.132) (0.295:0.295:0.295))
(IOPATH S X (0.193:0.194:0.194) (0.311:0.311:0.312))
(IOPATH S X (0.126:0.127:0.127) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2543_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.207:0.208:0.208))
(IOPATH A2 X (0.125:0.125:0.125) (0.191:0.192:0.192))
(IOPATH B1 X (0.112:0.112:0.112) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a41o_1")
(INSTANCE _2544_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.197:0.197:0.197))
(IOPATH A2 X (0.198:0.198:0.198) (0.246:0.246:0.246))
(IOPATH A3 X (0.211:0.211:0.212) (0.235:0.238:0.240))
(IOPATH A4 X (0.177:0.177:0.177) (0.245:0.245:0.245))
(IOPATH B1 X (0.085:0.085:0.085) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.558:0.558:0.558))
(IOPATH B X (0.155:0.155:0.155) (0.545:0.545:0.545))
(IOPATH C X (0.146:0.146:0.146) (0.510:0.510:0.510))
(IOPATH D_N X (0.238:0.238:0.238) (0.500:0.500:0.500))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2546_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.173:0.173:0.173) (0.322:0.322:0.322))
(IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
(IOPATH S X (0.203:0.203:0.203) (0.320:0.320:0.320))
(IOPATH S X (0.137:0.137:0.137) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2547_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2548_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.531:0.531:0.531))
(IOPATH B X (0.143:0.143:0.143) (0.514:0.514:0.514))
(IOPATH C X (0.126:0.126:0.126) (0.479:0.479:0.479))
(IOPATH D X (0.144:0.146:0.147) (0.394:0.402:0.411))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2549_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.263:0.263:0.263) (0.106:0.107:0.107))
(IOPATH B Y (0.228:0.239:0.250) (0.127:0.129:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _2550_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.226:0.226:0.226))
(IOPATH A2 X (0.135:0.136:0.136) (0.244:0.244:0.244))
(IOPATH B1 X (0.180:0.180:0.180) (0.192:0.196:0.201))
(IOPATH B2 X (0.144:0.144:0.144) (0.205:0.205:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.327:0.327:0.327))
(IOPATH B X (0.249:0.251:0.252) (0.289:0.300:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _2552_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.306:0.306:0.306))
(IOPATH A2 X (0.142:0.142:0.142) (0.288:0.288:0.288))
(IOPATH A3 X (0.136:0.136:0.136) (0.250:0.250:0.250))
(IOPATH B1 X (0.132:0.132:0.132) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a41o_1")
(INSTANCE _2553_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.200:0.200:0.200))
(IOPATH A2 X (0.205:0.205:0.205) (0.251:0.251:0.251))
(IOPATH A3 X (0.228:0.228:0.228) (0.245:0.249:0.252))
(IOPATH A4 X (0.208:0.209:0.209) (0.243:0.248:0.253))
(IOPATH B1 X (0.094:0.094:0.094) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.391:0.391:0.391))
(IOPATH B X (0.157:0.157:0.157) (0.386:0.386:0.386))
(IOPATH C X (0.143:0.143:0.143) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2555_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.166:0.166:0.166) (0.301:0.302:0.303))
(IOPATH A1 X (0.136:0.136:0.136) (0.296:0.296:0.296))
(IOPATH S X (0.200:0.200:0.200) (0.308:0.308:0.308))
(IOPATH S X (0.124:0.124:0.124) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2556_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.083) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _2557_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.312:0.312:0.312))
(IOPATH A2 X (0.162:0.162:0.162) (0.304:0.304:0.304))
(IOPATH A3 X (0.143:0.143:0.143) (0.257:0.257:0.257))
(IOPATH B1 X (0.133:0.133:0.133) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2558_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.212:0.212:0.212))
(IOPATH A2 X (0.152:0.153:0.153) (0.203:0.204:0.205))
(IOPATH A3 X (0.175:0.175:0.175) (0.217:0.221:0.226))
(IOPATH B1 X (0.086:0.086:0.086) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _2559_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.309:0.309:0.309))
(IOPATH A2 X (0.145:0.145:0.145) (0.290:0.290:0.291))
(IOPATH A3 X (0.139:0.139:0.139) (0.253:0.253:0.253))
(IOPATH B1 X (0.129:0.129:0.129) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2560_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.173:0.176:0.179))
(IOPATH A2 X (0.172:0.172:0.172) (0.224:0.225:0.226))
(IOPATH A3 X (0.175:0.175:0.175) (0.217:0.221:0.226))
(IOPATH B1 X (0.087:0.087:0.087) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.422:0.422:0.422))
(IOPATH B X (0.201:0.201:0.201) (0.432:0.432:0.432))
(IOPATH C X (0.169:0.169:0.169) (0.367:0.367:0.367))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2562_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.189:0.189:0.189) (0.319:0.319:0.319))
(IOPATH A1 X (0.138:0.138:0.138) (0.301:0.301:0.301))
(IOPATH S X (0.217:0.218:0.218) (0.325:0.325:0.325))
(IOPATH S X (0.140:0.140:0.141) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2563_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.522:0.522:0.522))
(IOPATH B X (0.144:0.144:0.144) (0.509:0.509:0.509))
(IOPATH C X (0.123:0.123:0.123) (0.474:0.474:0.474))
(IOPATH D_N X (0.216:0.216:0.216) (0.464:0.464:0.464))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2565_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.198:0.198:0.198) (0.335:0.335:0.336))
(IOPATH A1 X (0.143:0.143:0.143) (0.304:0.304:0.304))
(IOPATH S X (0.202:0.202:0.202) (0.321:0.321:0.321))
(IOPATH S X (0.135:0.135:0.135) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2567_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.138:0.142:0.146))
(IOPATH B X (0.193:0.194:0.194) (0.164:0.172:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2568_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.248:0.248) (0.217:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2569_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2570_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.282:0.282:0.282))
(IOPATH A1 X (0.140:0.140:0.140) (0.300:0.300:0.300))
(IOPATH S X (0.221:0.221:0.221) (0.347:0.347:0.347))
(IOPATH S X (0.175:0.175:0.175) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2571_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2572_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.286:0.286:0.286))
(IOPATH A1 X (0.161:0.161:0.161) (0.315:0.315:0.315))
(IOPATH S X (0.223:0.223:0.223) (0.350:0.350:0.350))
(IOPATH S X (0.177:0.177:0.177) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2574_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.283:0.283:0.283))
(IOPATH A1 X (0.135:0.135:0.135) (0.297:0.297:0.297))
(IOPATH S X (0.220:0.220:0.220) (0.347:0.347:0.347))
(IOPATH S X (0.175:0.175:0.175) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2576_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.277:0.277:0.277))
(IOPATH A1 X (0.148:0.148:0.148) (0.301:0.301:0.301))
(IOPATH S X (0.215:0.215:0.215) (0.340:0.340:0.340))
(IOPATH S X (0.169:0.169:0.169) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2577_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2578_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.284:0.284:0.284))
(IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
(IOPATH S X (0.216:0.216:0.216) (0.341:0.341:0.341))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2579_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2580_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.287:0.287:0.287))
(IOPATH A1 X (0.135:0.135:0.135) (0.299:0.299:0.299))
(IOPATH S X (0.222:0.222:0.222) (0.349:0.349:0.349))
(IOPATH S X (0.176:0.176:0.176) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2581_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2582_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.278:0.278:0.278))
(IOPATH A1 X (0.131:0.131:0.131) (0.292:0.292:0.292))
(IOPATH S X (0.215:0.215:0.215) (0.340:0.340:0.340))
(IOPATH S X (0.169:0.169:0.169) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2583_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2584_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.275:0.275:0.275))
(IOPATH A1 X (0.129:0.129:0.129) (0.290:0.290:0.290))
(IOPATH S X (0.213:0.213:0.213) (0.338:0.338:0.338))
(IOPATH S X (0.167:0.167:0.167) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2585_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2586_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.285:0.285:0.285))
(IOPATH A1 X (0.129:0.129:0.129) (0.288:0.288:0.288))
(IOPATH S X (0.221:0.221:0.221) (0.348:0.348:0.348))
(IOPATH S X (0.176:0.176:0.176) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2588_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.280:0.280:0.280))
(IOPATH A1 X (0.149:0.149:0.149) (0.303:0.303:0.303))
(IOPATH S X (0.216:0.216:0.216) (0.342:0.342:0.342))
(IOPATH S X (0.170:0.170:0.170) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2590_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2591_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.293:0.293:0.293))
(IOPATH A1 X (0.145:0.145:0.145) (0.308:0.308:0.308))
(IOPATH S X (0.228:0.228:0.228) (0.346:0.346:0.346))
(IOPATH S X (0.165:0.165:0.165) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2592_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2593_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.280:0.280:0.280))
(IOPATH A1 X (0.121:0.121:0.121) (0.280:0.280:0.280))
(IOPATH S X (0.214:0.214:0.214) (0.330:0.330:0.330))
(IOPATH S X (0.152:0.152:0.152) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2594_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2595_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.296:0.296:0.296))
(IOPATH A1 X (0.146:0.146:0.146) (0.301:0.301:0.301))
(IOPATH S X (0.216:0.216:0.216) (0.331:0.331:0.331))
(IOPATH S X (0.153:0.153:0.153) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2596_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2597_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.278:0.278:0.278))
(IOPATH A1 X (0.130:0.130:0.130) (0.292:0.292:0.292))
(IOPATH S X (0.215:0.215:0.215) (0.330:0.330:0.330))
(IOPATH S X (0.152:0.152:0.152) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2598_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2599_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.289:0.289:0.289))
(IOPATH A1 X (0.135:0.135:0.135) (0.299:0.299:0.299))
(IOPATH S X (0.221:0.221:0.221) (0.338:0.338:0.338))
(IOPATH S X (0.158:0.158:0.158) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2600_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2601_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.282:0.282:0.282))
(IOPATH A1 X (0.151:0.151:0.151) (0.297:0.297:0.297))
(IOPATH S X (0.218:0.218:0.218) (0.334:0.334:0.334))
(IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2602_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2603_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.278:0.278:0.278))
(IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
(IOPATH S X (0.215:0.215:0.215) (0.330:0.330:0.330))
(IOPATH S X (0.152:0.152:0.152) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2604_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2605_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.285:0.285:0.285))
(IOPATH A1 X (0.149:0.149:0.149) (0.307:0.307:0.307))
(IOPATH S X (0.221:0.221:0.221) (0.339:0.339:0.339))
(IOPATH S X (0.159:0.159:0.159) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2606_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2607_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.276:0.276:0.276))
(IOPATH A1 X (0.133:0.133:0.133) (0.293:0.293:0.293))
(IOPATH S X (0.214:0.214:0.214) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2608_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2609_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.275:0.275:0.275))
(IOPATH A1 X (0.131:0.131:0.131) (0.291:0.291:0.291))
(IOPATH S X (0.212:0.212:0.212) (0.327:0.327:0.327))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2610_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2611_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.287:0.287:0.287))
(IOPATH A1 X (0.134:0.134:0.134) (0.297:0.297:0.297))
(IOPATH S X (0.230:0.230:0.230) (0.342:0.342:0.342))
(IOPATH S X (0.166:0.166:0.166) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2612_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2613_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.277:0.277:0.277))
(IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
(IOPATH S X (0.224:0.224:0.224) (0.335:0.335:0.335))
(IOPATH S X (0.160:0.160:0.160) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2615_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.296:0.296:0.296))
(IOPATH A1 X (0.145:0.145:0.145) (0.310:0.310:0.310))
(IOPATH S X (0.241:0.241:0.241) (0.354:0.354:0.354))
(IOPATH S X (0.177:0.177:0.177) (0.348:0.348:0.348))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2616_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2617_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.281:0.281:0.281))
(IOPATH A1 X (0.136:0.136:0.136) (0.296:0.296:0.296))
(IOPATH S X (0.227:0.227:0.227) (0.338:0.338:0.338))
(IOPATH S X (0.163:0.163:0.163) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2618_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2619_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.275:0.275:0.275))
(IOPATH A1 X (0.187:0.187:0.187) (0.336:0.336:0.336))
(IOPATH S X (0.222:0.222:0.222) (0.332:0.332:0.332))
(IOPATH S X (0.158:0.158:0.158) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2620_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2621_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.238:0.238:0.238))
(IOPATH B X (0.230:0.231:0.231) (0.201:0.205:0.209))
(IOPATH C X (0.277:0.277:0.277) (0.224:0.232:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _2622_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.265:0.265) (0.226:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _2623_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.297:0.297:0.297) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2624_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.278:0.278:0.278))
(IOPATH A1 X (0.126:0.126:0.126) (0.288:0.288:0.288))
(IOPATH S X (0.216:0.216:0.216) (0.339:0.339:0.339))
(IOPATH S X (0.169:0.169:0.169) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2625_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2626_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.290:0.290:0.290))
(IOPATH A1 X (0.154:0.154:0.154) (0.311:0.311:0.311))
(IOPATH S X (0.227:0.227:0.227) (0.354:0.354:0.354))
(IOPATH S X (0.181:0.181:0.181) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2627_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2628_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.276:0.276:0.276))
(IOPATH A1 X (0.133:0.133:0.133) (0.284:0.284:0.284))
(IOPATH S X (0.217:0.217:0.217) (0.341:0.341:0.341))
(IOPATH S X (0.170:0.170:0.170) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2630_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.284:0.284:0.284))
(IOPATH A1 X (0.144:0.144:0.144) (0.302:0.302:0.302))
(IOPATH S X (0.223:0.223:0.223) (0.348:0.348:0.348))
(IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2631_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2632_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.281:0.281:0.281))
(IOPATH A1 X (0.122:0.122:0.122) (0.283:0.283:0.283))
(IOPATH S X (0.220:0.220:0.220) (0.345:0.345:0.345))
(IOPATH S X (0.174:0.174:0.174) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2633_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2634_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.275:0.275:0.275))
(IOPATH A1 X (0.122:0.122:0.122) (0.279:0.279:0.279))
(IOPATH S X (0.216:0.216:0.216) (0.340:0.340:0.340))
(IOPATH S X (0.170:0.170:0.170) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2635_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2636_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.135:0.135:0.135) (0.294:0.294:0.294))
(IOPATH A1 X (0.136:0.136:0.136) (0.297:0.297:0.297))
(IOPATH S X (0.232:0.232:0.232) (0.359:0.359:0.359))
(IOPATH S X (0.186:0.186:0.186) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2637_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2638_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.282:0.282:0.282))
(IOPATH A1 X (0.125:0.125:0.125) (0.285:0.285:0.285))
(IOPATH S X (0.221:0.221:0.221) (0.346:0.346:0.346))
(IOPATH S X (0.175:0.175:0.175) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2640_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.289:0.289:0.289))
(IOPATH A1 X (0.132:0.132:0.132) (0.292:0.292:0.292))
(IOPATH S X (0.226:0.226:0.226) (0.353:0.353:0.353))
(IOPATH S X (0.180:0.180:0.180) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2641_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2642_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.288:0.288:0.288))
(IOPATH A1 X (0.139:0.139:0.139) (0.294:0.294:0.294))
(IOPATH S X (0.226:0.226:0.226) (0.353:0.353:0.353))
(IOPATH S X (0.180:0.180:0.180) (0.333:0.333:0.333))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2643_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2644_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.277:0.277:0.277))
(IOPATH A1 X (0.128:0.128:0.128) (0.290:0.290:0.290))
(IOPATH S X (0.222:0.222:0.222) (0.333:0.333:0.333))
(IOPATH S X (0.158:0.158:0.158) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2646_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.285:0.285:0.285))
(IOPATH A1 X (0.125:0.125:0.125) (0.286:0.286:0.286))
(IOPATH S X (0.228:0.228:0.228) (0.341:0.341:0.341))
(IOPATH S X (0.164:0.164:0.164) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2647_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2648_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.118:0.118:0.118) (0.275:0.275:0.275))
(IOPATH A1 X (0.131:0.131:0.131) (0.291:0.291:0.291))
(IOPATH S X (0.220:0.220:0.220) (0.331:0.331:0.331))
(IOPATH S X (0.156:0.156:0.156) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2649_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2650_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.282:0.282:0.282))
(IOPATH A1 X (0.128:0.128:0.128) (0.292:0.292:0.292))
(IOPATH S X (0.226:0.226:0.226) (0.338:0.338:0.338))
(IOPATH S X (0.162:0.162:0.162) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2651_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2652_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.277:0.277:0.277))
(IOPATH A1 X (0.121:0.121:0.121) (0.281:0.281:0.281))
(IOPATH S X (0.223:0.223:0.223) (0.335:0.335:0.335))
(IOPATH S X (0.159:0.159:0.159) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2653_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2654_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.292:0.292:0.292))
(IOPATH A1 X (0.132:0.132:0.132) (0.294:0.294:0.294))
(IOPATH S X (0.234:0.234:0.234) (0.348:0.348:0.348))
(IOPATH S X (0.170:0.170:0.170) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2655_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _2656_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.212:0.212:0.212) (0.199:0.199:0.199))
(IOPATH B X (0.136:0.149:0.163) (0.167:0.171:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2657_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _2658_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.150:0.150:0.150) (0.088:0.088:0.088))
(IOPATH A2 Y (0.180:0.180:0.180) (0.104:0.104:0.104))
(IOPATH B1_N Y (0.176:0.189:0.201) (0.141:0.145:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2659_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.147:0.147:0.147) (0.203:0.203:0.203))
(IOPATH A2 X (0.143:0.143:0.143) (0.202:0.202:0.202))
(IOPATH B1 X (0.126:0.129:0.133) (0.096:0.102:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _2660_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.068:0.068:0.068) (0.079:0.079:0.079))
(IOPATH B Y (0.116:0.116:0.116) (0.103:0.103:0.103))
(IOPATH C Y (0.107:0.107:0.107) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2661_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.110:0.110:0.110) (0.159:0.159:0.159))
(IOPATH A2 X (0.159:0.159:0.159) (0.214:0.214:0.214))
(IOPATH B1 X (0.115:0.115:0.115) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2662_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.170:0.188) (0.149:0.153:0.156))
(IOPATH B X (0.138:0.138:0.138) (0.157:0.157:0.157))
(IOPATH C X (0.140:0.140:0.140) (0.163:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2663_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _2664_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.326:0.326:0.326) (0.239:0.239:0.239))
(IOPATH B X (0.351:0.351:0.351) (0.281:0.281:0.281))
(IOPATH C X (0.340:0.340:0.340) (0.287:0.287:0.287))
(IOPATH D X (0.325:0.325:0.325) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2665_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.058:0.059) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2666_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.183:0.183:0.183))
(IOPATH A2 X (0.187:0.187:0.187) (0.245:0.245:0.245))
(IOPATH A3 X (0.176:0.176:0.176) (0.247:0.247:0.247))
(IOPATH B1 X (0.115:0.115:0.115) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2667_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.174:0.192) (0.152:0.155:0.159))
(IOPATH B X (0.134:0.134:0.134) (0.157:0.157:0.157))
(IOPATH C X (0.145:0.145:0.145) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2668_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2669_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.156:0.156:0.156) (0.094:0.094:0.094))
(IOPATH A2 Y (0.142:0.143:0.144) (0.087:0.087:0.087))
(IOPATH B1 Y (0.098:0.098:0.098) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2670_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.151:0.151:0.151) (0.089:0.089:0.089))
(IOPATH A2 Y (0.167:0.168:0.169) (0.102:0.102:0.102))
(IOPATH B1 Y (0.122:0.124:0.127) (0.049:0.052:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2671_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.297:0.297:0.297) (0.235:0.235:0.235))
(IOPATH B X (0.287:0.287:0.287) (0.247:0.247:0.247))
(IOPATH C X (0.310:0.310:0.310) (0.271:0.272:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2672_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.057:0.058) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2673_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.180:0.180:0.180))
(IOPATH A2 X (0.153:0.153:0.153) (0.198:0.200:0.201))
(IOPATH B1 X (0.101:0.101:0.101) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2674_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.172:0.189) (0.150:0.154:0.157))
(IOPATH B X (0.132:0.132:0.132) (0.154:0.155:0.155))
(IOPATH C X (0.141:0.141:0.141) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2675_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2676_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.204:0.204:0.204))
(IOPATH B X (0.236:0.236:0.236) (0.224:0.225:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2677_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.085:0.085:0.085))
(IOPATH A2 Y (0.127:0.127:0.128) (0.077:0.077:0.077))
(IOPATH B1 Y (0.086:0.086:0.086) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2678_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.061:0.061:0.061))
(IOPATH B Y (0.075:0.075:0.075) (0.035:0.035:0.035))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _2679_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.147:0.147:0.147) (0.088:0.088:0.088))
(IOPATH A2 Y (0.151:0.151:0.152) (0.085:0.085:0.085))
(IOPATH B1_N Y (0.170:0.183:0.196) (0.139:0.143:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2680_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.204:0.204:0.204))
(IOPATH A2 X (0.125:0.125:0.125) (0.174:0.174:0.175))
(IOPATH B1 X (0.121:0.124:0.127) (0.093:0.099:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.238:0.238:0.238))
(IOPATH B X (0.282:0.282:0.282) (0.244:0.244:0.244))
(IOPATH C X (0.293:0.293:0.293) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _2682_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.058:0.058) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _2683_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.193:0.193:0.193))
(IOPATH A2 X (0.168:0.168:0.168) (0.228:0.228:0.228))
(IOPATH A3 X (0.172:0.173:0.173) (0.235:0.236:0.236))
(IOPATH B1 X (0.109:0.109:0.109) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2684_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.193:0.211) (0.164:0.168:0.172))
(IOPATH B X (0.153:0.153:0.153) (0.169:0.169:0.169))
(IOPATH C X (0.165:0.165:0.165) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.090:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2686_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.171:0.171:0.171))
(IOPATH B X (0.178:0.178:0.178) (0.190:0.191:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2687_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.164:0.164:0.164) (0.098:0.098:0.098))
(IOPATH A2 Y (0.152:0.152:0.153) (0.093:0.093:0.093))
(IOPATH B1 Y (0.105:0.105:0.105) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2688_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.091:0.091:0.091) (0.047:0.047:0.047))
(IOPATH B Y (0.081:0.081:0.081) (0.038:0.038:0.038))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.214:0.214:0.214))
(IOPATH B X (0.245:0.245:0.245) (0.225:0.225:0.225))
(IOPATH C X (0.269:0.269:0.269) (0.251:0.252:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2690_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.155:0.155:0.155) (0.086:0.086:0.086))
(IOPATH A2 Y (0.129:0.129:0.129) (0.067:0.067:0.067))
(IOPATH B1 Y (0.104:0.104:0.104) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2691_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.108:0.109:0.109) (0.066:0.066:0.066))
(IOPATH B Y (0.083:0.083:0.083) (0.039:0.039:0.039))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2692_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.172:0.172:0.172))
(IOPATH B X (0.172:0.173:0.173) (0.184:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2693_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.157:0.157:0.157) (0.093:0.093:0.093))
(IOPATH A2 Y (0.137:0.138:0.139) (0.082:0.082:0.082))
(IOPATH B1 Y (0.100:0.100:0.100) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2694_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.099:0.099) (0.051:0.051:0.051))
(IOPATH B Y (0.084:0.084:0.084) (0.039:0.039:0.039))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2695_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.216:0.216:0.216))
(IOPATH B X (0.252:0.252:0.252) (0.229:0.229:0.229))
(IOPATH C X (0.265:0.265:0.265) (0.245:0.246:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2696_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.139:0.139:0.139) (0.079:0.079:0.079))
(IOPATH A2 Y (0.114:0.114:0.114) (0.061:0.061:0.061))
(IOPATH B1 Y (0.093:0.093:0.093) (0.094:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2697_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.104:0.104) (0.063:0.063:0.063))
(IOPATH B Y (0.076:0.076:0.075) (0.035:0.035:0.035))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2698_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.170:0.170:0.170))
(IOPATH B X (0.180:0.180:0.180) (0.189:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2699_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.160:0.160:0.160) (0.091:0.091:0.091))
(IOPATH A2 Y (0.147:0.147:0.148) (0.087:0.087:0.087))
(IOPATH B1 Y (0.106:0.106:0.106) (0.105:0.105:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2700_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.097:0.097:0.097) (0.051:0.051:0.051))
(IOPATH B Y (0.083:0.083:0.083) (0.039:0.039:0.039))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _2701_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.182:0.182:0.182))
(IOPATH B X (0.205:0.205:0.205) (0.203:0.203:0.203))
(IOPATH C X (0.216:0.216:0.216) (0.218:0.219:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2702_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.158:0.158:0.158) (0.091:0.091:0.091))
(IOPATH A2 Y (0.132:0.132:0.132) (0.070:0.070:0.070))
(IOPATH B1 Y (0.105:0.105:0.105) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2703_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.122) (0.062:0.062:0.062))
(IOPATH B Y (0.107:0.107:0.107) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _2704_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.190:0.190:0.190))
(IOPATH A2 X (0.115:0.115:0.115) (0.166:0.167:0.168))
(IOPATH B1 X (0.149:0.149:0.149) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2705_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.243:0.243:0.243))
(IOPATH A2 X (0.197:0.197:0.197) (0.213:0.220:0.226))
(IOPATH B1 X (0.142:0.142:0.142) (0.125:0.125:0.125))
(IOPATH C1 X (0.193:0.193:0.193) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.509:0.509:0.509))
(IOPATH B X (0.125:0.125:0.125) (0.499:0.499:0.499))
(IOPATH C X (0.131:0.131:0.131) (0.470:0.470:0.470))
(IOPATH D X (0.127:0.127:0.127) (0.408:0.408:0.408))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2707_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.541:0.541:0.541))
(IOPATH B X (0.160:0.160:0.160) (0.523:0.523:0.523))
(IOPATH C X (0.157:0.157:0.157) (0.490:0.490:0.490))
(IOPATH D_N X (0.172:0.172:0.172) (0.422:0.422:0.422))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2708_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.180:0.180:0.180) (0.077:0.077:0.077))
(IOPATH A2 Y (0.209:0.209:0.209) (0.077:0.077:0.078))
(IOPATH B1 Y (0.198:0.199:0.199) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _2709_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.148:0.156:0.165) (0.092:0.092:0.093))
(IOPATH A2 Y (0.129:0.136:0.143) (0.083:0.084:0.086))
(IOPATH B1 Y (0.083:0.083:0.083) (0.092:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2710_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.080:0.080) (0.043:0.043:0.043))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2711_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.228:0.228:0.228))
(IOPATH B X (0.112:0.112:0.113) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _2712_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.269:0.269:0.269))
(IOPATH A2 X (0.197:0.197:0.197) (0.262:0.262:0.262))
(IOPATH B1 X (0.206:0.206:0.206) (0.249:0.249:0.249))
(IOPATH B2 X (0.182:0.182:0.182) (0.215:0.215:0.215))
(IOPATH C1 X (0.157:0.157:0.157) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2713_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.258:0.258:0.258))
(IOPATH A2 X (0.195:0.196:0.196) (0.276:0.276:0.276))
(IOPATH B1 X (0.178:0.178:0.178) (0.143:0.146:0.149))
(IOPATH C1 X (0.210:0.210:0.210) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _2714_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.223:0.223:0.223))
(IOPATH A2 X (0.165:0.166:0.166) (0.243:0.243:0.244))
(IOPATH B1 X (0.165:0.165:0.165) (0.206:0.206:0.206))
(IOPATH B2 X (0.132:0.132:0.132) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _2715_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.260:0.260:0.260))
(IOPATH A2 X (0.188:0.188:0.188) (0.254:0.254:0.255))
(IOPATH B1 X (0.202:0.202:0.202) (0.245:0.245:0.246))
(IOPATH B2 X (0.175:0.175:0.175) (0.209:0.209:0.209))
(IOPATH C1 X (0.180:0.180:0.180) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _2716_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.132:0.133) (0.171:0.172:0.174))
(IOPATH A2 X (0.136:0.136:0.136) (0.188:0.191:0.193))
(IOPATH B1 X (0.126:0.126:0.126) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _2717_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.262:0.262:0.262) (0.534:0.534:0.534))
(IOPATH A1 X (0.267:0.267:0.267) (0.543:0.543:0.543))
(IOPATH A2 X (0.267:0.267:0.267) (0.533:0.533:0.533))
(IOPATH A3 X (0.259:0.259:0.259) (0.519:0.519:0.519))
(IOPATH S0 X (0.413:0.413:0.413) (0.635:0.635:0.635))
(IOPATH S0 X (0.327:0.327:0.327) (0.530:0.530:0.530))
(IOPATH S1 X (0.282:0.282:0.282) (0.363:0.363:0.363))
(IOPATH S1 X (0.235:0.235:0.235) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _2718_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.237:0.237:0.237))
(IOPATH B X (0.123:0.123:0.123) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _2719_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.273:0.273:0.273))
(IOPATH A2 X (0.219:0.220:0.220) (0.292:0.292:0.292))
(IOPATH B1 X (0.207:0.207:0.207) (0.252:0.252:0.253))
(IOPATH B2 X (0.190:0.190:0.190) (0.222:0.222:0.222))
(IOPATH C1 X (0.172:0.172:0.172) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2720_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.236:0.236:0.236))
(IOPATH A2 X (0.168:0.168:0.168) (0.240:0.241:0.241))
(IOPATH B1 X (0.159:0.159:0.159) (0.129:0.132:0.134))
(IOPATH C1 X (0.187:0.187:0.187) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _2721_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.134:0.134) (0.263:0.263:0.263))
(IOPATH A2 X (0.128:0.128:0.129) (0.303:0.306:0.308))
(IOPATH B1 X (0.094:0.095:0.095) (0.258:0.260:0.261))
(IOPATH C1 X (0.152:0.152:0.152) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2111a_1")
(INSTANCE _2722_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.260:0.260:0.260) (0.288:0.290:0.291))
(IOPATH A2 X (0.232:0.232:0.232) (0.270:0.271:0.271))
(IOPATH B1 X (0.252:0.252:0.252) (0.185:0.185:0.185))
(IOPATH C1 X (0.290:0.290:0.290) (0.182:0.191:0.200))
(IOPATH D1 X (0.218:0.219:0.220) (0.149:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _2723_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.267:0.267:0.267))
(IOPATH A2 X (0.208:0.208:0.208) (0.345:0.345:0.345))
(IOPATH A3 X (0.176:0.176:0.176) (0.358:0.358:0.358))
(IOPATH B1 X (0.138:0.139:0.139) (0.327:0.329:0.331))
(IOPATH C1 X (0.162:0.162:0.162) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2724_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.312:0.312:0.312))
(IOPATH A2 X (0.148:0.152:0.156) (0.340:0.343:0.347))
(IOPATH B1 X (0.132:0.133:0.134) (0.290:0.291:0.293))
(IOPATH B2 X (0.163:0.165:0.167) (0.320:0.320:0.320))
(IOPATH C1 X (0.161:0.161:0.161) (0.286:0.286:0.286))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _2725_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.163:0.163:0.163) (0.074:0.074:0.074))
(IOPATH B Y (0.206:0.206:0.206) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2726_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.146:0.156:0.165))
(IOPATH B X (0.143:0.145:0.146) (0.151:0.155:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2727_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.209:0.212) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _2728_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.259:0.267) (0.645:0.647:0.649))
(IOPATH B X (0.218:0.218:0.218) (0.640:0.641:0.641))
(IOPATH C X (0.226:0.226:0.226) (0.616:0.617:0.617))
(IOPATH D X (0.193:0.194:0.194) (0.516:0.516:0.516))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _2729_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.548:0.548:0.548))
(IOPATH B X (0.144:0.144:0.144) (0.522:0.522:0.522))
(IOPATH C X (0.138:0.139:0.139) (0.505:0.505:0.505))
(IOPATH D_N X (0.208:0.208:0.208) (0.467:0.467:0.467))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _2730_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.132) (0.391:0.391:0.391))
(IOPATH B X (0.111:0.112:0.112) (0.373:0.373:0.373))
(IOPATH C X (0.151:0.151:0.151) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2731_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.212:0.212) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2732_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.206:0.206) (0.336:0.336:0.336))
(IOPATH A2 X (0.172:0.172:0.172) (0.364:0.364:0.364))
(IOPATH B1 X (0.167:0.167:0.167) (0.310:0.310:0.310))
(IOPATH B2 X (0.131:0.131:0.131) (0.313:0.313:0.313))
(IOPATH C1 X (0.156:0.156:0.156) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2733_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.338:0.338:0.338))
(IOPATH A2 X (0.166:0.166:0.166) (0.359:0.359:0.359))
(IOPATH B1 X (0.162:0.162:0.162) (0.304:0.304:0.304))
(IOPATH B2 X (0.123:0.123:0.123) (0.305:0.305:0.305))
(IOPATH C1 X (0.151:0.151:0.151) (0.275:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2734_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.353:0.353:0.353))
(IOPATH A2 X (0.186:0.186:0.186) (0.378:0.378:0.378))
(IOPATH B1 X (0.181:0.181:0.181) (0.324:0.324:0.324))
(IOPATH B2 X (0.145:0.145:0.145) (0.327:0.327:0.327))
(IOPATH C1 X (0.171:0.171:0.171) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2735_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.348:0.348:0.348))
(IOPATH A2 X (0.179:0.179:0.179) (0.372:0.372:0.372))
(IOPATH B1 X (0.174:0.174:0.174) (0.318:0.318:0.318))
(IOPATH B2 X (0.138:0.138:0.138) (0.321:0.321:0.321))
(IOPATH C1 X (0.165:0.165:0.165) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2736_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.335:0.335:0.335))
(IOPATH A2 X (0.170:0.170:0.170) (0.363:0.363:0.363))
(IOPATH B1 X (0.165:0.165:0.165) (0.308:0.308:0.308))
(IOPATH B2 X (0.128:0.128:0.128) (0.310:0.310:0.310))
(IOPATH C1 X (0.156:0.156:0.156) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2737_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.349:0.349:0.349))
(IOPATH A2 X (0.179:0.179:0.179) (0.372:0.372:0.372))
(IOPATH B1 X (0.174:0.174:0.174) (0.317:0.317:0.317))
(IOPATH B2 X (0.136:0.136:0.136) (0.318:0.318:0.318))
(IOPATH C1 X (0.164:0.164:0.164) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2738_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.202:0.202:0.202) (0.334:0.334:0.334))
(IOPATH A2 X (0.168:0.168:0.168) (0.360:0.360:0.360))
(IOPATH B1 X (0.163:0.163:0.163) (0.306:0.306:0.306))
(IOPATH B2 X (0.126:0.126:0.126) (0.308:0.308:0.308))
(IOPATH C1 X (0.153:0.153:0.153) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _2739_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.351:0.351:0.351))
(IOPATH A2 X (0.179:0.179:0.179) (0.372:0.372:0.372))
(IOPATH B1 X (0.174:0.174:0.174) (0.318:0.318:0.318))
(IOPATH B2 X (0.137:0.137:0.137) (0.320:0.320:0.320))
(IOPATH C1 X (0.164:0.164:0.164) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _2740_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.419:0.419:0.419))
(IOPATH B X (0.137:0.137:0.137) (0.390:0.390:0.390))
(IOPATH C_N X (0.163:0.167:0.171) (0.367:0.368:0.369))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2741_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.233:0.233) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2742_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.179:0.179:0.179) (0.306:0.306:0.306))
(IOPATH A1 X (0.116:0.116:0.116) (0.284:0.284:0.284))
(IOPATH S X (0.214:0.214:0.214) (0.339:0.339:0.339))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2743_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.190:0.190:0.190))
(IOPATH B X (0.126:0.126:0.126) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2744_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2745_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.173:0.173:0.173) (0.322:0.322:0.322))
(IOPATH A1 X (0.121:0.121:0.121) (0.289:0.289:0.289))
(IOPATH S X (0.218:0.218:0.218) (0.344:0.344:0.344))
(IOPATH S X (0.170:0.170:0.170) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2746_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.190:0.190:0.190))
(IOPATH B X (0.121:0.121:0.121) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2747_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2748_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.161:0.161:0.161) (0.308:0.308:0.308))
(IOPATH A1 X (0.114:0.114:0.114) (0.281:0.281:0.281))
(IOPATH S X (0.212:0.212:0.212) (0.336:0.336:0.336))
(IOPATH S X (0.164:0.164:0.164) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2749_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.184:0.184:0.184))
(IOPATH B X (0.115:0.115:0.115) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2751_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.181:0.181:0.181) (0.312:0.312:0.312))
(IOPATH A1 X (0.115:0.115:0.115) (0.283:0.283:0.283))
(IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2752_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.194:0.194:0.194))
(IOPATH B X (0.127:0.127:0.127) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2753_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2754_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.308:0.308:0.308))
(IOPATH A1 X (0.116:0.116:0.116) (0.284:0.284:0.284))
(IOPATH S X (0.214:0.214:0.214) (0.339:0.339:0.339))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2755_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.185:0.185:0.185))
(IOPATH B X (0.114:0.114:0.114) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2756_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2757_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.309:0.309:0.309))
(IOPATH A1 X (0.113:0.113:0.113) (0.280:0.280:0.280))
(IOPATH S X (0.210:0.210:0.210) (0.334:0.334:0.334))
(IOPATH S X (0.162:0.162:0.162) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2758_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.191:0.191:0.191))
(IOPATH B X (0.124:0.124:0.124) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2759_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2760_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.308:0.308:0.308))
(IOPATH A1 X (0.115:0.115:0.115) (0.283:0.283:0.283))
(IOPATH S X (0.213:0.213:0.213) (0.337:0.337:0.337))
(IOPATH S X (0.165:0.165:0.165) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2761_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.191:0.191:0.191))
(IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2762_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2763_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.167:0.167:0.167) (0.316:0.316:0.316))
(IOPATH A1 X (0.116:0.116:0.116) (0.284:0.284:0.284))
(IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2764_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.188:0.188:0.188))
(IOPATH B X (0.119:0.119:0.119) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2765_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _2766_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.140:0.140:0.140) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2767_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.243:0.243:0.243) (0.161:0.161:0.161))
(IOPATH A2 Y (0.197:0.197:0.197) (0.089:0.089:0.089))
(IOPATH B1 Y (0.167:0.167:0.167) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2768_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.230:0.230:0.230) (0.150:0.150:0.150))
(IOPATH A2 Y (0.184:0.184:0.184) (0.083:0.083:0.083))
(IOPATH B1 Y (0.154:0.154:0.154) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2769_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.239:0.239:0.239) (0.156:0.156:0.156))
(IOPATH A2 Y (0.192:0.192:0.192) (0.087:0.087:0.087))
(IOPATH B1 Y (0.156:0.156:0.156) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _2770_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.188:0.188:0.188) (0.103:0.103:0.103))
(IOPATH A2 Y (0.203:0.203:0.203) (0.091:0.091:0.091))
(IOPATH B1 Y (0.167:0.167:0.167) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _2771_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.207:0.207:0.207))
(IOPATH A2 X (0.155:0.155:0.155) (0.241:0.241:0.241))
(IOPATH B1_N X (0.200:0.200:0.200) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _2772_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.262:0.262:0.262))
(IOPATH A2 X (0.178:0.178:0.178) (0.265:0.265:0.265))
(IOPATH B1 X (0.195:0.195:0.195) (0.159:0.159:0.159))
(IOPATH C1 X (0.126:0.127:0.128) (0.100:0.100:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2773_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2774_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.079:-0.079:-0.079))
(HOLD (negedge D) (posedge CLK) (-0.092:-0.092:-0.092))
(SETUP (posedge D) (posedge CLK) (0.107:0.107:0.107))
(SETUP (negedge D) (posedge CLK) (0.151:0.151:0.151))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2775_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2776_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081))
(SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081))
(SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2777_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2778_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058))
(HOLD (negedge D) (posedge CLK) (-0.070:-0.070:-0.070))
(SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083))
(SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2779_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2780_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.070:-0.070:-0.070))
(HOLD (negedge D) (posedge CLK) (-0.099:-0.099:-0.099))
(SETUP (posedge D) (posedge CLK) (0.097:0.097:0.097))
(SETUP (negedge D) (posedge CLK) (0.158:0.158:0.158))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2781_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2782_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2783_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2784_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2785_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2786_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2787_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2788_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2789_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2790_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2791_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2792_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2793_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2794_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2795_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2796_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2797_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.335:0.335:0.335) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2798_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2799_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _2800_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2801_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2802_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2803_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2804_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2805_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2806_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2807_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2808_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2809_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.448:0.448:0.448) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2810_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.458:0.458:0.458) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2811_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2812_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2813_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.436:0.436:0.436) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2814_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2815_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2816_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2817_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2818_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2819_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2820_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2821_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2822_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2823_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _2824_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2825_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2826_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2827_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2828_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.081:-0.081:-0.081))
(HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.089))
(SETUP (posedge D) (posedge CLK) (0.109:0.109:0.109))
(SETUP (negedge D) (posedge CLK) (0.148:0.148:0.148))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2829_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2830_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2831_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2832_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2833_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2834_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2835_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2836_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2837_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2838_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.340:0.340:0.340) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2839_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2840_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2841_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2842_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2843_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2844_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2845_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2846_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2847_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2848_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2849_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2850_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2851_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2852_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2853_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2854_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.454:0.454:0.454) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2855_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2856_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2857_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2858_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2859_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2860_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2861_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2862_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2863_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2864_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2865_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2866_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2867_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2868_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2869_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2870_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2871_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2872_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2873_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2874_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2875_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2876_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2877_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2878_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2879_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.336:0.336:0.336) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2880_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2881_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2882_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.340:0.340:0.340) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2883_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2884_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2885_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2886_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2887_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2888_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2889_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2890_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2891_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2892_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2893_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2894_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2895_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2896_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2897_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2898_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2899_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2900_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2901_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2902_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2903_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.285:0.285:0.285))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2904_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2905_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2906_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2907_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2908_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2909_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2910_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2911_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2912_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2913_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2914_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2915_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2916_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2917_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2918_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2919_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2920_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2921_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2922_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2923_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2924_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.291:0.291:0.291) (0.283:0.283:0.283))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2925_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2926_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2927_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2928_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2929_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2930_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2931_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2932_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2933_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2934_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2935_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2936_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2937_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2938_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2939_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2940_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2941_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2942_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2943_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2944_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2945_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2946_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2947_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2948_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2949_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.109:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2950_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2951_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2952_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2953_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2954_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2955_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2956_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2957_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2958_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2959_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.039:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.051:-0.058))
(SETUP (posedge D) (posedge CLK) (0.060:0.062:0.064))
(SETUP (negedge D) (posedge CLK) (0.101:0.108:0.115))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2960_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2961_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2962_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2963_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2964_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2965_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2966_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2967_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2968_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2969_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2970_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2971_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2972_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2973_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2974_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2975_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2976_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2977_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2978_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2979_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2980_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2981_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.364:0.364:0.364) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2982_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2983_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2984_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2985_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2986_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2987_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2988_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2989_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2990_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2991_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2992_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2993_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.628:0.628:0.628) (0.473:0.473:0.473))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2994_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.479:0.479:0.479) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2995_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2996_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2997_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2998_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _2999_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3000_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.375:0.375:0.375))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3001_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.428:0.428:0.428) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3002_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3003_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3004_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.435:0.435:0.435) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3005_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3006_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.456:0.456:0.456) (0.397:0.397:0.397))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3007_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3008_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3009_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.544:0.544:0.544) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3010_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3011_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3012_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3013_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3014_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3015_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3016_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.427:0.427:0.427) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3017_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3018_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3019_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.061))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3020_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3021_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.364:0.364:0.364) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3022_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3023_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3024_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.041))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3025_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3026_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3027_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3028_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3029_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.038:-0.046))
(SETUP (posedge D) (posedge CLK) (0.063:0.064:0.064))
(SETUP (negedge D) (posedge CLK) (0.097:0.105:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3030_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3031_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3032_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3033_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3034_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3035_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3036_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3037_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3038_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3039_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3040_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3041_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3042_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3043_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3044_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3045_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3046_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3047_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3048_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3049_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3050_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3051_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3052_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3053_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3054_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3055_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.331:0.331:0.331) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3056_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3057_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3058_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3059_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3060_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3061_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3062_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3063_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3064_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3065_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3066_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3067_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3068_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3069_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3070_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3071_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.336:0.336:0.336) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3072_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.102:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3073_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3074_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3075_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3076_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3077_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3078_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.038:-0.039))
(SETUP (posedge D) (posedge CLK) (0.045:0.046:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.094:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3079_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3080_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3081_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3082_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3083_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3084_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3085_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3086_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3087_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3088_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3089_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3090_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3091_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3092_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3093_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3094_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3095_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3096_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3097_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3098_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3099_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3100_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3101_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3102_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3103_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3104_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3105_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3106_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3107_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3108_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3109_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3110_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3111_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3112_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3113_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3114_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3115_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3116_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3117_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3118_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3119_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3120_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3121_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3122_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3123_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3124_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3125_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3126_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3127_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3128_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3129_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.308:0.308:0.308) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.055))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3130_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3131_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3132_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3133_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3134_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3135_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3136_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3137_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3138_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3139_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3140_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3141_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.054:-0.054:-0.054))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.111:0.111:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3142_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3143_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.053:-0.053))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3144_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.321:0.321:0.321) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3145_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.536:0.536:0.536) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3146_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.505:0.505:0.505) (0.405:0.405:0.405))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3147_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.536:0.536:0.536) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3148_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.548:0.548:0.548) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.052:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3149_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.552:0.552:0.552) (0.429:0.429:0.429))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3150_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.524:0.524:0.524) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3151_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.534:0.534:0.534) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3152_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.540:0.540:0.540) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3153_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.507:0.507:0.507) (0.401:0.401:0.401))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3154_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.506:0.506:0.506) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3155_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.525:0.525:0.525) (0.416:0.416:0.416))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3156_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.530:0.530:0.530) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3157_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.523:0.523:0.523) (0.414:0.414:0.414))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3158_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.536:0.536:0.536) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3159_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.513:0.513:0.513) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3160_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.532:0.532:0.532) (0.419:0.419:0.419))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _3161_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.551:0.551:0.551) (0.429:0.429:0.429))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfstp_1")
(INSTANCE _3162_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.497:0.497:0.497) (0.348:0.348:0.348))
(IOPATH SET_B Q (0.000:0.000:0.000))
)
)
(TIMINGCHECK
(REMOVAL (posedge SET_B) (posedge CLK) (0.144:0.143:0.143))
(RECOVERY (posedge SET_B) (posedge CLK) (-0.125:-0.125:-0.124))
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.055:0.055:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfstp_4")
(INSTANCE _3163_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.716:0.716:0.716) (0.530:0.530:0.530))
(IOPATH SET_B Q (0.000:0.000:0.000))
)
)
(TIMINGCHECK
(REMOVAL (posedge SET_B) (posedge CLK) (0.145:0.145:0.144))
(RECOVERY (posedge SET_B) (posedge CLK) (-0.127:-0.126:-0.126))
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfstp_1")
(INSTANCE _3164_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.510:0.510:0.510) (0.364:0.364:0.364))
(IOPATH SET_B Q (0.000:0.000:0.000))
)
)
(TIMINGCHECK
(REMOVAL (posedge SET_B) (posedge CLK) (0.168:0.168:0.168))
(RECOVERY (posedge SET_B) (posedge CLK) (-0.151:-0.151:-0.151))
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (0.012:0.012:0.012))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.041:0.041:0.041))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfstp_4")
(INSTANCE _3165_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.794:0.794:0.794) (0.578:0.578:0.578))
(IOPATH SET_B Q (0.000:0.000:0.000))
)
)
(TIMINGCHECK
(REMOVAL (posedge SET_B) (posedge CLK) (0.168:0.168:0.168))
(RECOVERY (posedge SET_B) (posedge CLK) (-0.150:-0.150:-0.150))
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _3166_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.040))
(SETUP (posedge D) (posedge CLK) (0.056:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_0_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3356_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3357_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3359_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3360_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3361_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3362_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3363_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3364_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3365_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3366_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3368_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3371_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.268) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.435:0.435:0.435) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.339:0.339:0.339) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.290:0.290:0.290) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.269:0.269:0.269) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.328:0.328:0.328) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.282:0.282:0.282) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.305:0.305:0.305) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.269:0.269:0.269) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.300:0.300:0.300) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.301:0.301:0.301) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.287:0.287:0.287) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.293:0.293) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.323:0.323:0.323) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.277:0.277:0.277) (0.195:0.208:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.251:0.271) (0.207:0.209:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.221:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.219:0.219:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.263:0.284) (0.218:0.221:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.266:0.287) (0.219:0.220:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.268:0.289) (0.223:0.225:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.278:0.300) (0.229:0.231:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.271:0.293) (0.225:0.227:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.275:0.297) (0.229:0.231:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.269:0.290) (0.224:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.271:0.271) (0.228:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.268) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.273:0.273) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.355:0.355:0.355) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output130)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output131)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output132)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output133)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output134)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output135)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output136)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output137)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output138)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output139)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output140)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output141)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output142)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output143)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output144)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output145)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output146)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output147)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output148)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output149)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output150)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output151)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output152)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output153)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output154)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output155)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output156)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output157)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output158)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.248:0.248:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output159)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output160)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output161)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output162)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output163)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output164)
(DELAY
(ABSOLUTE
(IOPATH A X (0.320:0.320:0.320) (0.280:0.280:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output165)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output166)
(DELAY
(ABSOLUTE
(IOPATH A X (0.309:0.309:0.309) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output167)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output168)
(DELAY
(ABSOLUTE
(IOPATH A X (0.309:0.309:0.309) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output169)
(DELAY
(ABSOLUTE
(IOPATH A X (0.302:0.302:0.302) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output170)
(DELAY
(ABSOLUTE
(IOPATH A X (0.298:0.298:0.298) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output171)
(DELAY
(ABSOLUTE
(IOPATH A X (0.298:0.298:0.298) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output172)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output173)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output174)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output175)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output176)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output177)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output178)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output179)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output180)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output181)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output182)
(DELAY
(ABSOLUTE
(IOPATH A X (0.311:0.311:0.311) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output183)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output184)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output185)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output186)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output187)
(DELAY
(ABSOLUTE
(IOPATH A X (0.289:0.289:0.289) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output188)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output189)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.271:0.271) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output190)
(DELAY
(ABSOLUTE
(IOPATH A X (0.307:0.307:0.307) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output191)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.426:0.426:0.426) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output193)
(DELAY
(ABSOLUTE
(IOPATH A X (0.300:0.300:0.300) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output194)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output195)
(DELAY
(ABSOLUTE
(IOPATH A X (0.297:0.297:0.297) (0.243:0.243:0.243))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output196)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output197)
(DELAY
(ABSOLUTE
(IOPATH A X (0.309:0.309:0.309) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output198)
(DELAY
(ABSOLUTE
(IOPATH A X (0.294:0.294:0.294) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output199)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE fanout200)
(DELAY
(ABSOLUTE
(IOPATH A X (0.326:0.326:0.326) (0.277:0.277:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE fanout201)
(DELAY
(ABSOLUTE
(IOPATH A X (0.514:0.514:0.514) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE fanout202)
(DELAY
(ABSOLUTE
(IOPATH A X (0.368:0.368:0.368) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_1_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_2_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_3_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_4_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_5_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_6_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_7_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_8_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_9_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_10_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_11_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_12_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_13_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_14_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_15_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_16_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_17_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_18_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_19_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_20_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_21_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_22_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_23_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_24_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_25_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_26_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_27_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_28_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_29_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_30_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_2_0__f_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_2_1__f_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_2_2__f_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_2_3__f_user_clock2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.328:0.328:0.328) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_0_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_1_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.271:0.271) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_2_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_3_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_4_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_5_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_6_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_7_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_8_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_9_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_10_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_11_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_12_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_13_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_14_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE clkbuf_4_15_0_net192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.607:0.607:0.607) (0.605:0.605:0.605))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.546:0.546:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.533:0.533:0.533))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.549:0.549:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.545:0.546) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.579:0.579:0.579) (0.585:0.585:0.585))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.529) (0.545:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.548) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.533) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.542) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.553:0.553) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.543:0.544) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.545) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.543:0.543:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.527) (0.543:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.530) (0.546:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.552:0.553) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.543:0.543) (0.549:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.551:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.526) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.538) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.540:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.542:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.528) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.533) (0.539:0.539:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.561:0.561) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.561:0.561) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.543:0.543:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.551:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.551) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.538) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.550:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.542:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.583:0.583:0.583) (0.589:0.589:0.589))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.544:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.552:0.552) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.542) (0.558:0.558:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.568:0.568:0.568) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.554) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.546:0.547) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.536) (0.541:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.528) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.581:0.581:0.581) (0.587:0.587:0.587))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.541:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.572:0.572:0.572) (0.579:0.579:0.579))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.549:0.550) (0.554:0.554:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.552) (0.556:0.556:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.542) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.565) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.579:0.579:0.579))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.549:0.549) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.541) (0.556:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.552) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.533) (0.548:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.540) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.544) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.547:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.561:0.561) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.549) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold130)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.535) (0.540:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold131)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold132)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.551:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold133)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold134)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold135)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold136)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold137)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold138)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.551:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold139)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.559:0.559) (0.562:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold140)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold141)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.552) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold142)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.542) (0.548:0.548:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold143)
(DELAY
(ABSOLUTE
(IOPATH A X (0.568:0.568:0.568) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold144)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.528) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold145)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.542:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold146)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold147)
(DELAY
(ABSOLUTE
(IOPATH A X (0.719:0.720:0.720) (0.680:0.681:0.681))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold148)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.572) (0.588:0.588:0.588))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold149)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold150)
(DELAY
(ABSOLUTE
(IOPATH A X (0.822:0.822:0.822) (0.738:0.738:0.738))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold151)
(DELAY
(ABSOLUTE
(IOPATH A X (1.091:1.091:1.091) (0.861:0.861:0.861))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold152)
(DELAY
(ABSOLUTE
(IOPATH A X (0.590:0.591:0.592) (0.597:0.597:0.597))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold153)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold154)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold155)
(DELAY
(ABSOLUTE
(IOPATH A X (0.625:0.625:0.625) (0.608:0.608:0.608))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold156)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.544:0.547) (0.540:0.543:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold157)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.530) (0.539:0.539:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold158)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold159)
(DELAY
(ABSOLUTE
(IOPATH A X (0.844:0.845:0.845) (0.748:0.749:0.750))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold160)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.542:0.543) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold161)
(DELAY
(ABSOLUTE
(IOPATH A X (0.755:0.755:0.756) (0.708:0.709:0.709))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold162)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.527) (0.535:0.536:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold163)
(DELAY
(ABSOLUTE
(IOPATH A X (0.587:0.587:0.587) (0.584:0.584:0.584))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold164)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold165)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold166)
(DELAY
(ABSOLUTE
(IOPATH A X (0.721:0.721:0.722) (0.680:0.680:0.681))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold167)
(DELAY
(ABSOLUTE
(IOPATH A X (0.616:0.617:0.617) (0.618:0.618:0.618))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold168)
(DELAY
(ABSOLUTE
(IOPATH A X (0.645:0.645:0.645) (0.636:0.637:0.637))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold169)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.525:0.525) (0.533:0.535:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold170)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold171)
(DELAY
(ABSOLUTE
(IOPATH A X (0.649:0.649:0.649) (0.636:0.636:0.637))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold172)
(DELAY
(ABSOLUTE
(IOPATH A X (0.600:0.601:0.603) (0.607:0.607:0.607))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold173)
(DELAY
(ABSOLUTE
(IOPATH A X (0.628:0.628:0.629) (0.623:0.624:0.625))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold174)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.536:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold175)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold176)
(DELAY
(ABSOLUTE
(IOPATH A X (0.622:0.623:0.623) (0.619:0.619:0.619))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold177)
(DELAY
(ABSOLUTE
(IOPATH A X (0.653:0.654:0.654) (0.644:0.644:0.644))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold178)
(DELAY
(ABSOLUTE
(IOPATH A X (0.694:0.694:0.694) (0.672:0.672:0.673))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold179)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.543:0.545:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold180)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold181)
(DELAY
(ABSOLUTE
(IOPATH A X (0.648:0.648:0.648) (0.634:0.634:0.635))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold182)
(DELAY
(ABSOLUTE
(IOPATH A X (0.642:0.642:0.643) (0.635:0.635:0.636))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold183)
(DELAY
(ABSOLUTE
(IOPATH A X (0.631:0.632:0.632) (0.626:0.626:0.627))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold184)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.533) (0.541:0.542:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold185)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold186)
(DELAY
(ABSOLUTE
(IOPATH A X (0.668:0.668:0.668) (0.648:0.648:0.649))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold187)
(DELAY
(ABSOLUTE
(IOPATH A X (0.646:0.647:0.648) (0.638:0.638:0.638))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold188)
(DELAY
(ABSOLUTE
(IOPATH A X (0.658:0.658:0.659) (0.645:0.645:0.646))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold189)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.544:0.546:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold190)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold191)
(DELAY
(ABSOLUTE
(IOPATH A X (0.628:0.629:0.629) (0.623:0.623:0.624))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.664:0.665:0.666) (0.650:0.651:0.651))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold193)
(DELAY
(ABSOLUTE
(IOPATH A X (0.625:0.626:0.626) (0.622:0.622:0.623))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold194)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.534) (0.542:0.543:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold195)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold196)
(DELAY
(ABSOLUTE
(IOPATH A X (0.636:0.637:0.637) (0.631:0.631:0.631))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold197)
(DELAY
(ABSOLUTE
(IOPATH A X (0.623:0.623:0.624) (0.622:0.623:0.623))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold198)
(DELAY
(ABSOLUTE
(IOPATH A X (0.642:0.642:0.642) (0.634:0.634:0.635))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold199)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.539:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold200)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold201)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.562:0.562) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold202)
(DELAY
(ABSOLUTE
(IOPATH A X (0.621:0.621:0.621) (0.613:0.613:0.613))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold203)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold204)
(DELAY
(ABSOLUTE
(IOPATH A X (0.648:0.649:0.650) (0.641:0.642:0.642))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold205)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.536:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold206)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold207)
(DELAY
(ABSOLUTE
(IOPATH A X (0.665:0.665:0.666) (0.650:0.651:0.651))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold208)
(DELAY
(ABSOLUTE
(IOPATH A X (0.642:0.642:0.643) (0.635:0.636:0.636))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold209)
(DELAY
(ABSOLUTE
(IOPATH A X (0.646:0.646:0.646) (0.638:0.639:0.640))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold210)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.540:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold211)
(DELAY
(ABSOLUTE
(IOPATH A X (0.593:0.593:0.593) (0.587:0.587:0.587))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold212)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold213)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold214)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold215)
(DELAY
(ABSOLUTE
(IOPATH A X (0.629:0.629:0.629) (0.635:0.635:0.635))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold216)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.567) (0.578:0.579:0.579))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold217)
(DELAY
(ABSOLUTE
(IOPATH A X (0.657:0.657:0.657) (0.645:0.646:0.647))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold218)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.534) (0.541:0.543:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold219)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.546:0.546) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold220)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold221)
(DELAY
(ABSOLUTE
(IOPATH A X (0.678:0.678:0.678) (0.654:0.654:0.654))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold222)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.555:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold223)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.524:0.525) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold224)
(DELAY
(ABSOLUTE
(IOPATH A X (0.618:0.619:0.619) (0.636:0.636:0.636))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold225)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.539:0.540:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold226)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold227)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.551) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold228)
(DELAY
(ABSOLUTE
(IOPATH A X (0.627:0.627:0.627) (0.635:0.635:0.635))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold229)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold230)
(DELAY
(ABSOLUTE
(IOPATH A X (0.717:0.718:0.718) (0.688:0.688:0.689))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold231)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.548:0.549:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold232)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold233)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.543) (0.557:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold234)
(DELAY
(ABSOLUTE
(IOPATH A X (0.640:0.640:0.640) (0.632:0.632:0.632))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold235)
(DELAY
(ABSOLUTE
(IOPATH A X (0.580:0.580:0.580) (0.591:0.591:0.591))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold236)
(DELAY
(ABSOLUTE
(IOPATH A X (0.647:0.648:0.648) (0.638:0.639:0.640))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold237)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.539:0.540:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold238)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold239)
(DELAY
(ABSOLUTE
(IOPATH A X (0.660:0.661:0.661) (0.644:0.644:0.645))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold240)
(DELAY
(ABSOLUTE
(IOPATH A X (0.604:0.605:0.605) (0.614:0.614:0.614))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold241)
(DELAY
(ABSOLUTE
(IOPATH A X (0.708:0.717:0.726) (0.661:0.664:0.667))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold242)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.527) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold243)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold244)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold245)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold246)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold247)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold248)
(DELAY
(ABSOLUTE
(IOPATH A X (0.655:0.655:0.655) (0.643:0.643:0.643))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold249)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.538) (0.552:0.552:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold250)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.552:0.552) (0.558:0.558:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold251)
(DELAY
(ABSOLUTE
(IOPATH A X (0.632:0.632:0.632) (0.645:0.645:0.645))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold252)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.543:0.545:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold253)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold254)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold255)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold256)
(DELAY
(ABSOLUTE
(IOPATH A X (0.586:0.586:0.586) (0.583:0.583:0.583))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold257)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.540:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold258)
(DELAY
(ABSOLUTE
(IOPATH A X (0.586:0.586:0.586) (0.584:0.584:0.584))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold259)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.539) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold260)
(DELAY
(ABSOLUTE
(IOPATH A X (0.606:0.606:0.606) (0.601:0.601:0.601))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold261)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.546) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold262)
(DELAY
(ABSOLUTE
(IOPATH A X (0.572:0.573:0.573) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold263)
(DELAY
(ABSOLUTE
(IOPATH A X (0.643:0.644:0.644) (0.634:0.634:0.635))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold264)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.535:0.537:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold265)
(DELAY
(ABSOLUTE
(IOPATH A X (0.666:0.666:0.666) (0.675:0.675:0.675))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold266)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.547:0.547) (0.554:0.556:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold267)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.551:0.553) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold268)
(DELAY
(ABSOLUTE
(IOPATH A X (0.578:0.578:0.578) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold269)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.546:0.548) (0.543:0.547:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold270)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold271)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.544:0.554) (0.543:0.545:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold272)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.569:0.569) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold273)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.540:0.540:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold274)
(DELAY
(ABSOLUTE
(IOPATH A X (0.584:0.584:0.584) (0.583:0.583:0.583))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold275)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.542) (0.549:0.552:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold276)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.547:0.549) (0.543:0.551:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold277)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold278)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.538:0.539:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold279)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.565:0.565) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold280)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.536) (0.545:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold281)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold282)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold283)
(DELAY
(ABSOLUTE
(IOPATH A X (0.578:0.578:0.578) (0.578:0.578:0.578))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold284)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.535) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold285)
(DELAY
(ABSOLUTE
(IOPATH A X (0.589:0.589:0.589) (0.585:0.585:0.585))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold286)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.529) (0.538:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold287)
(DELAY
(ABSOLUTE
(IOPATH A X (0.591:0.591:0.591) (0.588:0.588:0.588))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold288)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.548:0.548:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold289)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.537) (0.545:0.546:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold290)
(DELAY
(ABSOLUTE
(IOPATH A X (0.622:0.622:0.622) (0.606:0.606:0.606))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold291)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.546:0.549) (0.538:0.543:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold292)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold293)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.559:0.562) (0.552:0.552:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold294)
(DELAY
(ABSOLUTE
(IOPATH A X (0.596:0.597:0.597) (0.589:0.590:0.591))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold295)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.527) (0.535:0.536:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold296)
(DELAY
(ABSOLUTE
(IOPATH A X (0.603:0.603:0.603) (0.595:0.595:0.595))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold297)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.539:0.539:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold298)
(DELAY
(ABSOLUTE
(IOPATH A X (0.597:0.597:0.597) (0.591:0.591:0.591))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold299)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold300)
(DELAY
(ABSOLUTE
(IOPATH A X (0.609:0.609:0.609) (0.606:0.606:0.606))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold301)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.540:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold302)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold303)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.538) (0.544:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold304)
(DELAY
(ABSOLUTE
(IOPATH A X (0.632:0.632:0.632) (0.614:0.614:0.614))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold305)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.542:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold306)
(DELAY
(ABSOLUTE
(IOPATH A X (0.587:0.587:0.587) (0.583:0.583:0.583))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold307)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.544) (0.551:0.552:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold308)
(DELAY
(ABSOLUTE
(IOPATH A X (0.580:0.580:0.580) (0.578:0.578:0.578))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold309)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.550:0.553) (0.542:0.549:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold310)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.535:0.540:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold311)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold312)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold313)
(DELAY
(ABSOLUTE
(IOPATH A X (0.580:0.580:0.580) (0.579:0.579:0.579))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold314)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.559:0.563) (0.550:0.557:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold315)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.541:0.545:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold316)
(DELAY
(ABSOLUTE
(IOPATH A X (0.583:0.583:0.583) (0.581:0.581:0.581))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold317)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold318)
(DELAY
(ABSOLUTE
(IOPATH A X (0.575:0.575:0.575) (0.581:0.581:0.581))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold319)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.544) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold320)
(DELAY
(ABSOLUTE
(IOPATH A X (0.589:0.589:0.589) (0.585:0.585:0.585))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold321)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.560:0.564) (0.555:0.560:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold322)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.537:0.538:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold323)
(DELAY
(ABSOLUTE
(IOPATH A X (0.629:0.629:0.629) (0.613:0.613:0.613))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold324)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.540:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold325)
(DELAY
(ABSOLUTE
(IOPATH A X (0.602:0.602:0.602) (0.593:0.593:0.593))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold326)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold327)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold328)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.544) (0.559:0.559:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold329)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold330)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold331)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold332)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold333)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold334)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold335)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold336)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.531) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold337)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold338)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold339)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold340)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold341)
(DELAY
(ABSOLUTE
(IOPATH A X (0.660:0.660:0.660) (0.648:0.648:0.649))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold342)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.536) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold343)
(DELAY
(ABSOLUTE
(IOPATH A X (0.625:0.625:0.625) (0.618:0.618:0.618))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold344)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.554:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold345)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold346)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold347)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold348)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold349)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.538) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold350)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold351)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.554:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold352)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold353)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold354)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.542) (0.557:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold355)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold356)
(DELAY
(ABSOLUTE
(IOPATH A X (0.695:0.695:0.695) (0.651:0.651:0.651))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold357)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.552:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold358)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold359)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.542) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold360)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold361)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold362)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold363)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold364)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.544) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold365)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.565:0.565) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold366)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.549:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold367)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.536:0.537) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold368)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold369)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold370)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.550) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold371)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold372)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.552:0.552) (0.566:0.566:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold373)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold374)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold375)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold376)
(DELAY
(ABSOLUTE
(IOPATH A X (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold377)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold378)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold379)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold380)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold381)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold382)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold383)
(DELAY
(ABSOLUTE
(IOPATH A X (0.602:0.602:0.602) (0.591:0.591:0.591))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold384)
(DELAY
(ABSOLUTE
(IOPATH A X (0.591:0.592:0.592) (0.599:0.599:0.599))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold385)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold386)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold387)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold388)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.561:0.561) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold389)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.546:0.546:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold390)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold391)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold392)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold393)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold394)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold395)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold396)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold397)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold398)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold399)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold400)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.537) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold401)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold402)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold403)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold404)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.564:0.565) (0.575:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold405)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold406)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold407)
(DELAY
(ABSOLUTE
(IOPATH A X (0.585:0.585:0.585) (0.590:0.590:0.590))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold408)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold409)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold410)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold411)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold412)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold413)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold414)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold415)
(DELAY
(ABSOLUTE
(IOPATH A X (0.574:0.574:0.574) (0.580:0.580:0.580))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold416)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.550:0.550) (0.564:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold417)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.544) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold418)
(DELAY
(ABSOLUTE
(IOPATH A X (0.618:0.618:0.618) (0.605:0.605:0.605))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold419)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.565:0.569) (0.562:0.565:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold420)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.536:0.537:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold421)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold422)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.550:0.550:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold423)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold424)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold425)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold426)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold427)
(DELAY
(ABSOLUTE
(IOPATH A X (0.611:0.611:0.611) (0.597:0.597:0.597))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold428)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold429)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold430)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold431)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.561:0.562) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold432)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold433)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold434)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.533:0.533:0.533))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold435)
(DELAY
(ABSOLUTE
(IOPATH A X (0.589:0.589:0.589) (0.585:0.585:0.585))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold436)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.569:0.573) (0.559:0.565:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold437)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.537:0.538:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold438)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold439)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold440)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold441)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold442)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold443)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold444)
(DELAY
(ABSOLUTE
(IOPATH A X (0.587:0.587:0.587) (0.592:0.592:0.592))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold445)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold446)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold447)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.536) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold448)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold449)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold450)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.533:0.533:0.533))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold451)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold452)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.531:0.531:0.531))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold453)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold454)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.548) (0.560:0.560:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold455)
(DELAY
(ABSOLUTE
(IOPATH A X (0.581:0.581:0.581) (0.587:0.587:0.587))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold456)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold457)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.569:0.569) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold458)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold459)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold460)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold461)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold462)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold463)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.543:0.544) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold464)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold465)
(DELAY
(ABSOLUTE
(IOPATH A X (0.614:0.614:0.614) (0.601:0.601:0.601))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold466)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.529) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold467)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold468)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold469)
(DELAY
(ABSOLUTE
(IOPATH A X (0.582:0.582:0.582) (0.588:0.588:0.588))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold470)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.541) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold471)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold472)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold473)
(DELAY
(ABSOLUTE
(IOPATH A X (0.773:0.773:0.773) (0.700:0.700:0.700))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold474)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.532:0.533) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold475)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold476)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold477)
(DELAY
(ABSOLUTE
(IOPATH A X (0.607:0.607:0.608) (0.612:0.612:0.612))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold478)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.547:0.547) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold479)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold480)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold481)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.548:0.548) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold482)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold483)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold484)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.553) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold485)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold486)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold487)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.550:0.550:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold488)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold489)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold490)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.554:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold491)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold492)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.553) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold493)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold494)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold495)
(DELAY
(ABSOLUTE
(IOPATH A X (0.812:0.812:0.812) (0.715:0.715:0.715))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold496)
(DELAY
(ABSOLUTE
(IOPATH A X (0.671:0.674:0.676) (0.653:0.658:0.663))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold497)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.550) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold498)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.531) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold499)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.550) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold500)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold501)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold502)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold503)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.546:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold504)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.565:0.565) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold505)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.554:0.555) (0.567:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold506)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold507)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold508)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold509)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold510)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold511)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold512)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.544) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold513)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.553:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold514)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold515)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold516)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.534) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold517)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold518)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.546) (0.561:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold519)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold520)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold521)
(DELAY
(ABSOLUTE
(IOPATH A X (0.629:0.629:0.629) (0.612:0.612:0.612))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold522)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold523)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.563) (0.593:0.593:0.593))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold524)
(DELAY
(ABSOLUTE
(IOPATH A X (0.737:0.738:0.738) (0.681:0.683:0.684))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold525)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.556) (0.557:0.558:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold526)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.541:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold527)
(DELAY
(ABSOLUTE
(IOPATH A X (0.781:0.781:0.781) (0.700:0.700:0.700))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold528)
(DELAY
(ABSOLUTE
(IOPATH A X (0.583:0.583:0.584) (0.608:0.608:0.608))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold529)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.560:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold530)
(DELAY
(ABSOLUTE
(IOPATH A X (0.610:0.610:0.610) (0.599:0.599:0.599))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold531)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.552:0.556) (0.547:0.552:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold532)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.543:0.545:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold533)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold534)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.550:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold535)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.543) (0.550:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold536)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold537)
(DELAY
(ABSOLUTE
(IOPATH A X (0.727:0.727:0.727) (0.669:0.669:0.669))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold538)
(DELAY
(ABSOLUTE
(IOPATH A X (0.607:0.607:0.608) (0.612:0.612:0.612))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold539)
(DELAY
(ABSOLUTE
(IOPATH A X (0.774:0.774:0.774) (0.714:0.715:0.715))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold540)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.558:0.559) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold541)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.532) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold542)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold543)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.535:0.536) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold544)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold545)
(DELAY
(ABSOLUTE
(IOPATH A X (0.697:0.697:0.697) (0.652:0.652:0.652))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold546)
(DELAY
(ABSOLUTE
(IOPATH A X (0.667:0.669:0.671) (0.654:0.654:0.655))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold547)
(DELAY
(ABSOLUTE
(IOPATH A X (0.579:0.579:0.579) (0.584:0.584:0.584))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold548)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.547:0.547) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold549)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.548:0.549) (0.562:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold550)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.569:0.569) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold551)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.535) (0.548:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold552)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold553)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.534) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold554)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold555)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.559:0.561) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold556)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold557)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold558)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold559)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold560)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold561)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold562)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.536) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold563)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold564)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold565)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.551) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold566)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold567)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold568)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.545:0.545) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold569)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold570)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold571)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold572)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.545:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold573)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold574)
(DELAY
(ABSOLUTE
(IOPATH A X (0.643:0.643:0.643) (0.630:0.630:0.630))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold575)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.538) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold576)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold577)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.524:0.524) (0.531:0.531:0.531))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold578)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold579)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.559:0.559) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold580)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold581)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold582)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.548:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold583)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold584)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.535) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold585)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold586)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold587)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold588)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold589)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.542) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold590)
(DELAY
(ABSOLUTE
(IOPATH A X (0.820:0.820:0.820) (0.735:0.735:0.735))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold591)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.534:0.543:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold592)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold593)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.548:0.549) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold594)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold595)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold596)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold597)
(DELAY
(ABSOLUTE
(IOPATH A X (0.577:0.577:0.577) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold598)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.535) (0.548:0.548:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold599)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold600)
(DELAY
(ABSOLUTE
(IOPATH A X (0.638:0.638:0.638) (0.617:0.617:0.617))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold601)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.539:0.540:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold602)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold603)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold604)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold605)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold606)
(DELAY
(ABSOLUTE
(IOPATH A X (0.621:0.621:0.621) (0.614:0.614:0.614))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold607)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold608)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.560:0.560) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold609)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.548:0.548:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold610)
(DELAY
(ABSOLUTE
(IOPATH A X (0.568:0.568:0.568) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold611)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.539) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold612)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.533:0.533:0.533))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold613)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold614)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.542) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold615)
(DELAY
(ABSOLUTE
(IOPATH A X (0.602:0.602:0.602) (0.593:0.593:0.593))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold616)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.553) (0.556:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold617)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold618)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.548:0.548:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold619)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold620)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.541) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold621)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold622)
(DELAY
(ABSOLUTE
(IOPATH A X (0.699:0.699:0.699) (0.654:0.654:0.654))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold623)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.555:0.556) (0.569:0.576:0.584))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold624)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.546:0.547:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold625)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.547:0.550) (0.543:0.551:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold626)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold627)
(DELAY
(ABSOLUTE
(IOPATH A X (0.591:0.591:0.592) (0.587:0.587:0.588))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold628)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.552) (0.564:0.573:0.582))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold629)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.529) (0.536:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold630)
(DELAY
(ABSOLUTE
(IOPATH A X (0.568:0.568:0.568) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold631)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.543:0.544) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold632)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold633)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold634)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold635)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.540) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold636)
(DELAY
(ABSOLUTE
(IOPATH A X (0.692:0.692:0.692) (0.651:0.651:0.651))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold637)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold638)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.549:0.550) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold639)
(DELAY
(ABSOLUTE
(IOPATH A X (0.616:0.616:0.616) (0.603:0.603:0.603))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold640)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.546:0.549) (0.546:0.549:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold641)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.542) (0.539:0.543:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold642)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold643)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.550:0.551) (0.564:0.564:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold644)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold645)
(DELAY
(ABSOLUTE
(IOPATH A X (0.573:0.573:0.573) (0.572:0.572:0.572))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold646)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.542:0.543) (0.557:0.557:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold647)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.527) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold648)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold649)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.543) (0.548:0.549:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold650)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.526:0.528) (0.536:0.536:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold651)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold652)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.565:0.565) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold653)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.547:0.548) (0.562:0.562:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold654)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold655)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold656)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold657)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold658)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold659)
(DELAY
(ABSOLUTE
(IOPATH A X (0.669:0.669:0.669) (0.637:0.637:0.637))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold660)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.562:0.562) (0.565:0.565:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold661)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.541) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold662)
(DELAY
(ABSOLUTE
(IOPATH A X (0.683:0.683:0.683) (0.649:0.649:0.649))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold663)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.543:0.546) (0.552:0.556:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold664)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold665)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold666)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.539) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold667)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold668)
(DELAY
(ABSOLUTE
(IOPATH A X (0.562:0.562:0.562) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold669)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.555:0.556:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold670)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold671)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold672)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold673)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.549:0.551) (0.562:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold674)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold675)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold676)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.537) (0.541:0.543:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold677)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.526:0.527) (0.536:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold678)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold679)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.557:0.558) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold680)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold681)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.548:0.549) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold682)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold683)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.544) (0.549:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold684)
(DELAY
(ABSOLUTE
(IOPATH A X (0.679:0.679:0.679) (0.642:0.642:0.642))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold685)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.546:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold686)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold687)
(DELAY
(ABSOLUTE
(IOPATH A X (0.565:0.565:0.565) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold688)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.546) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold689)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold690)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold691)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.544:0.545) (0.558:0.558:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold692)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold693)
(DELAY
(ABSOLUTE
(IOPATH A X (0.577:0.577:0.577) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold694)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold695)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold696)
(DELAY
(ABSOLUTE
(IOPATH A X (0.639:0.639:0.639) (0.617:0.617:0.617))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold697)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.535:0.535) (0.543:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold698)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.524:0.524) (0.531:0.531:0.531))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold699)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.541) (0.544:0.547:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold700)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.532) (0.541:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold701)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold702)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold703)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold704)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.538) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold705)
(DELAY
(ABSOLUTE
(IOPATH A X (0.586:0.586:0.586) (0.583:0.583:0.583))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold706)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.560:0.560) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold707)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold708)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold709)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.538) (0.540:0.543:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold710)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.538:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold711)
(DELAY
(ABSOLUTE
(IOPATH A X (0.647:0.647:0.647) (0.622:0.622:0.622))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold712)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.553:0.563) (0.547:0.551:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold713)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.571) (0.545:0.555:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold714)
(DELAY
(ABSOLUTE
(IOPATH A X (0.603:0.603:0.603) (0.606:0.606:0.606))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold715)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.528) (0.542:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold716)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold717)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.553) (0.554:0.558:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold718)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.529:0.530) (0.537:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold719)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold720)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.534:0.535) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold721)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold722)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.544:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold723)
(DELAY
(ABSOLUTE
(IOPATH A X (0.613:0.613:0.613) (0.601:0.601:0.601))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold724)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.545) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold725)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold726)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.535) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold727)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold728)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.541) (0.546:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold729)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold730)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold731)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.546:0.546) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold732)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold733)
(DELAY
(ABSOLUTE
(IOPATH A X (0.604:0.604:0.604) (0.602:0.602:0.602))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold734)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.551) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold735)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold736)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.546) (0.550:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold737)
(DELAY
(ABSOLUTE
(IOPATH A X (0.705:0.705:0.705) (0.666:0.666:0.666))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold738)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.535) (0.544:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold739)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.558:0.558:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold740)
(DELAY
(ABSOLUTE
(IOPATH A X (0.616:0.616:0.616) (0.623:0.623:0.624))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold741)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.539:0.540) (0.549:0.549:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold742)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.533:0.533:0.533))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold743)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.551:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold744)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold745)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold746)
(DELAY
(ABSOLUTE
(IOPATH A X (0.613:0.613:0.613) (0.619:0.619:0.619))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold747)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold748)
(DELAY
(ABSOLUTE
(IOPATH A X (0.605:0.605:0.605) (0.606:0.606:0.606))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold749)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.548:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold750)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold751)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold752)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold753)
(DELAY
(ABSOLUTE
(IOPATH A X (0.648:0.648:0.648) (0.628:0.628:0.628))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold754)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.544) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold755)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold756)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold757)
(DELAY
(ABSOLUTE
(IOPATH A X (0.614:0.614:0.614) (0.602:0.602:0.602))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold758)
(DELAY
(ABSOLUTE
(IOPATH A X (0.596:0.596:0.596) (0.591:0.591:0.592))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold759)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.546:0.547) (0.552:0.552:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold760)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.534) (0.542:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold761)
(DELAY
(ABSOLUTE
(IOPATH A X (0.601:0.601:0.601) (0.598:0.598:0.598))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold762)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.544:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold763)
(DELAY
(ABSOLUTE
(IOPATH A X (0.611:0.611:0.611) (0.617:0.617:0.617))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold764)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.529) (0.543:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold765)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold766)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.535) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold767)
(DELAY
(ABSOLUTE
(IOPATH A X (0.606:0.606:0.606) (0.605:0.605:0.605))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold768)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.549:0.549) (0.561:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold769)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold770)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.532) (0.547:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold771)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold772)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.564:0.564) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold773)
(DELAY
(ABSOLUTE
(IOPATH A X (0.582:0.582:0.582) (0.577:0.577:0.577))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold774)
(DELAY
(ABSOLUTE
(IOPATH A X (0.560:0.578:0.595) (0.566:0.569:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold775)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.548:0.548) (0.559:0.560:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold776)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.554:0.574) (0.541:0.550:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold777)
(DELAY
(ABSOLUTE
(IOPATH A X (0.605:0.605:0.605) (0.610:0.610:0.610))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold778)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.547:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold779)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold780)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.545:0.546) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold781)
(DELAY
(ABSOLUTE
(IOPATH A X (0.613:0.613:0.613) (0.618:0.618:0.618))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold782)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.551:0.551:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold783)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold784)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.549:0.550) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold785)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.559:0.559) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold786)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold787)
(DELAY
(ABSOLUTE
(IOPATH A X (0.606:0.606:0.606) (0.609:0.609:0.609))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold788)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.531) (0.545:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold789)
(DELAY
(ABSOLUTE
(IOPATH A X (0.691:0.691:0.691) (0.653:0.653:0.653))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold790)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.532) (0.546:0.546:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold791)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold792)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold793)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.535) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold794)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold795)
(DELAY
(ABSOLUTE
(IOPATH A X (0.585:0.585:0.585) (0.584:0.584:0.584))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold796)
(DELAY
(ABSOLUTE
(IOPATH A X (0.775:0.775:0.775) (0.695:0.695:0.695))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold797)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.534:0.535) (0.548:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold798)
(DELAY
(ABSOLUTE
(IOPATH A X (0.651:0.651:0.651) (0.625:0.625:0.625))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold799)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold800)
(DELAY
(ABSOLUTE
(IOPATH A X (0.653:0.653:0.653) (0.624:0.624:0.624))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold801)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold802)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold803)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.536) (0.552:0.552:0.552))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold804)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.534) (0.538:0.538:0.538))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold805)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.529) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold806)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold807)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.526) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold808)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold809)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.529) (0.545:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold810)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold811)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.531) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold812)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold813)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold814)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.529) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold815)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold816)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.529) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold817)
(DELAY
(ABSOLUTE
(IOPATH A X (0.548:0.548:0.548) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold818)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold819)
(DELAY
(ABSOLUTE
(IOPATH A X (0.546:0.546:0.546) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold820)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold821)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold822)
(DELAY
(ABSOLUTE
(IOPATH A X (0.589:0.591:0.592) (0.567:0.571:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold823)
(DELAY
(ABSOLUTE
(IOPATH A X (0.727:0.727:0.727) (0.657:0.666:0.675))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold824)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.550:0.553:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold825)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold826)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.529) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold827)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold828)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.531) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold829)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold830)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold831)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold832)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold833)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.541:0.541) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold834)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.533) (0.549:0.549:0.549))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold835)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold836)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold837)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.550) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold838)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.556:0.558) (0.540:0.544:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold839)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold840)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold841)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.565:0.566) (0.547:0.552:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold842)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.534) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold843)
(DELAY
(ABSOLUTE
(IOPATH A X (0.687:0.687:0.687) (0.646:0.646:0.646))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold844)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.538) (0.553:0.553:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold845)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.536:0.536:0.536))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold846)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.530:0.530) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold847)
(DELAY
(ABSOLUTE
(IOPATH A X (0.643:0.643:0.643) (0.619:0.619:0.619))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold848)
(DELAY
(ABSOLUTE
(IOPATH A X (0.541:0.544:0.547) (0.558:0.561:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold849)
(DELAY
(ABSOLUTE
(IOPATH A X (0.535:0.536:0.536) (0.541:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold850)
(DELAY
(ABSOLUTE
(IOPATH A X (0.586:0.586:0.586) (0.583:0.583:0.583))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold851)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.529) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold852)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.532) (0.537:0.538:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold853)
(DELAY
(ABSOLUTE
(IOPATH A X (0.578:0.578:0.578) (0.576:0.576:0.576))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold854)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.537:0.537) (0.543:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold855)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.534:0.534) (0.539:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold856)
(DELAY
(ABSOLUTE
(IOPATH A X (0.657:0.657:0.657) (0.629:0.629:0.629))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold857)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold858)
(DELAY
(ABSOLUTE
(IOPATH A X (0.650:0.650:0.650) (0.624:0.624:0.624))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold859)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.535) (0.542:0.542:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold860)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold861)
(DELAY
(ABSOLUTE
(IOPATH A X (0.561:0.563:0.565) (0.552:0.555:0.558))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold862)
(DELAY
(ABSOLUTE
(IOPATH A X (0.705:0.705:0.705) (0.659:0.659:0.659))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold863)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.546:0.547) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold864)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold865)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.531:0.532) (0.540:0.541:0.542))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold866)
(DELAY
(ABSOLUTE
(IOPATH A X (0.552:0.552:0.552) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold867)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.534:0.535) (0.550:0.550:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold868)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.540) (0.545:0.545:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold869)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.530:0.530) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold870)
(DELAY
(ABSOLUTE
(IOPATH A X (0.598:0.598:0.598) (0.588:0.588:0.588))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold871)
(DELAY
(ABSOLUTE
(IOPATH A X (0.573:0.574:0.575) (0.557:0.576:0.596))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold872)
(DELAY
(ABSOLUTE
(IOPATH A X (0.583:0.583:0.583) (0.580:0.580:0.580))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold873)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.539) (0.546:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold874)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.551:0.551:0.551))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold875)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold876)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold877)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.527:0.528) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold878)
(DELAY
(ABSOLUTE
(IOPATH A X (0.647:0.647:0.647) (0.619:0.619:0.619))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold879)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.540) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold880)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.528:0.528) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold881)
(DELAY
(ABSOLUTE
(IOPATH A X (0.697:0.697:0.697) (0.704:0.704:0.704))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold882)
(DELAY
(ABSOLUTE
(IOPATH A X (0.575:0.577:0.578) (0.558:0.564:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold883)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.569:0.569) (0.566:0.566:0.566))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold884)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.527) (0.543:0.543:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold885)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.526) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold886)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold887)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.528) (0.544:0.544:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold888)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.533) (0.539:0.539:0.539))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold889)
(DELAY
(ABSOLUTE
(IOPATH A X (0.962:0.962:0.962) (0.804:0.804:0.804))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold890)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.530) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold891)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.533:0.533) (0.540:0.540:0.540))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold892)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold893)
(DELAY
(ABSOLUTE
(IOPATH A X (0.530:0.531:0.532) (0.547:0.547:0.547))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold894)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.555:0.555:0.555))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold895)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold896)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold897)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.525:0.527) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold898)
(DELAY
(ABSOLUTE
(IOPATH A X (0.577:0.577:0.577) (0.575:0.575:0.575))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold899)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold900)
(DELAY
(ABSOLUTE
(IOPATH A X (0.563:0.563:0.563) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold901)
(DELAY
(ABSOLUTE
(IOPATH A X (0.536:0.536:0.537) (0.553:0.553:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold902)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.559:0.559) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold903)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.526:0.527) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold904)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold905)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold906)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold907)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.524:0.525) (0.541:0.541:0.541))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold908)
(DELAY
(ABSOLUTE
(IOPATH A X (0.582:0.582:0.582) (0.580:0.580:0.580))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold909)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.554:0.555) (0.567:0.567:0.567))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold910)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.565:0.565:0.565))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold911)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.540:0.541) (0.546:0.547:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold912)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.551:0.552) (0.555:0.555:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold913)
(DELAY
(ABSOLUTE
(IOPATH A X (0.582:0.582:0.582) (0.581:0.581:0.581))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold914)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.534) (0.550:0.550:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold915)
(DELAY
(ABSOLUTE
(IOPATH A X (0.592:0.592:0.592) (0.593:0.593:0.593))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold916)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.544:0.545) (0.559:0.559:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold917)
(DELAY
(ABSOLUTE
(IOPATH A X (0.596:0.596:0.596) (0.601:0.601:0.601))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold918)
(DELAY
(ABSOLUTE
(IOPATH A X (0.531:0.532:0.533) (0.548:0.548:0.548))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold919)
(DELAY
(ABSOLUTE
(IOPATH A X (0.594:0.594:0.594) (0.598:0.598:0.598))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold920)
(DELAY
(ABSOLUTE
(IOPATH A X (0.526:0.527:0.529) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold921)
(DELAY
(ABSOLUTE
(IOPATH A X (0.598:0.598:0.598) (0.602:0.602:0.602))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold922)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.530) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold923)
(DELAY
(ABSOLUTE
(IOPATH A X (0.602:0.602:0.602) (0.609:0.609:0.609))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold924)
(DELAY
(ABSOLUTE
(IOPATH A X (0.527:0.528:0.529) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold925)
(DELAY
(ABSOLUTE
(IOPATH A X (0.597:0.597:0.597) (0.600:0.600:0.600))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold926)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.530) (0.545:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold927)
(DELAY
(ABSOLUTE
(IOPATH A X (0.598:0.598:0.598) (0.604:0.604:0.604))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold928)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.526:0.527) (0.542:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold929)
(DELAY
(ABSOLUTE
(IOPATH A X (0.598:0.598:0.598) (0.603:0.603:0.603))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold930)
(DELAY
(ABSOLUTE
(IOPATH A X (0.528:0.529:0.530) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold931)
(DELAY
(ABSOLUTE
(IOPATH A X (0.628:0.628:0.628) (0.611:0.611:0.611))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold932)
(DELAY
(ABSOLUTE
(IOPATH A X (0.534:0.535:0.536) (0.541:0.542:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold933)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.538:0.539) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold934)
(DELAY
(ABSOLUTE
(IOPATH A X (0.612:0.612:0.612) (0.601:0.601:0.601))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold935)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.543:0.543:0.543))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold936)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold937)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold938)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.545:0.545:0.545))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold939)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.537:0.537:0.537))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold940)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.534:0.534:0.534))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold941)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold942)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold943)
(DELAY
(ABSOLUTE
(IOPATH A X (0.632:0.632:0.632) (0.613:0.613:0.613))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold944)
(DELAY
(ABSOLUTE
(IOPATH A X (0.569:0.573:0.576) (0.567:0.568:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold945)
(DELAY
(ABSOLUTE
(IOPATH A X (0.557:0.557:0.557) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold946)
(DELAY
(ABSOLUTE
(IOPATH A X (0.579:0.579:0.579) (0.573:0.573:0.573))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold947)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.556:0.556) (0.554:0.554:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold948)
(DELAY
(ABSOLUTE
(IOPATH A X (0.572:0.572:0.572) (0.569:0.569:0.569))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold949)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold950)
(DELAY
(ABSOLUTE
(IOPATH A X (0.575:0.575:0.575) (0.570:0.570:0.570))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold951)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.562:0.562:0.562))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold952)
(DELAY
(ABSOLUTE
(IOPATH A X (0.567:0.567:0.567) (0.564:0.564:0.564))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold953)
(DELAY
(ABSOLUTE
(IOPATH A X (0.571:0.571:0.571) (0.568:0.568:0.568))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold954)
(DELAY
(ABSOLUTE
(IOPATH A X (0.564:0.564:0.564) (0.561:0.561:0.561))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold955)
(DELAY
(ABSOLUTE
(IOPATH A X (0.577:0.577:0.577) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold956)
(DELAY
(ABSOLUTE
(IOPATH A X (0.566:0.566:0.566) (0.563:0.563:0.563))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold957)
(DELAY
(ABSOLUTE
(IOPATH A X (0.586:0.586:0.586) (0.582:0.582:0.582))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold958)
(DELAY
(ABSOLUTE
(IOPATH A X (0.605:0.605:0.605) (0.610:0.610:0.610))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold959)
(DELAY
(ABSOLUTE
(IOPATH A X (0.600:0.600:0.600) (0.591:0.591:0.591))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold960)
(DELAY
(ABSOLUTE
(IOPATH A X (0.545:0.545:0.545) (0.544:0.544:0.544))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold961)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.552:0.553:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold962)
(DELAY
(ABSOLUTE
(IOPATH A X (0.653:0.653:0.653) (0.632:0.632:0.632))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold963)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.542:0.545) (0.537:0.544:0.550))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold964)
(DELAY
(ABSOLUTE
(IOPATH A X (0.637:0.637:0.637) (0.629:0.629:0.629))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold965)
(DELAY
(ABSOLUTE
(IOPATH A X (0.611:0.611:0.611) (0.600:0.600:0.600))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold966)
(DELAY
(ABSOLUTE
(IOPATH A X (0.544:0.544:0.544) (0.550:0.552:0.553))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold967)
(DELAY
(ABSOLUTE
(IOPATH A X (0.627:0.627:0.627) (0.609:0.609:0.609))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold968)
(DELAY
(ABSOLUTE
(IOPATH A X (0.559:0.559:0.559) (0.556:0.556:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold969)
(DELAY
(ABSOLUTE
(IOPATH A X (0.610:0.610:0.610) (0.598:0.598:0.598))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold970)
(DELAY
(ABSOLUTE
(IOPATH A X (0.572:0.572:0.572) (0.571:0.571:0.571))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold971)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.525:0.525) (0.532:0.532:0.532))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold972)
(DELAY
(ABSOLUTE
(IOPATH A X (0.622:0.622:0.622) (0.607:0.607:0.607))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold973)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.544:0.544:0.544))
)
)
)
)