| [ |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|DatRAM", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|TagRAM", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/if2:IF2/tagRAM_0:TagRAM", |
| "index":0.016194331983805668 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_7", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/alu_0:Alu/alu_exe_iwb_fifo:Queue_7", |
| "index":0.19433198380566802 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_8", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/bru:Bru/bru_exe_iwb_fifo:Queue_7", |
| "index":0.20242914979757085 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|IO_Lsu", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/system:IO_Lsu", |
| "index":0.22672064777327935 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|IO_Lsu_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/periph:IO_Lsu", |
| "index":0.23076923076923078 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|DatRAM_1", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|TagRAM_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_0:TagRAM", |
| "index":0.2793522267206478 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_16", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/lu_wb_fifo:Queue_16", |
| "index":0.29554655870445345 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_18", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/su_wb_fifo:Queue_16", |
| "index":0.30364372469635625 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_19", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/fe_wb_fifo:Queue_16", |
| "index":0.3076923076923077 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_20", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/csr:Csr/csr_exe_iwb_fifo:Queue_7", |
| "index":0.3319838056680162 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_22", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/mul_exe_iwb_fifo:Queue_7", |
| "index":0.3441295546558704 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Arbiter_8", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/dividor:Dividor/divRtnArb:Arbiter_8", |
| "index":0.3562753036437247 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Arbiter_9", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/iwbArb:Arbiter_8", |
| "index":0.3643724696356275 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|ReDirect_5", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/cmm_stage:Commit/csrExe_mdl:ReDirect_5", |
| "index":0.4048582995951417 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|ReDirect_6", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/cmm_stage:Commit/fcsrExe_mdl:ReDirect_5", |
| "index":0.4089068825910931 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR", |
| "index":0.41700404858299595 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR", |
| "index":0.42105263157894735 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_2", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR", |
| "index":0.4251012145748988 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_3", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR", |
| "index":0.4291497975708502 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|TLB", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB", |
| "index":0.4331983805668016 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_4", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR", |
| "index":0.43724696356275305 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_5", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR", |
| "index":0.44129554655870445 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_6", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR", |
| "index":0.44534412955465585 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_7", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR", |
| "index":0.4493927125506073 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|TLB_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB", |
| "index":0.4534412955465587 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|PMP", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|PMP_1", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|PMP_2", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|PMP_3", |
| "index":-1.0 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/monitor:TLMonitor/plusarg_reader:plusarg_reader", |
| "index":0.5060728744939271 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/monitor:TLMonitor/plusarg_reader_1:plusarg_reader", |
| "index":0.5101214574898786 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_0:MSHR", |
| "index":0.631578947368421 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_1", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_1:MSHR", |
| "index":0.6356275303643725 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_2", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_2:MSHR", |
| "index":0.6396761133603239 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_3", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_3:MSHR", |
| "index":0.6437246963562753 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_4", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_4:MSHR", |
| "index":0.6477732793522267 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_5", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_5:MSHR", |
| "index":0.6518218623481782 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_6", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_6:MSHR", |
| "index":0.6558704453441295 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_7", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_7:MSHR", |
| "index":0.659919028340081 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_8", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_8:MSHR", |
| "index":0.6639676113360324 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_9", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_9:MSHR", |
| "index":0.6680161943319838 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_10", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_10:MSHR", |
| "index":0.6720647773279352 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_11", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_11:MSHR", |
| "index":0.6761133603238867 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_12", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_12:MSHR", |
| "index":0.680161943319838 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_13", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_13:MSHR", |
| "index":0.6842105263157895 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_14", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_14:MSHR", |
| "index":0.6882591093117408 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_15", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_15:MSHR", |
| "index":0.6923076923076923 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_16", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_16:MSHR", |
| "index":0.6963562753036437 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_17", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_17:MSHR", |
| "index":0.7004048582995951 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_18", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_18:MSHR", |
| "index":0.7044534412955465 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_19", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_19:MSHR", |
| "index":0.708502024291498 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_20", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/bc_mshr:MSHR", |
| "index":0.7125506072874493 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|MSHR_21", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/c_mshr:MSHR", |
| "index":0.7165991902834008 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_2", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/chipLinkMst:ChipLinkMaster/monitor:TLMonitor_1/plusarg_reader:plusarg_reader", |
| "index":0.728744939271255 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_3", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/chipLinkMst:ChipLinkMaster/monitor:TLMonitor_1/plusarg_reader_1:plusarg_reader", |
| "index":0.7327935222672065 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_4", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor:TLMonitor_2/plusarg_reader:plusarg_reader", |
| "index":0.7530364372469636 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_5", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor:TLMonitor_2/plusarg_reader_1:plusarg_reader", |
| "index":0.757085020242915 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_6", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_1:TLMonitor_3/plusarg_reader:plusarg_reader", |
| "index":0.7651821862348178 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_7", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_1:TLMonitor_3/plusarg_reader_1:plusarg_reader", |
| "index":0.7692307692307693 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_8", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_2:TLMonitor_4/plusarg_reader:plusarg_reader", |
| "index":0.7773279352226721 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_9", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_2:TLMonitor_4/plusarg_reader_1:plusarg_reader", |
| "index":0.7813765182186235 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_10", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_3:TLMonitor_5/plusarg_reader:plusarg_reader", |
| "index":0.7894736842105263 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_11", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_3:TLMonitor_5/plusarg_reader_1:plusarg_reader", |
| "index":0.7935222672064778 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_12", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader:plusarg_reader", |
| "index":0.805668016194332 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_13", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader_1:plusarg_reader", |
| "index":0.8097165991902834 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_14", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader:plusarg_reader", |
| "index":0.8178137651821862 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_15", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader_1:plusarg_reader", |
| "index":0.8218623481781376 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_16", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_2:TLMonitor_8/plusarg_reader:plusarg_reader", |
| "index":0.8299595141700404 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_17", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_2:TLMonitor_8/plusarg_reader_1:plusarg_reader", |
| "index":0.8340080971659919 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_18", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/fixer:TLFIFOFixer/monitor:TLMonitor_9/plusarg_reader:plusarg_reader", |
| "index":0.8461538461538461 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_19", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/fixer:TLFIFOFixer/monitor:TLMonitor_9/plusarg_reader_1:plusarg_reader", |
| "index":0.8502024291497976 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_20", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/fragmenter:TLFragmenter/monitor:TLMonitor_10/plusarg_reader:plusarg_reader", |
| "index":0.8623481781376519 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_21", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/fragmenter:TLFragmenter/monitor:TLMonitor_10/plusarg_reader_1:plusarg_reader", |
| "index":0.8663967611336032 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_22", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/monitor:TLMonitor_11/plusarg_reader:plusarg_reader", |
| "index":0.8866396761133604 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_23", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/monitor:TLMonitor_11/plusarg_reader_1:plusarg_reader", |
| "index":0.8906882591093117 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_31", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/q:Queue_31", |
| "index":0.902834008097166 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_32", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/q_1:Queue_31", |
| "index":0.9068825910931174 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_24", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/monitor:TLMonitor_12/plusarg_reader:plusarg_reader", |
| "index":0.9149797570850202 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_25", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/monitor:TLMonitor_12/plusarg_reader_1:plusarg_reader", |
| "index":0.9190283400809717 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_33", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/bundleOut_0_a_q:Queue_33", |
| "index":0.9271255060728745 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_34", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/bundleIn_0_d_q:Queue_34", |
| "index":0.9311740890688259 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_26", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/monitor:TLMonitor_13/plusarg_reader:plusarg_reader", |
| "index":0.9392712550607287 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_27", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/monitor:TLMonitor_13/plusarg_reader_1:plusarg_reader", |
| "index":0.9433198380566802 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_35", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/bundleOut_0_a_q:Queue_33", |
| "index":0.951417004048583 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_36", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/bundleIn_0_d_q:Queue_34", |
| "index":0.9554655870445344 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_28", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/monitor:TLMonitor_14/plusarg_reader:plusarg_reader", |
| "index":0.9757085020242915 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|plusarg_reader_29", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/monitor:TLMonitor_14/plusarg_reader_1:plusarg_reader", |
| "index":0.979757085020243 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_40", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/bundleOut_0_a_q:Queue_33", |
| "index":0.9878542510121457 |
| }, |
| { |
| "class":"firrtl.transforms.DedupedResult", |
| "original":"~Rift2LinkA|Queue_41", |
| "duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/bundleIn_0_d_q:Queue_34", |
| "index":0.9919028340080972 |
| }, |
| { |
| "class":"firrtl.EmitCircuitAnnotation", |
| "emitter":"firrtl.VerilogEmitter" |
| }, |
| { |
| "class":"firrtl.transforms.BlackBoxInlineAnno", |
| "target":"Rift2LinkA.plusarg_reader", |
| "name":"plusarg_reader.v", |
| "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.BankedStore.cc_banks_3", |
| "address_width":2, |
| "name":"cc_banks_3", |
| "data_width":64, |
| "depth":4, |
| "description":"Banked Store", |
| "write_mask_granularity":64 |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.BankedStore.cc_banks_2", |
| "address_width":2, |
| "name":"cc_banks_2", |
| "data_width":64, |
| "depth":4, |
| "description":"Banked Store", |
| "write_mask_granularity":64 |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.BankedStore.cc_banks_1", |
| "address_width":2, |
| "name":"cc_banks_1", |
| "data_width":64, |
| "depth":4, |
| "description":"Banked Store", |
| "write_mask_granularity":64 |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.BankedStore.cc_banks_0", |
| "address_width":2, |
| "name":"cc_banks_0", |
| "data_width":64, |
| "depth":4, |
| "description":"Banked Store", |
| "write_mask_granularity":64 |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.Directory.cc_dir_0", |
| "address_width":2, |
| "name":"cc_dir", |
| "data_width":60, |
| "depth":4, |
| "description":"Directory RAM", |
| "write_mask_granularity":30 |
| }, |
| { |
| "class":"freechips.rocketchip.util.SRAMAnnotation", |
| "target":"Rift2LinkA.Directory.cc_dir_1", |
| "address_width":2, |
| "name":"cc_dir", |
| "data_width":60, |
| "depth":4, |
| "description":"Directory RAM", |
| "write_mask_granularity":30 |
| }, |
| { |
| "class":"firrtl.transforms.NoDedupAnnotation", |
| "target":"~Rift2LinkA|Rift2Core" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_ft_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fs_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg2_fa_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_ft_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fs_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>FReg1_fa_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_zero" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_ra" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_sp" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_gp" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_tp" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_t_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_s_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>XReg_a_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_12" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_13" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_14" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_15" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_16" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_17" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_18" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_19" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_20" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_21" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_22" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_23" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_24" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_25" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_26" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_27" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_28" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_29" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_30" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffXReg_31" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_12" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_13" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_14" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_15" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_16" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_17" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_18" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_19" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_20" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_21" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_22" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_23" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_24" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_25" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_26" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_27" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_28" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_29" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_30" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_diffFReg_31" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_pc_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_comfirm_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_abort_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_priv_lvl" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_is_ecall_M" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_is_ecall_S" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_commit_is_ecall_U" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mstatus" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mtvec" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mscratch" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mepc" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mcause" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mtval" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mvendorid" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_marchid" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mimpid" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhartid" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_misa" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mie" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mip" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_medeleg" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mideleg" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpcfg_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_pmpaddr_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_stvec" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_sscratch" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_sepc" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_scause" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_stval" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_satp" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_fflags" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_frm" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mcycle" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_minstret" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_3" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_4" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_5" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_6" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_7" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_8" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_9" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_10" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_11" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_12" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_13" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_14" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_15" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_16" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_17" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_18" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_19" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_20" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_21" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_22" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_23" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_24" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_25" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_26" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_27" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_28" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_29" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_30" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|diff>io_csr_mhpmcounter_31" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_0_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_0_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_0_2" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_1_0" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_1_1" |
| }, |
| { |
| "class":"firrtl.transforms.DontTouchAnnotation", |
| "target":"~Rift2LinkA|Issue>bufReqNum_1_2" |
| }, |
| { |
| "class":"firrtl.transforms.BlackBoxTargetDirAnno", |
| "targetDir":"generated/TapeMain" |
| }, |
| { |
| "class":"firrtl.transforms.CombinationalPath", |
| "sink":"~Rift2LinkA|Rift2LinkA>io_hspi_clk", |
| "sources":[ |
| "~Rift2LinkA|Rift2LinkA>clock" |
| ] |
| } |
| ] |