blob: fce747353beb8b0234d8840e49b6ac26259b03b9 [file] [log] [blame]
#! /usr/local/bin/vvp
:ivl_version "12.0 (devel)" "(s20150603-1148-gef01dd1e)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision + 0;
:vpi_module "/usr/local/lib/ivl/system.vpi";
:vpi_module "/usr/local/lib/ivl/vhdl_sys.vpi";
:vpi_module "/usr/local/lib/ivl/vhdl_textio.vpi";
:vpi_module "/usr/local/lib/ivl/v2005_math.vpi";
:vpi_module "/usr/local/lib/ivl/va_math.vpi";
S_0x55b6e7df5e90 .scope module, "wb_interface" "wb_interface" 2 14;
.timescale 0 0;
.port_info 0 /INPUT 1 "wb_clk_i";
.port_info 1 /INPUT 1 "wb_rst_i";
.port_info 2 /INPUT 1 "wbs_stb_i";
.port_info 3 /INPUT 1 "wbs_cyc_i";
.port_info 4 /INPUT 1 "wbs_we_i";
.port_info 5 /INPUT 4 "wbs_sel_i";
.port_info 6 /INPUT 32 "wbs_dat_i";
.port_info 7 /INPUT 32 "wbs_adr_i";
.port_info 8 /OUTPUT 1 "clk0";
.port_info 9 /OUTPUT 1 "csb0";
.port_info 10 /OUTPUT 1 "web0";
.port_info 11 /OUTPUT 4 "wmask0";
.port_info 12 /OUTPUT 32 "din0";
.port_info 13 /OUTPUT 10 "addr0";
.port_info 14 /OUTPUT 1 "wbs_ack_o";
o0x7f20bc981318 .functor BUFZ 1, C4<z>; HiZ drive
L_0x55b6e7e1d530 .functor BUFZ 1, o0x7f20bc981318, C4<0>, C4<0>, C4<0>;
o0x7f20bc9813d8 .functor BUFZ 1, C4<z>; HiZ drive
o0x7f20bc981468 .functor BUFZ 1, C4<z>; HiZ drive
L_0x55b6e7e1d780 .functor AND 1, o0x7f20bc9813d8, o0x7f20bc981468, C4<1>, C4<1>;
L_0x55b6e7e1d920 .functor AND 1, L_0x55b6e7e1d780, L_0x55b6e7e1d820, C4<1>, C4<1>;
L_0x55b6e7e1da60 .functor BUFZ 1, o0x7f20bc981318, C4<0>, C4<0>, C4<0>;
L_0x55b6e7e1db50 .functor AND 1, L_0x55b6e7e1d660, L_0x55b6e7e1d780, C4<1>, C4<1>;
o0x7f20bc981438 .functor BUFZ 4, C4<zzzz>; HiZ drive
L_0x55b6e7e1e030 .functor AND 4, o0x7f20bc981438, L_0x55b6e7e1ded0, C4<1111>, C4<1111>;
o0x7f20bc981408 .functor BUFZ 32, C4<zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz>; HiZ drive
L_0x55b6e7e1e0e0 .functor BUFZ 32, o0x7f20bc981408, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x55b6e7e1e270 .functor BUFZ 1, L_0x55b6e7e1d920, C4<0>, C4<0>, C4<0>;
v0x55b6e7df2640_0 .net "L0_ready_a0", 0 0, L_0x55b6e7e1d920; 1 drivers
v0x55b6e7e1bcb0_0 .var "L0_ready_a1", 0 0;
v0x55b6e7e1bd70_0 .net "L0_valid_a0", 0 0, L_0x55b6e7e1d780; 1 drivers
v0x55b6e7e1be10_0 .net "L0_valid_addr_a0", 0 0, L_0x55b6e7e1d660; 1 drivers
v0x55b6e7e1bed0_0 .net *"_ivl_11", 0 0, L_0x55b6e7e1d820; 1 drivers
v0x55b6e7e1bfe0_0 .net *"_ivl_17", 0 0, L_0x55b6e7e1db50; 1 drivers
L_0x7f20bc938060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x55b6e7e1c0a0_0 .net/2u *"_ivl_18", 0 0, L_0x7f20bc938060; 1 drivers
L_0x7f20bc9380a8 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x55b6e7e1c180_0 .net/2u *"_ivl_20", 0 0, L_0x7f20bc9380a8; 1 drivers
v0x55b6e7e1c260_0 .net *"_ivl_26", 3 0, L_0x55b6e7e1ded0; 1 drivers
v0x55b6e7e1c340_0 .net *"_ivl_3", 3 0, L_0x55b6e7e1d5c0; 1 drivers
L_0x7f20bc938018 .functor BUFT 1, C4<0011>, C4<0>, C4<0>, C4<0>;
v0x55b6e7e1c420_0 .net/2u *"_ivl_4", 3 0, L_0x7f20bc938018; 1 drivers
v0x55b6e7e1c500_0 .net "addr0", 9 0, L_0x55b6e7e1e150; 1 drivers
v0x55b6e7e1c5e0_0 .net "clk", 0 0, L_0x55b6e7e1d530; 1 drivers
v0x55b6e7e1c6a0_0 .net "clk0", 0 0, L_0x55b6e7e1da60; 1 drivers
v0x55b6e7e1c760_0 .net "csb0", 0 0, L_0x55b6e7e1dc40; 1 drivers
v0x55b6e7e1c820_0 .net "din0", 31 0, L_0x55b6e7e1e0e0; 1 drivers
v0x55b6e7e1c900_0 .net "wb_clk_i", 0 0, o0x7f20bc981318; 0 drivers
o0x7f20bc981348 .functor BUFZ 1, C4<z>; HiZ drive
v0x55b6e7e1cad0_0 .net "wb_rst_i", 0 0, o0x7f20bc981348; 0 drivers
v0x55b6e7e1cb90_0 .net "wbs_ack_o", 0 0, L_0x55b6e7e1e270; 1 drivers
o0x7f20bc9813a8 .functor BUFZ 32, C4<zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz>; HiZ drive
v0x55b6e7e1cc50_0 .net "wbs_adr_i", 31 0, o0x7f20bc9813a8; 0 drivers
v0x55b6e7e1cd30_0 .net "wbs_cyc_i", 0 0, o0x7f20bc9813d8; 0 drivers
v0x55b6e7e1cdf0_0 .net "wbs_dat_i", 31 0, o0x7f20bc981408; 0 drivers
v0x55b6e7e1ced0_0 .net "wbs_sel_i", 3 0, o0x7f20bc981438; 0 drivers
v0x55b6e7e1cfb0_0 .net "wbs_stb_i", 0 0, o0x7f20bc981468; 0 drivers
o0x7f20bc981498 .functor BUFZ 1, C4<z>; HiZ drive
v0x55b6e7e1d070_0 .net "wbs_we_i", 0 0, o0x7f20bc981498; 0 drivers
v0x55b6e7e1d130_0 .net "web0", 0 0, L_0x55b6e7e1de30; 1 drivers
v0x55b6e7e1d1f0_0 .net "wmask0", 3 0, L_0x55b6e7e1e030; 1 drivers
E_0x55b6e7e05400 .event posedge, v0x55b6e7e1c5e0_0;
L_0x55b6e7e1d5c0 .part o0x7f20bc9813a8, 28, 4;
L_0x55b6e7e1d660 .cmp/eq 4, L_0x55b6e7e1d5c0, L_0x7f20bc938018;
L_0x55b6e7e1d820 .reduce/nor v0x55b6e7e1bcb0_0;
L_0x55b6e7e1dc40 .functor MUXZ 1, L_0x7f20bc9380a8, L_0x7f20bc938060, L_0x55b6e7e1db50, C4<>;
L_0x55b6e7e1de30 .reduce/nor o0x7f20bc981498;
L_0x55b6e7e1ded0 .concat [ 1 1 1 1], o0x7f20bc981498, o0x7f20bc981498, o0x7f20bc981498, o0x7f20bc981498;
L_0x55b6e7e1e150 .part o0x7f20bc9813a8, 0, 10;
.scope S_0x55b6e7df5e90;
T_0 ;
%wait E_0x55b6e7e05400;
%load/vec4 v0x55b6e7df2640_0;
%assign/vec4 v0x55b6e7e1bcb0_0, 0;
%jmp T_0;
.thread T_0;
# The file index is used to find the file name in the following table.
:file_names 3;
"N/A";
"<interactive>";
"wb_interface.v";