blob: cf15760685cb7f8cc6c865c268800e8830563d7c [file] [log] [blame]
module Adder
#(parameter SIZE=64)
(
input wire clk,
input wire[SIZE-1:0] a,
input wire[SIZE-1:0] b,
output reg[SIZE-1:0] out
);
always @(posedge clk) begin
out <= a + b;
end
endmodule