blob: f6fade480acbd3c68225d6b99130fdea794a1b31 [file] [log] [blame]
#! /usr/bin/vvp
:ivl_version "10.3 (stable)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x560b8c55a2d0 .scope module, "tb_dff" "tb_dff" 2 3;
.timescale -9 -9;
v0x560b8c56e730_0 .var "clk", 0 0;
v0x560b8c56e7f0_0 .var "d", 0 0;
v0x560b8c56e8c0_0 .var "delay", 2 0;
v0x560b8c56e990_0 .var "i", 0 0;
v0x560b8c56ea30_0 .net "q", 0 0, v0x560b8c56e420_0; 1 drivers
v0x560b8c56eb20_0 .net "qn", 0 0, L_0x560b8c56ecc0; 1 drivers
v0x560b8c56ebf0_0 .var "rst", 0 0;
S_0x560b8c55a450 .scope module, "dff0" "dff" 2 10, 3 1 0, S_0x560b8c55a2d0;
.timescale 0 0;
.port_info 0 /INPUT 1 "d"
.port_info 1 /INPUT 1 "rst"
.port_info 2 /INPUT 1 "clk"
.port_info 3 /OUTPUT 1 "q"
.port_info 4 /OUTPUT 1 "qn"
L_0x560b8c56ecc0 .functor NOT 1, v0x560b8c56e420_0, C4<0>, C4<0>, C4<0>;
v0x560b8c5240b0_0 .net "clk", 0 0, v0x560b8c56e730_0; 1 drivers
v0x560b8c56e360_0 .net "d", 0 0, v0x560b8c56e7f0_0; 1 drivers
v0x560b8c56e420_0 .var "q", 0 0;
v0x560b8c56e4c0_0 .net "qn", 0 0, L_0x560b8c56ecc0; alias, 1 drivers
v0x560b8c56e580_0 .net "rst", 0 0, v0x560b8c56ebf0_0; 1 drivers
E_0x560b8c55aaa0 .event posedge, v0x560b8c56e580_0, v0x560b8c5240b0_0;
.scope S_0x560b8c55a450;
T_0 ;
%wait E_0x560b8c55aaa0;
%load/vec4 v0x560b8c56e580_0;
%flag_set/vec4 8;
%jmp/0xz T_0.0, 8;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x560b8c56e420_0, 0;
%jmp T_0.1;
T_0.0 ;
%load/vec4 v0x560b8c56e360_0;
%assign/vec4 v0x560b8c56e420_0, 0;
T_0.1 ;
%jmp T_0;
.thread T_0;
.scope S_0x560b8c55a2d0;
T_1 ;
%delay 10, 0;
%load/vec4 v0x560b8c56e730_0;
%inv;
%store/vec4 v0x560b8c56e730_0, 0, 1;
%jmp T_1;
.thread T_1;
.scope S_0x560b8c55a2d0;
T_2 ;
%delay 15, 0;
%load/vec4 v0x560b8c56ebf0_0;
%inv;
%store/vec4 v0x560b8c56ebf0_0, 0, 1;
%jmp T_2;
.thread T_2;
.scope S_0x560b8c55a2d0;
T_3 ;
%vpi_call 2 22 "$dumpfile", "tb_dff.vcd" {0 0 0};
%vpi_call 2 23 "$dumpvars", 32'sb00000000000000000000000000000000, S_0x560b8c55a2d0 {0 0 0};
%vpi_call 2 25 "$monitor", "Time = %0t clk = %0d sig = %0d", $time, v0x560b8c56e730_0, v0x560b8c56ea30_0 {0 0 0};
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x560b8c56e730_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x560b8c56e7f0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x560b8c56ebf0_0, 0;
%delay 15, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x560b8c56e7f0_0, 0;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x560b8c56e990_0, 0, 1;
T_3.0 ;
%load/vec4 v0x560b8c56e990_0;
%pad/u 32;
%cmpi/u 5, 0, 32;
%jmp/0xz T_3.1, 5;
%vpi_func 2 33 "$random" 32 {0 0 0};
%pad/s 3;
%store/vec4 v0x560b8c56e8c0_0, 0, 3;
%load/vec4 v0x560b8c56e8c0_0;
%pad/u 64;
%muli 1, 0, 64;
%ix/vec4 4;
%delayx 4;
%load/vec4 v0x560b8c56e990_0;
%assign/vec4 v0x560b8c56e7f0_0, 0;
%load/vec4 v0x560b8c56e990_0;
%pad/u 2;
%addi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x560b8c56e990_0, 0, 1;
%jmp T_3.0;
T_3.1 ;
%end;
.thread T_3;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"tb_dff.v";
"dff.v";