blob: 532c872d3e4d7260403e44242f61c8f1712ee484 [file] [log] [blame]
#! /usr/bin/vvp
:ivl_version "10.3 (stable)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x55a79e658120 .scope module, "tb_count_monitor" "tb_count_monitor" 2 3;
.timescale -9 -9;
v0x55a79e6a3350_0 .var "clk", 0 0;
v0x55a79e6a3410_0 .net "comp_en", 0 0, v0x55a79e6a2500_0; 1 drivers
v0x55a79e6a34b0_0 .net "d1", 0 0, v0x55a79e6a25c0_0; 1 drivers
v0x55a79e6a3550_0 .net "d1b", 0 0, L_0x55a79e6a3f80; 1 drivers
v0x55a79e6a35f0_0 .net "d2", 0 0, v0x55a79e6a2720_0; 1 drivers
v0x55a79e6a3690_0 .net "d2b", 0 0, L_0x55a79e6a4040; 1 drivers
v0x55a79e6a3760_0 .net "d3", 0 0, v0x55a79e6a28f0_0; 1 drivers
v0x55a79e6a3830_0 .net "d3b", 0 0, L_0x55a79e6a4150; 1 drivers
v0x55a79e6a3900_0 .net "d4", 0 0, v0x55a79e6a2a70_0; 1 drivers
v0x55a79e6a39d0_0 .net "d4b", 0 0, L_0x55a79e6a4260; 1 drivers
v0x55a79e6a3aa0_0 .net "d5", 0 0, v0x55a79e6a2bf0_0; 1 drivers
v0x55a79e6a3b70_0 .net "d5b", 0 0, L_0x55a79e6a4370; 1 drivers
v0x55a79e6a3c40_0 .net "d6", 0 0, v0x55a79e6a2d70_0; 1 drivers
o0x7f0b2a266288 .functor BUFZ 1, C4<z>; HiZ drive
v0x55a79e6a3d10_0 .net "d6b", 0 0, o0x7f0b2a266288; 0 drivers
v0x55a79e6a3de0_0 .net "rs", 0 0, v0x55a79e6a2ef0_0; 1 drivers
v0x55a79e6a3eb0_0 .net "sample", 0 0, v0x55a79e6a2fb0_0; 1 drivers
S_0x55a79e6582a0 .scope module, "ccc" "count_monitor" 2 8, 3 2 0, S_0x55a79e658120;
.timescale -9 -9;
.port_info 0 /INPUT 1 "clk"
.port_info 1 /OUTPUT 1 "comp_en"
.port_info 2 /OUTPUT 1 "sample"
.port_info 3 /OUTPUT 1 "d1"
.port_info 4 /OUTPUT 1 "d1b"
.port_info 5 /OUTPUT 1 "d2"
.port_info 6 /OUTPUT 1 "d2b"
.port_info 7 /OUTPUT 1 "d3"
.port_info 8 /OUTPUT 1 "d3b"
.port_info 9 /OUTPUT 1 "d4"
.port_info 10 /OUTPUT 1 "d4b"
.port_info 11 /OUTPUT 1 "d5"
.port_info 12 /OUTPUT 1 "d5b"
.port_info 13 /OUTPUT 1 "d6"
.port_info 14 /OUTPUT 1 "d6b"
.port_info 15 /OUTPUT 1 "rs"
L_0x55a79e6a3f80 .functor NOT 1, v0x55a79e6a25c0_0, C4<0>, C4<0>, C4<0>;
L_0x55a79e6a4040 .functor NOT 1, v0x55a79e6a2720_0, C4<0>, C4<0>, C4<0>;
L_0x55a79e6a4150 .functor NOT 1, v0x55a79e6a28f0_0, C4<0>, C4<0>, C4<0>;
L_0x55a79e6a4260 .functor NOT 1, v0x55a79e6a2a70_0, C4<0>, C4<0>, C4<0>;
L_0x55a79e6a4370 .functor NOT 1, v0x55a79e6a2bf0_0, C4<0>, C4<0>, C4<0>;
v0x55a79e67ff00_0 .net "clk", 0 0, v0x55a79e6a3350_0; 1 drivers
v0x55a79e6a2500_0 .var "comp_en", 0 0;
v0x55a79e6a25c0_0 .var "d1", 0 0;
v0x55a79e6a2660_0 .net "d1b", 0 0, L_0x55a79e6a3f80; alias, 1 drivers
v0x55a79e6a2720_0 .var "d2", 0 0;
v0x55a79e6a2830_0 .net "d2b", 0 0, L_0x55a79e6a4040; alias, 1 drivers
v0x55a79e6a28f0_0 .var "d3", 0 0;
v0x55a79e6a29b0_0 .net "d3b", 0 0, L_0x55a79e6a4150; alias, 1 drivers
v0x55a79e6a2a70_0 .var "d4", 0 0;
v0x55a79e6a2b30_0 .net "d4b", 0 0, L_0x55a79e6a4260; alias, 1 drivers
v0x55a79e6a2bf0_0 .var "d5", 0 0;
v0x55a79e6a2cb0_0 .net "d5b", 0 0, L_0x55a79e6a4370; alias, 1 drivers
v0x55a79e6a2d70_0 .var "d6", 0 0;
v0x55a79e6a2e30_0 .net "d6b", 0 0, o0x7f0b2a266288; alias, 0 drivers
v0x55a79e6a2ef0_0 .var "rs", 0 0;
v0x55a79e6a2fb0_0 .var "sample", 0 0;
v0x55a79e6a3070_0 .var "sar_counter", 4 0;
E_0x55a79e682060 .event negedge, v0x55a79e67ff00_0;
E_0x55a79e682260 .event posedge, v0x55a79e67ff00_0;
.scope S_0x55a79e6582a0;
T_0 ;
%pushi/vec4 8, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2fb0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a25c0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2720_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a28f0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2a70_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2bf0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2d70_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2ef0_0, 0;
%end;
.thread T_0;
.scope S_0x55a79e6582a0;
T_1 ;
%wait E_0x55a79e682260;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 8, 0, 32;
%jmp/0xz T_1.0, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2fb0_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.1;
T_1.0 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 7, 0, 32;
%jmp/0xz T_1.2, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2500_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2d70_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.3;
T_1.2 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 6, 0, 32;
%jmp/0xz T_1.4, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2bf0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2d70_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.5;
T_1.4 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 5, 0, 32;
%jmp/0xz T_1.6, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2a70_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2bf0_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.7;
T_1.6 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 4, 0, 32;
%jmp/0xz T_1.8, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a28f0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2a70_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.9;
T_1.8 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 3, 0, 32;
%jmp/0xz T_1.10, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2720_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a28f0_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.11;
T_1.10 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 2, 0, 32;
%jmp/0xz T_1.12, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a25c0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2720_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.13;
T_1.12 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 1, 0, 32;
%jmp/0xz T_1.14, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a25c0_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2500_0, 0;
%load/vec4 v0x55a79e6a3070_0;
%subi 1, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
%jmp T_1.15;
T_1.14 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 0, 0, 32;
%jmp/0xz T_1.16, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2fb0_0, 0;
%pushi/vec4 8, 0, 5;
%store/vec4 v0x55a79e6a3070_0, 0, 5;
T_1.16 ;
T_1.15 ;
T_1.13 ;
T_1.11 ;
T_1.9 ;
T_1.7 ;
T_1.5 ;
T_1.3 ;
T_1.1 ;
%jmp T_1;
.thread T_1;
.scope S_0x55a79e6582a0;
T_2 ;
%wait E_0x55a79e682060;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 0, 0, 32;
%jmp/0xz T_2.0, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2ef0_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x55a79e6a2fb0_0, 0;
%jmp T_2.1;
T_2.0 ;
%load/vec4 v0x55a79e6a3070_0;
%pad/u 32;
%cmpi/e 8, 0, 32;
%jmp/0xz T_2.2, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a2ef0_0, 0;
T_2.2 ;
T_2.1 ;
%jmp T_2;
.thread T_2;
.scope S_0x55a79e658120;
T_3 ;
%delay 10, 0;
%load/vec4 v0x55a79e6a3350_0;
%inv;
%store/vec4 v0x55a79e6a3350_0, 0, 1;
%jmp T_3;
.thread T_3;
.scope S_0x55a79e658120;
T_4 ;
%vpi_call 2 30 "$dumpfile", "tb_count_monitor.vcd" {0 0 0};
%vpi_call 2 31 "$dumpvars", 32'sb00000000000000000000000000000000, S_0x55a79e658120 {0 0 0};
%vpi_call 2 33 "$monitor", "Time = %0t clk = %0d sig = %0d", $time, v0x55a79e6a3350_0, v0x55a79e6a3eb0_0 {0 0 0};
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x55a79e6a3350_0, 0;
%end;
.thread T_4;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"tb_counter_monitor.v";
"count_monitor.v";