blob: 159f16ec777c6b50716be4261ab3888f9d5e6b04 [file] [log] [blame]
// dff
module dff ( input wire d,
input wire rst,
input wire clk,
output reg q,
output wire qn);
initial begin
q <= 0;
end
always @ (posedge clk or posedge rst)
if (rst)
q <= 0;
else
q <= d;
assign qn = ~q;
endmodule