blob: 03df3fb1ffd01a1a6c6a43c936d88806facbdd4b [file] [log] [blame]
#! /usr/bin/vvp
:ivl_version "10.3 (stable)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 12;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x557b47f79c10 .scope module, "tb_Prpg10" "tb_Prpg10" 2 3;
.timescale -9 -12;
P_0x557b47f79d90 .param/l "CYC" 0 2 8, +C4<00000000000000000000000001100100>;
v0x557b47f91fb0_0 .var "Clk", 0 0;
v0x557b47f92070_0 .net "PrpgOut", 9 0, L_0x557b47f41550; 1 drivers
v0x557b47f92140_0 .var "PrpgSeed", 9 0;
v0x557b47f92240_0 .var "PrpgStart", 0 0;
v0x557b47f92310_0 .var "PrpgUpld", 0 0;
v0x557b47f923b0_0 .var "ResetB", 0 0;
S_0x557b47f41050 .scope module, "dut" "Prpg10" 2 11, 3 3 0, S_0x557b47f79c10;
.timescale -9 -12;
.port_info 0 /INPUT 1 "Clk"
.port_info 1 /INPUT 1 "ResetB"
.port_info 2 /INPUT 1 "PrpgUpld"
.port_info 3 /INPUT 1 "PrpgStart"
.port_info 4 /INPUT 10 "PrpgSeed"
.port_info 5 /OUTPUT 10 "PrpgOut"
P_0x557b47f41220 .param/l "Prpg10_UD" 0 3 5, +C4<00000000000000000000000000000001>;
L_0x557b47f7aa40 .functor XOR 1, L_0x557b47f92580, L_0x557b47f926a0, C4<0>, C4<0>;
L_0x557b47f41550 .functor AND 10, v0x557b47f912d0_0, L_0x557b47f92bf0, C4<1111111111>, C4<1111111111>;
v0x557b47f41390_0 .net "Clk", 0 0, v0x557b47f91fb0_0; 1 drivers
v0x557b47f911f0_0 .net "PrpgOut", 9 0, L_0x557b47f41550; alias, 1 drivers
v0x557b47f912d0_0 .var "PrpgReg", 9 0;
v0x557b47f913c0_0 .net "PrpgRegIn", 9 0, L_0x557b47f92a70; 1 drivers
v0x557b47f914a0_0 .net "PrpgSeed", 9 0, v0x557b47f92140_0; 1 drivers
v0x557b47f915d0_0 .net "PrpgStart", 0 0, v0x557b47f92240_0; 1 drivers
v0x557b47f91690_0 .var "PrpgStartReg", 0 0;
v0x557b47f91750_0 .net "PrpgUpld", 0 0, v0x557b47f92310_0; 1 drivers
v0x557b47f91810_0 .net "ResetB", 0 0, v0x557b47f923b0_0; 1 drivers
v0x557b47f918d0_0 .net *"_s1", 8 0, L_0x557b47f92480; 1 drivers
v0x557b47f919b0_0 .net *"_s10", 9 0, L_0x557b47f92980; 1 drivers
v0x557b47f91a90_0 .net *"_s14", 9 0, L_0x557b47f92bf0; 1 drivers
v0x557b47f91b70_0 .net *"_s3", 0 0, L_0x557b47f92580; 1 drivers
v0x557b47f91c50_0 .net *"_s5", 0 0, L_0x557b47f926a0; 1 drivers
v0x557b47f91d30_0 .net *"_s6", 0 0, L_0x557b47f7aa40; 1 drivers
v0x557b47f91e10_0 .net *"_s8", 9 0, L_0x557b47f92810; 1 drivers
E_0x557b47f419c0/0 .event negedge, v0x557b47f91810_0;
E_0x557b47f419c0/1 .event posedge, v0x557b47f41390_0;
E_0x557b47f419c0 .event/or E_0x557b47f419c0/0, E_0x557b47f419c0/1;
L_0x557b47f92480 .part v0x557b47f912d0_0, 0, 9;
L_0x557b47f92580 .part v0x557b47f912d0_0, 9, 1;
L_0x557b47f926a0 .part v0x557b47f912d0_0, 2, 1;
L_0x557b47f92810 .concat [ 1 9 0 0], L_0x557b47f7aa40, L_0x557b47f92480;
L_0x557b47f92980 .functor MUXZ 10, v0x557b47f912d0_0, v0x557b47f92140_0, v0x557b47f92310_0, C4<>;
L_0x557b47f92a70 .functor MUXZ 10, L_0x557b47f92980, L_0x557b47f92810, v0x557b47f91690_0, C4<>;
LS_0x557b47f92bf0_0_0 .concat [ 1 1 1 1], v0x557b47f91690_0, v0x557b47f91690_0, v0x557b47f91690_0, v0x557b47f91690_0;
LS_0x557b47f92bf0_0_4 .concat [ 1 1 1 1], v0x557b47f91690_0, v0x557b47f91690_0, v0x557b47f91690_0, v0x557b47f91690_0;
LS_0x557b47f92bf0_0_8 .concat [ 1 1 0 0], v0x557b47f91690_0, v0x557b47f91690_0;
L_0x557b47f92bf0 .concat [ 4 4 2 0], LS_0x557b47f92bf0_0_0, LS_0x557b47f92bf0_0_4, LS_0x557b47f92bf0_0_8;
.scope S_0x557b47f41050;
T_0 ;
%wait E_0x557b47f419c0;
%load/vec4 v0x557b47f91810_0;
%cmpi/e 0, 0, 1;
%jmp/0xz T_0.0, 4;
%pushi/vec4 0, 0, 10;
%assign/vec4 v0x557b47f912d0_0, 1000;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x557b47f91690_0, 1000;
%jmp T_0.1;
T_0.0 ;
%load/vec4 v0x557b47f913c0_0;
%assign/vec4 v0x557b47f912d0_0, 1000;
%load/vec4 v0x557b47f915d0_0;
%assign/vec4 v0x557b47f91690_0, 1000;
T_0.1 ;
%jmp T_0;
.thread T_0;
.scope S_0x557b47f79c10;
T_1 ;
%delay 50000, 0;
%load/vec4 v0x557b47f91fb0_0;
%inv;
%store/vec4 v0x557b47f91fb0_0, 0, 1;
%jmp T_1;
.thread T_1;
.scope S_0x557b47f79c10;
T_2 ;
%vpi_call 2 21 "$dumpfile", "tb_Prpg10.vcd" {0 0 0};
%vpi_call 2 22 "$dumpvars", 32'sb00000000000000000000000000000000, S_0x557b47f79c10 {0 0 0};
%delay 0, 0;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f91fb0_0, 0, 1;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x557b47f923b0_0, 0, 1;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f92310_0, 0, 1;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f92240_0, 0, 1;
%pushi/vec4 0, 0, 10;
%store/vec4 v0x557b47f92140_0, 0, 10;
%delay 1000000, 0;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f923b0_0, 0, 1;
%delay 1000000, 0;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x557b47f923b0_0, 0, 1;
%delay 1000000, 0;
%pushi/vec4 1, 0, 10;
%store/vec4 v0x557b47f92140_0, 0, 10;
%delay 1000000, 0;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x557b47f92310_0, 0, 1;
%delay 100000, 0;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f92310_0, 0, 1;
%delay 1000000, 0;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x557b47f92240_0, 0, 1;
%delay 102400000, 0;
%pushi/vec4 0, 0, 1;
%store/vec4 v0x557b47f92240_0, 0, 1;
%delay 1000000, 0;
%vpi_call 2 39 "$finish" {0 0 0};
%end;
.thread T_2;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"tb_sample.v";
"sample.v";