blob: bbbf034ea7ddda8db0a9505af6a573e74ae010fd [file] [log] [blame]
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_MS,flow_failed,0h0m18s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ms,4,4
1,inverter,inverter,config_TEST_SW_MS,flow_completed,0h3m34s,0h3m9s,1089.3246187363834,0.001836,544.6623093681917,5,380.31,1,0,0,0,0,0,0,0,0,0,-1,-1,75,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,0.97,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_ms,4,4
2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_MS,flow_completed,0h4m20s,0h3m23s,56.009230321156934,0.102024,19.603230612404925,1,419.59,2,0,0,0,0,0,0,0,1,0,-1,-1,20071,494,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_ms,0,0
3,zipdiv,zipdiv,config_ms_first_rerun,flow_completed,0h5m50s,0h5m16s,63539.12951392566,0.048563999999999996,22238.69532987398,45,532.21,1080,0,0,0,0,0,0,0,0,0,-1,-1,49792,10082,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36935915,0.0,33.18,29.54,1.45,0.37,1.12,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.38344683080147,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4,4
4,xtea,xtea,config_ms_first_rerun,flow_completed,0h7m11s,0h5m47s,70333.42932188454,0.098226,24616.700262659586,46,555.43,2418,0,0,0,0,0,0,0,0,0,-1,-1,148457,23757,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116170275,0.0,46.95,43.85,2.94,0.8,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ms,4,4
5,s44,lut_s44,config_TEST_SW_MS,flow_completed,0h7m38s,0h6m55s,44683.98747005712,0.043416,2234.1993735028564,7,415.57,97,0,0,0,0,0,0,0,0,0,-1,-1,6635,1047,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.92,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4
6,usb,usb,config_TEST_SW_MS,flow_completed,0h10m42s,0h9m20s,50657.703839829774,0.041356,20263.08153593191,46,474.92,838,0,0,0,0,0,0,0,0,0,-1,-1,31480,7252,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19648073,0.0,21.43,18.15,0.0,0.0,0.0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ms,4,4
7,usbf_device,usbf_device,config_ms_first_rerun,flow_completed,0h32m4s,0h21m30s,63137.71013794133,0.609897,25255.084055176532,48,864.05,15403,0,0,0,0,0,0,0,4,0,-1,-1,942002,146576,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,655577528,0.0,39.61,36.82,2.71,0.99,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ms,4,4
8,picorv32a,picorv32a,config_ms_first_rerun,flow_completed,0h29m7s,0h17m3s,71042.05500892697,0.678096,21312.616502678087,41,865.31,14452,0,0,0,0,0,0,0,13,0,-1,-1,1059842,144473,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,837264100,0.0,46.02,40.22,8.81,1.47,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,482,7288,0,7770,40.43671653861706,24.73,24.73,AREA 0,6,30,1,153.6,153.18,0.35,0.1,sky130_fd_sc_ms,4,4
9,cic_decimator,cic_decimator,config_TEST_SW_MS,flow_completed,0h11m16s,0h9m43s,62959.818902093946,0.031806,25183.92756083758,49,492.05,801,0,0,0,0,0,0,0,0,0,-1,-1,31216,7115,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20078090,0.0,27.47,23.58,0.62,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_ms,4,4
10,spm,spm,config_TEST_SW_MS,flow_completed,0h11m26s,0h10m46s,42597.40259740259,0.01375,20872.727272727272,54,474.69,287,0,0,0,0,0,0,0,0,0,-1,-1,8827,2179,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5805510,0.0,17.17,16.28,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ms,4,4
11,usb_cdc_core,usb_cdc_core,config_TEST_SW_MS,flow_completed,0h23m39s,0h18m14s,63689.781770637186,0.072574,28660.401796786733,52,539.7,2080,0,0,0,0,0,0,0,1,0,-1,-1,79946,16384,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52392919,0.0,31.18,25.45,0.36,0.03,0.0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4
12,wbqspiflash,wbqspiflash,config_TEST_SW_MS,flow_completed,0h26m2s,0h17m1s,69700.39477779416,0.12209400000000001,20910.118433338248,41,562.64,2553,0,0,0,0,0,0,0,0,0,-1,-1,148278,25066,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,106595018,0.0,34.15,27.83,0.99,0.0,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.022269353128316,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0.0,sky130_fd_sc_ms,4,4
13,APU,APU,config_TEST_SW_MS,flow_completed,0h28m2s,0h17m23s,64099.112346379596,0.137956,22434.689321232858,45,589.04,3095,0,0,0,0,0,0,0,0,0,-1,-1,148444,29191,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,95159893,0.0,30.15,25.07,0.39,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4
14,synth_ram,synth_ram,config_TEST_SW_MS,flow_completed,0h56m27s,0h28m28s,51091.51479521851,0.2835,22991.18165784833,56,675.84,6518,0,0,0,0,0,0,0,3,0,-1,-1,461671,70347,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250011549,0.0,46.6,35.65,1.75,0.47,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ms,4,4
15,ocs_blitter,ocs_blitter,config_TEST_SW_MS,flow_completed,1h10m5s,0h38m25s,65731.12250266835,0.304096,23005.89287593392,50,696.52,6996,0,0,0,0,0,0,0,10,0,-1,-1,461217,74948,-25.46,-25.46,-0.95,-3.35,-0.62,-4017.66,-4017.66,-1.49,-1.49,-0.83,275586619,0.0,41.77,34.98,1.94,0.18,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,53.13496280552604,18.82,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4
16,md5,md5,config_TEST_SW_MS,flow_completed,1h13m59s,0h47m46s,60813.11632940067,0.268786,21284.590715290233,48,679.65,5721,0,0,0,0,0,0,0,2,0,-1,-1,422229,60549,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297801918,0.0,42.27,33.77,4.36,2.41,1.04,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ms,4,4
17,PPU,PPU,config_TEST_SW_MS,flow_completed,1h50m33s,0h41m44s,53353.710461596456,0.880726,10670.742092319291,29,781.84,9398,0,0,0,0,0,0,0,15,0,-1,-1,757554,105130,-14.41,-14.41,0.0,0.0,0.0,-3097.04,-3097.04,0.0,0.0,0.0,490897042,0.0,27.13,20.61,0.27,0.0,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ms,4,4
18,151,riscv_top,config_ms_first_rerun,flow_completed,5h55m7s,1h58m5s,51306.30314103015,7.1155,10261.26062820603,27,3516.29,73014,0,0,0,0,0,0,0,104,0,-1,-1,6946346,745081,-218.52,-218.52,0.0,-4.36,0.0,-3584012.0,-3584012.0,0.0,0.0,0.0,5144219293,0.0,26.64,22.33,2.16,0.25,0.0,72817,73152,23324,23659,0,0,0,73014,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79692,0,81282,20.0,50.0,50.0,DELAY 1,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4
19,BM64,BM64,config_TEST_SW_MS,flow_completed,2h12m29s,0h58m46s,66654.02009860548,0.706384,11997.723617748987,28,772.67,8475,0,0,0,0,0,0,0,15,0,-1,-1,1118414,99877,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,992708780,0.0,39.57,38.65,3.38,0.64,0.0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_ms,4,4
20,genericfir,genericfir,config_TEST_SW_MS,flow_completed,2h15m51s,1h41m26s,49309.74167940392,0.42700600000000005,22189.383755731767,50,716.95,9475,0,0,0,0,0,0,0,1,0,-1,-1,404944,86906,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,253238877,0.0,30.39,25.74,0.17,0.04,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ms,4,4
21,y_huff,y_huff,config_TEST_SW_MS,flow_completed,2h42m30s,1h35m37s,60858.34695106217,0.58135,18257.50408531865,41,812.84,10614,0,0,0,0,0,0,0,15,0,-1,-1,1102653,119310,-3.05,-3.05,-0.26,-2.15,0.0,-4110.01,-4110.01,-4.11,-4.11,0.0,821915534,0.0,56.47,53.66,9.92,3.26,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_ms,4,4
22,des,des,config_TEST_SW_MS,flow_completed,2h50m6s,1h53m20s,73760.61336606245,0.618526,25816.214678121854,41,847.07,15968,0,0,0,0,0,0,0,16,0,-1,-1,775009,134488,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,625707695,0.0,38.63,32.8,4.37,0.57,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4,4
23,chacha,chacha,config_TEST_SW_MS,flow_completed,3h32m32s,1h58m52s,73441.85612208147,0.899596,18360.46403052037,37,960.43,16517,0,0,0,0,0,0,0,12,0,-1,-1,1593367,177597,-16.31,-16.31,0.0,-1.93,0.0,-5744.67,-5744.67,0.0,0.0,0.0,1301387439,0.0,48.09,43.66,15.45,7.03,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.446751249519416,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4
24,sha3,sha3,config_TEST_SW_MS,flow_completed,3h45m37s,2h48m38s,65164.22261072658,0.628785,22807.4779137543,46,879.33,14341,0,0,0,0,0,0,0,6,0,-1,-1,996805,147774,-3.81,-3.81,-0.83,-2.29,-1.05,-2173.91,-2173.91,-6.41,-6.41,-7.99,630411467,0.0,45.72,40.28,9.18,3.53,0.0,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,72.67441860465115,13.760000000000002,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ms,4,4
25,ldpcenc,ldpcenc,config_TEST_SW_MS,flow_completed,3h48m18s,1h59m23s,65395.085241789384,1.07635,16348.771310447346,39,1018.78,17597,0,0,0,0,0,0,0,37,0,-1,-1,1823259,206440,-43.49,-43.49,0.0,-1.34,0.0,-31647.8,-31647.8,0.0,0.0,0.0,1479133895,0.0,41.56,38.49,8.0,1.48,0.0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4
26,blabla,blabla,config_TEST_SW_MS,flow_completed,4h2m49s,1h29m48s,77823.52137431077,1.268946,10117.0577786604,23,950.33,12838,0,0,0,0,0,0,0,25,0,-1,-1,2386137,154714,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2190273557,0.0,49.17,50.56,14.26,4.56,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ms,4,4
27,aes_cipher,aes_cipher,config_TEST_SW_MS,flow_completed,4h7m23s,3h3m21s,87607.93116348673,0.66825,21901.982790871683,37,923.2,14636,0,0,0,0,0,0,0,7,0,-1,-1,1283599,167888,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1051940191,0.0,47.16,42.81,8.83,3.0,0.0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4
28,salsa20,salsa20,config_TEST_SW_MS,flow_completed,4h32m49s,2h43m1s,66309.70544950872,1.478592,13261.941089901746,29,1087.98,19609,0,0,0,0,0,0,0,41,0,-1,-1,2226775,218972,-2.89,-2.89,0.0,0.0,0.0,-121.11,-121.11,0.0,0.0,0.0,1893451890,0.0,38.72,32.56,7.38,2.29,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4
29,aes,aes,config_TEST_SW_MS,flow_completed,4h42m9s,2h56m26s,63387.451214559114,1.487124,12677.490242911823,30,1112.72,18853,0,0,0,0,0,0,0,14,0,-1,-1,1795038,223448,-4.22,-4.22,-1.13,-5.37,0.0,-11535.69,-11535.69,-49.18,-49.18,0.0,1313885597,0.0,33.33,27.31,1.82,0.23,0.05,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4
30,sha512,sha512,config_TEST_SW_MS,flow_completed,4h45m34s,2h17m47s,63368.029139714235,1.511614,15842.007284928559,37,1223.31,23947,0,0,0,0,0,0,0,21,0,-1,-1,2365626,284200,-62.23,-62.23,0.0,0.0,0.0,-70592.38,-70592.38,0.0,0.0,0.0,1734123324,0.0,42.52,44.03,3.67,7.71,0.0,23922,23991,5703,5772,0,0,0,23947,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4
31,aes_core,aes_core,config_TEST_SW_MS,flow_completed,4h52m1s,3h26m32s,66708.18980310406,1.101394,16677.047450776015,38,1085.06,18368,0,0,0,0,0,0,0,28,0,-1,-1,2043650,231553,-3.26,-3.26,0.0,-1.36,0.0,-7218.86,-7218.86,0.0,0.0,0.0,1614448438,0.0,47.8,40.17,9.63,1.47,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4
32,aes128,aes128,config_TEST_SW_MS,flow_completed,5h9m38s,2h36m15s,68253.7385114376,2.431984,17063.4346278594,31,1411.49,41498,0,0,0,0,0,0,0,58,0,-1,-1,2604503,334548,0.0,0.0,0.0,-0.08,0.0,0.0,0.0,0.0,0.0,0.0,2291469759,0.0,34.97,26.44,5.12,0.03,0.0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.48906386701663,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ms,4,4
33,aes192,aes192,config_TEST_SW_MS,flow_completed,5h55m41s,3h21m32s,64932.29093955046,3.5815,12986.458187910093,26,1743.54,46511,0,0,0,0,0,0,0,51,0,-1,-1,3416413,380386,0.0,0.0,0.0,-0.09,0.0,0.0,0.0,0.0,0.0,0.0,3044031058,0.0,30.64,24.34,4.15,0.18,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.84074373484236,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4,4
34,aes256,aes256,config_TEST_SW_MS,flow_completed,6h14m22s,3h46m40s,64481.40577537214,3.113404,19344.42173261164,36,1773.87,60227,0,0,0,0,0,0,0,83,0,-1,-1,4025054,480295,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,32.28,36.12,1.15,4.37,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ms,4,4
35,point_add,point_add,config_TEST_SW_MS,flow_completed,6h40m46s,4h47m34s,77397.15332780207,2.613016,19349.288331950516,35,1727.14,50560,0,0,0,0,0,0,0,43,0,-1,-1,4442831,493596,-26.98,-26.98,-1.02,-2.87,-0.35,-60175.49,-60175.49,-5.27,-5.27,-0.53,3444457827,0.0,48.35,42.02,13.85,16.08,0.22,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,96.61835748792271,10.35,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ms,4,4
36,point_scalar_mult,point_scalar_mult,config_TEST_SW_MS,flow_completed,6h49m21s,4h45m49s,75526.5138490796,2.925754,18881.6284622699,35,1814.84,55243,0,0,0,0,0,0,0,66,0,-1,-1,4515721,523586,-26.98,-26.98,-2.52,-5.68,-1.76,-64180.44,-64180.44,-298.98,-298.98,-171.14,3739646447,0.0,42.02,37.94,7.78,0.95,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,85.03401360544218,11.76,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ms,4,4
37,jpeg_encoder,jpeg_encoder,config_TEST_SW_MS,flow_completed,7h50m53s,5h35m28s,76947.72442822355,3.7888059999999997,15389.544885644711,26,1985.55,58308,0,0,0,0,0,0,0,91,19,-1,-1,5106939,573412,-19.99,-19.99,0.0,-0.61,0.0,-31249.91,-31249.91,0.0,0.0,0.0,4224431012,0.0,34.71,29.75,5.77,1.66,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.37135614702155,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4
38,y_dct,y_dct,config_TEST_SW_MS,flow_completed,8h49m56s,4h59m8s,63827.69530585051,6.132996,12765.539061170102,27,3022.7,78291,0,0,0,0,0,0,0,85,0,-1,-1,6663424,635607,0.0,0.0,0.0,-0.06,0.0,0.0,0.0,0.0,0.0,0.0,5953132013,0.0,29.53,26.21,1.56,0.32,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,48.732943469785575,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ms,4,4
39,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_MS,flow_failed,12h38m18s,-1,-961830000000.0,-1e-06,-96183000000.0,23,-1.0,96183,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-49.11,-49.11,0.0,0.0,0.0,-100572.38,-100572.38,0.0,0.0,0.0,34191408588,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,94140,98234,2054,6148,0,0,0,96183,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1998,125617,0,127615,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_ms,4,4
40,sound,sound,config_TEST_SW_MS,flow_completed,24h7m15s,9h10m48s,70464.76945944717,11.387194000000001,17616.192364861792,35,6386.77,200599,0,0,0,0,0,0,0,105,0,-1,-1,12019007,2071395,-1.65,-1.65,0.0,0.0,0.0,-20262.3,-20262.3,0.0,0.0,0.0,8273946298,0.0,30.1,23.76,0.65,0.03,0.0,200564,200681,200564,200681,0,0,0,200599,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ms,4,4
41,des3,des3,config_TEST_SW_MS,flow_failed,45h47m6s,4h49m50s,70663.75259706432,3.5588260000000003,14132.750519412864,25,1798.6,50296,0,0,0,0,0,0,-1,-1,0,-1,-1,2860419,432922,-16.14,-16.14,-15.75,-20.83,-14.0,-25364.54,-25364.54,-11820.63,-11820.63,-9982.6,2274053744,0.0,24.27,21.81,2.1,1.01,0.03,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,46.317739694302915,21.59,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ms,4,4