blob: cf7ff04aac668bc6dfacbb61eaeadbca1746318e [file] [log] [blame]
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_LS,flow_failed,0h0m42s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ls,4,4
1,inverter,inverter,config_TEST_SW_LS,flow_completed,0h6m56s,0h6m15s,1089.3246187363834,0.001836,544.6623093681917,4,380.54,1,0,0,0,0,0,0,0,0,0,0,-1,75,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,1.21,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_ls,4,4
2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_LS,flow_completed,0h8m56s,0h6m45s,56.009230321156934,0.102024,19.603230612404925,1,420.12,2,0,0,0,0,0,0,0,2,0,-1,-1,20081,498,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.38,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_ls,0,0
3,cic_decimator,cic_decimator,config_ls_first_rerun,flow_completed,0h7m39s,0h7m13s,62959.818902093946,0.031806,25183.92756083758,48,498.89,801,0,0,0,0,0,0,0,0,0,0,-1,31136,7163,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20226572,0.0,27.83,23.16,0.04,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_ls,4,4
4,wbqspiflash,wbqspiflash,config_ls_first_rerun,flow_completed,0h11m17s,0h9m54s,69100.19528316059,0.10556099999999999,24185.068349106205,47,584.73,2553,0,0,0,0,0,0,0,2,0,0,-1,151457,25406,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,99369767,0.0,40.11,32.14,1.27,0.23,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,21,184,1079,0,1263,53.022269353128316,18.86,18.86,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4
4,xtea,xtea,config_ls_second_rerun,flow_completed,0h10m5s,0h8m17s,70333.42932188454,0.098226,24616.700262659586,45,586.81,2418,0,0,0,0,0,0,0,3,0,0,-1,148019,23636,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116283445,0.0,47.0,43.67,2.85,0.89,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4,4
6,s44,lut_s44,config_TEST_SW_LS,flow_completed,0h21m5s,0h19m32s,44683.98747005712,0.043416,2234.1993735028564,7,415.36,97,0,0,0,0,0,0,0,0,0,0,-1,6676,1043,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.91,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4
7,spm,spm,config_TEST_SW_LS,flow_completed,0h22m41s,0h21m30s,42597.40259740259,0.01375,20872.727272727272,54,442.45,287,0,0,0,0,0,0,0,0,0,0,-1,9112,2196,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5730580,0.0,18.05,16.17,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_ls,4,4
8,y_huff,y_huff,config_ls_first_rerun,flow_completed,0h31m5s,0h21m29s,60590.83773369488,0.5005,21206.79320679321,51,815.16,10614,0,0,0,0,0,0,0,13,0,0,-1,1025203,125431,-5.32,-5.32,-5.11,-7.91,-3.78,-7300.75,-7300.75,-3686.48,-3686.48,-2058.48,760865184,0.0,58.65,55.02,14.41,8.17,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,412,5304,0,5716,66.09385327164574,15.129999999999999,11.35,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_ls,4,4
9,usb,usb,config_TEST_SW_LS,flow_completed,0h27m12s,0h24m44s,50657.703839829774,0.041356,20263.08153593191,45,495.16,838,0,0,0,0,0,0,0,0,0,0,-1,31071,7088,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19627152,0.0,20.34,18.34,0.09,0.0,0.0,837,861,200,224,0,0,0,838,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_ls,4,4
10,zipdiv,zipdiv,config_TEST_SW_LS,flow_completed,0h29m10s,0h26m13s,62790.69767441861,0.043,25116.279069767446,50,520.77,1080,0,0,0,0,0,0,0,0,0,0,-1,49798,10061,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,34898285,0.0,37.92,33.62,1.52,0.0,0.0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,112,404,0,516,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_ls,4,4
11,usb_cdc_core,usb_cdc_core,config_TEST_SW_LS,flow_completed,0h38m4s,0h31m50s,63689.781770637186,0.072574,28660.401796786733,51,547.68,2080,0,0,0,0,0,0,0,3,0,0,-1,79282,16356,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52246240,0.0,30.38,26.08,0.36,0.0,0.0,2076,2107,314,345,0,0,0,2080,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4
12,APU,APU,config_TEST_SW_LS,flow_completed,0h50m45s,0h36m41s,64099.112346379596,0.137956,22434.689321232858,44,575.71,3095,0,0,0,0,0,0,0,2,0,0,-1,147078,28982,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,93970224,0.0,29.0,25.77,0.07,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4
13,synth_ram,synth_ram,config_TEST_SW_LS,flow_completed,1h27m5s,0h49m36s,51091.51479521851,0.2835,22991.18165784833,56,661.34,6518,0,0,0,0,0,0,0,9,0,0,-1,466775,70936,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250943518,0.0,46.66,36.32,1.95,0.56,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4
14,ocs_blitter,ocs_blitter,config_TEST_SW_LS,flow_completed,1h50m48s,1h9m7s,65731.12250266835,0.304096,23005.89287593392,49,701.21,6996,0,0,0,0,0,0,0,5,0,0,-1,465255,75484,-33.81,-33.81,-6.51,-9.67,-6.1,-5887.58,-5887.58,-132.95,-132.95,-118.28,275266344,0.0,42.03,35.69,1.23,0.22,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,41.1522633744856,24.299999999999997,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4
15,md5,md5,config_TEST_SW_LS,flow_completed,1h52m25s,1h17m25s,60813.11632940067,0.268786,21284.590715290233,47,671.64,5721,0,0,0,0,0,0,0,4,0,0,-1,412325,60225,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297365406,0.0,41.71,33.22,4.24,1.54,0.0,5599,5853,1175,1429,0,0,0,5721,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_ls,4,4
16,PPU,PPU,config_TEST_SW_LS,flow_completed,2h43m12s,1h10m18s,53353.710461596456,0.880726,10670.742092319291,28,795.14,9398,0,0,0,0,0,0,0,17,0,0,-1,763760,105403,-20.93,-20.93,0.0,-1.71,0.0,-5037.73,-5037.73,0.0,0.0,0.0,491276864,0.0,27.33,20.62,0.37,0.09,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_ls,4,4
17,genericfir,genericfir,config_TEST_SW_LS,flow_completed,3h4m35s,2h26m49s,49309.74167940392,0.42700600000000005,22189.383755731767,50,715.54,9475,0,0,0,0,0,0,0,1,0,0,-1,405850,87048,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,253238877,0.0,30.38,25.85,0.24,0.02,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_ls,4,4
18,BM64,BM64,config_TEST_SW_LS,flow_completed,3h14m46s,1h40m24s,66661.88487973806,0.706384,11999.13927835285,28,785.94,8476,0,0,0,0,0,0,0,22,0,0,-1,1130217,101322,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,998818790,0.0,39.82,38.72,4.32,0.36,0.0,7970,8991,785,1806,0,0,0,8476,26,0,12,341,2174,989,307,2573,1801,1293,34,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_ls,4,4
19,des,des,config_TEST_SW_LS,flow_completed,3h32m47s,2h39m17s,73760.61336606245,0.618526,25816.214678121854,40,854.71,15968,0,0,0,0,0,0,0,21,0,0,-1,774799,135009,0.0,0.0,-3.28,-7.28,-1.23,0.0,0.0,-116.46,-116.46,-18.71,626592590,0.0,38.06,33.04,4.8,0.58,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,47.103155911446066,21.23,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4
20,picorv32a,picorv32a,config_TEST_SW_LS,flow_completed,3h34m18s,2h26m20s,70840.84534519963,0.5828760000000001,24794.295870819868,47,877.34,14452,0,0,0,0,0,0,0,13,0,0,-1,1110638,149802,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,778729960,0.0,54.82,46.5,14.18,3.58,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.43671653861706,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4
21,usbf_device,usbf_device,config_TEST_SW_LS,flow_completed,3h54m28s,3h6m53s,62938.10589112603,0.54385,28322.147651006715,54,884.18,15403,0,0,0,0,0,0,0,15,0,0,-1,1150849,157393,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,622942032,0.0,50.64,50.53,5.08,5.27,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,430,5857,0,6287,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_ls,4,4
22,chacha,chacha,config_TEST_SW_LS,flow_completed,3h58m44s,2h32m27s,73441.85612208147,0.899596,18360.46403052037,37,967.33,16517,0,0,0,0,0,0,0,32,0,0,-1,1603897,179383,-24.41,-24.41,-5.4,-10.26,-5.37,-9415.42,-9415.42,-805.44,-805.44,-765.99,1310766065,0.0,48.39,44.31,15.69,6.11,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,31.867431485022305,31.380000000000003,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4
23,sha3,sha3,config_TEST_SW_LS,flow_completed,4h13m22s,3h31m9s,65164.22261072658,0.628785,22807.4779137543,45,889.39,14341,0,0,0,0,0,0,0,9,0,0,-1,995752,149259,-6.02,-6.02,-6.08,-10.78,-3.81,-9055.87,-9055.87,-1084.5,-1084.5,-563.87,634099099,0.0,46.68,40.8,5.53,4.69,0.9,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,60.53268765133172,16.52,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_ls,4,4
24,ldpcenc,ldpcenc,config_TEST_SW_LS,flow_completed,4h19m58s,2h57m20s,65406.23403168115,1.07635,16351.558507920288,38,1027.26,17600,0,0,0,0,0,0,0,38,0,0,-1,1823750,207378,-52.63,-52.63,-4.2,-5.88,-4.25,-41625.77,-41625.77,-464.3,-464.3,-213.69,1480195009,0.0,41.04,38.42,8.42,2.14,0.0,17581,17636,1527,1582,0,0,0,17600,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,58.30903790087464,17.15,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4
25,blabla,blabla,config_TEST_SW_LS,flow_completed,4h22m35s,2h4m48s,77823.52137431077,1.268946,10117.0577786604,22,966.26,12838,0,0,0,0,0,0,0,35,0,0,-1,2391557,156180,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2195298746,0.0,49.59,50.44,13.7,5.24,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,57,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_ls,4,4
26,aes_cipher,aes_cipher,config_TEST_SW_LS,flow_completed,4h32m2s,3h46m55s,87613.91694725027,0.66825,21903.479236812567,37,940.12,14637,0,0,0,0,0,0,0,2,0,0,-1,1287806,170445,0.0,0.0,0.0,-0.04,0.0,0.0,0.0,0.0,0.0,0.0,1052178226,0.0,47.59,42.97,8.97,2.0,0.0,14517,14898,411,792,0,0,0,14637,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4
27,salsa20,salsa20,config_TEST_SW_LS,flow_completed,4h48m46s,3h29m15s,66309.70544950872,1.478592,13261.941089901746,29,1098.79,19609,0,0,0,0,0,0,0,46,0,0,-1,2215859,220692,-10.39,-10.39,0.0,0.0,0.0,-1464.66,-1464.66,0.0,0.0,0.0,1882514707,0.0,39.17,33.01,6.28,1.23,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4
28,aes,aes,config_TEST_SW_LS,flow_completed,4h54m24s,3h37m45s,63387.451214559114,1.487124,12677.490242911823,29,1129.2,18853,0,0,0,0,0,0,0,27,0,0,-1,1792468,224821,-10.15,-10.15,-2.13,-7.56,0.0,-27753.22,-27753.22,-129.6,-129.6,0.0,1314099158,0.0,33.46,27.22,1.6,0.13,0.11,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4
29,sha512,sha512,config_TEST_SW_LS,flow_completed,4h58m8s,3h10m39s,63354.798248759274,1.511614,15838.699562189819,37,1238.04,23942,0,0,0,0,0,0,0,35,0,0,-1,2374473,284679,-71.79,-71.79,0.0,-5.69,0.0,-109347.12,-109347.12,0.0,0.0,0.0,1742444839,0.0,41.6,45.51,4.87,5.2,0.0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4
30,aes_core,aes_core,config_TEST_SW_LS,flow_completed,5h3m44s,3h56m14s,66708.18980310406,1.101394,16677.047450776015,38,1093.71,18368,0,0,0,0,0,0,0,28,0,0,-1,2057892,233852,-8.09,-8.09,-1.57,-5.46,0.0,-19861.81,-19861.81,-99.6,-99.6,0.0,1623846359,0.0,47.9,40.39,10.24,1.35,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4
31,aes128,aes128,config_TEST_SW_LS,flow_completed,5h23m45s,3h21m23s,68253.7385114376,2.431984,17063.4346278594,30,1439.8,41498,0,0,0,0,0,0,0,72,0,0,-1,2605123,336879,-1.26,-1.26,-0.96,-2.48,-1.14,-589.0,-589.0,-255.71,-255.71,-252.16,2292105682,0.0,34.62,26.41,5.6,0.06,0.0,41374,41755,10452,10833,0,0,0,41498,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,79.55449482895783,12.57,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_ls,4,4
32,aes192,aes192,config_TEST_SW_LS,flow_completed,6h16m52s,4h11m32s,64932.29093955046,3.5815,12986.458187910093,25,2012.96,46511,0,0,0,0,0,0,0,78,0,0,-1,3434798,385119,-1.41,-1.41,-0.91,-2.78,-1.41,-474.49,-474.49,-205.06,-205.06,-236.16,3044031058,0.0,30.71,24.4,4.37,0.21,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,72.5689404934688,13.78,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4,4
33,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_LS,flow_failed,6h40m24s,-1,-902260000000.0,-1e-06,-90226000000.0,23,-1.0,90226,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-71.14,-71.14,0.0,0.0,0.0,-145691.72,-145691.72,0.0,0.0,0.0,33432627469,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,88183,92277,2054,6148,0,0,0,90226,0,0,32,0,6519,19649,4544,2048,4098,6146,23,1972,122504,0,124476,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_ls,4,4
34,aes256,aes256,config_TEST_SW_LS,flow_completed,6h40m25s,4h38m28s,64481.40577537214,3.113404,19344.42173261164,36,1845.42,60227,0,0,0,0,0,0,0,94,0,0,-1,4061674,485975,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,32.29,37.71,1.62,1.26,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_ls,4,4
35,des3,des3,config_TEST_SW_LS,flow_completed,6h46m57s,5h7m57s,70663.75259706432,3.5588260000000003,14132.750519412864,25,1909.71,50296,0,0,0,0,0,0,0,87,0,0,-1,2873899,436785,-20.18,-20.18,-13.24,-17.31,-12.6,-31789.96,-31789.96,-12686.0,-12686.0,-12494.05,2271976339,0.0,24.53,22.25,1.8,0.34,0.0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,49.52947003467064,20.189999999999998,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_ls,4,4
36,point_add,point_add,config_TEST_SW_LS,flow_completed,6h56m50s,5h4m40s,77397.15332780207,2.613016,19349.288331950516,35,1758.94,50560,0,0,0,0,0,0,0,71,0,0,-1,4402686,503550,-35.84,-35.84,-5.03,-8.44,-5.13,-79510.91,-79510.91,-1349.47,-1349.47,-1244.5,3450464157,0.0,46.8,42.88,15.24,13.27,0.36,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,66.09385327164574,15.129999999999999,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_ls,4,4
37,point_scalar_mult,point_scalar_mult,config_TEST_SW_LS,flow_completed,7h26m6s,5h30m30s,75526.5138490796,2.925754,18881.6284622699,34,1850.97,55243,0,0,0,0,0,0,0,87,0,0,-1,4501528,529651,-35.84,-35.84,-6.3,-11.37,-5.83,-87583.84,-87583.84,-3126.92,-3126.92,-5495.51,3689955299,0.0,41.8,37.92,7.67,0.94,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1014,32317,0,33331,63.171193935565384,15.83,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_ls,4,4
38,jpeg_encoder,jpeg_encoder,config_TEST_SW_LS,flow_failed,7h38m18s,6h7m40s,76947.72442822355,3.7888059999999997,15389.544885644711,26,2123.56,58308,0,0,0,0,0,0,-1,-1,14,-1,-1,5088886,574432,-26.88,-26.88,0.0,-4.41,-1.4,-44009.05,-44009.05,0.0,0.0,-18.38,4191127761,0.0,33.86,30.24,5.75,1.72,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,58.20721769499418,17.18,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4
39,y_dct,y_dct,config_TEST_SW_LS,flow_completed,8h53m19s,5h14m56s,63827.69530585051,6.132996,12765.539061170102,26,3080.96,78291,0,0,0,0,0,0,0,122,0,0,-1,6660107,637586,0.0,0.0,0.0,-2.67,-1.45,0.0,0.0,0.0,0.0,-20.97,5951174263,0.0,29.49,26.17,1.61,0.34,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1476,68812,0,70288,45.51661356395084,21.97,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_ls,4,4
40,151,riscv_top,config_TEST_SW_LS,flow_completed,10h1m22s,6h27m49s,51277.5387230152,5.7048760000000005,12819.3846807538,33,3112.68,73133,0,0,0,0,0,0,0,106,0,0,-1,6393411,745926,-278.01,-278.01,0.0,-5.79,0.0,-4530327.0,-4530327.0,0.0,0.0,0.0,4681113643,0.0,29.84,26.07,1.42,1.94,0.0,72936,73271,23324,23659,0,0,0,73133,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1422,63807,0,65229,20.0,50.0,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4
41,sound,sound,config_TEST_SW_LS,flow_completed,23h56m11s,9h36m21s,70458.09529546964,11.387194000000001,17614.52382386741,34,6853.71,200580,0,0,0,0,0,0,0,129,0,0,-1,12114822,2076534,-27.97,-27.97,0.0,0.0,0.0,-343476.47,-343476.47,0.0,0.0,0.0,8414083629,0.0,30.34,23.92,0.69,0.05,0.0,200545,200662,200545,200662,0,0,0,200580,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_ls,4,4