blob: 5ccaa23c59b90ab91a151da432a73f8539523be8 [file] [log] [blame]
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,digital_pll_sky130_fd_sc_hd,digital_pll,config_TEST_SW_HS,flow_failed,0h0m36s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hs,4,4
1,inverter,inverter,config_TEST_SW_HS,flow_completed,0h5m55s,0h5m14s,1089.3246187363834,0.001836,544.6623093681917,4,382.66,1,0,0,0,0,0,0,0,0,0,-1,-1,76,13,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.13,0.97,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,16,5,0,21,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hs,4,4
2,manual_macro_placement_test,manual_macro_placement_test,config_TEST_SW_HS,flow_completed,0h6m56s,0h5m12s,56.009230321156934,0.102024,19.603230612404925,1,419.47,2,0,0,0,0,0,0,0,2,0,-1,-1,20078,488,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,3.64,4.62,3.39,4.6,0.05,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,180,563,0,743,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hs,0,0
3,zipdiv,zipdiv,config_HS_first_rerun,flow_completed,0h7m29s,0h6m41s,63539.12951392566,0.048563999999999996,22238.69532987398,44,527.65,1080,0,0,0,0,0,0,0,1,0,-1,-1,49545,10065,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36926298,0.0,33.03,29.53,1.28,0.0,0.0,1052,1148,118,214,0,0,0,1080,46,0,3,43,165,146,14,241,273,273,31,120,463,0,583,52.38344683080147,19.09,19.09,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4,4
4,xtea,xtea,config_HS_first_rerun,flow_completed,0h8m41s,0h6m55s,70333.42932188454,0.098226,24616.700262659586,45,576.07,2418,0,0,0,0,0,0,0,6,0,-1,-1,147446,23652,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,116491155,0.0,46.4,43.96,2.94,1.25,0.0,2362,2613,123,374,0,0,0,2418,16,0,54,226,390,436,121,174,468,615,28,176,988,0,1164,38.417210910487896,26.03,26.03,AREA 0,6,35,1,153.6,153.18,0.4,0.15,sky130_fd_sc_hs,4,4
5,s44,lut_s44,config_TEST_SW_HS,flow_completed,0h13m26s,0h12m12s,44683.98747005712,0.043416,2234.1993735028564,7,415.36,97,0,0,0,0,0,0,0,0,0,-1,-1,6663,1044,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5279922,0.0,3.91,3.65,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,114,411,0,525,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4
6,spm,spm,config_TEST_SW_HS,flow_completed,0h16m33s,0h15m31s,42597.40259740259,0.01375,20872.727272727272,54,444.0,287,0,0,0,0,0,0,0,0,0,-1,-1,9122,2215,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5730580,0.0,18.4,16.17,0.0,0.0,0.0,291,322,39,70,0,0,0,287,32,0,31,31,1,63,31,0,97,128,4,58,108,0,166,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hs,4,4
7,cic_decimator,cic_decimator,config_TEST_SW_HS,flow_completed,0h18m30s,0h16m28s,62959.818902093946,0.031806,25183.92756083758,48,498.91,801,0,0,0,0,0,0,0,0,0,-1,-1,30591,7001,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19857159,0.0,28.08,22.4,0.21,0.0,0.0,790,823,119,152,0,0,0,801,15,0,21,51,90,92,51,220,131,112,16,94,269,0,363,100.0,10.0,10.0,AREA 0,6,40,1,15.0,15.0,0.45,0.0,sky130_fd_sc_hs,4,4
8,usb,usb,config_TEST_SW_HS,flow_completed,0h19m58s,0h17m34s,50597.25311925719,0.041356,20238.901247702877,46,492.91,837,0,0,0,0,0,0,0,0,0,-1,-1,31610,7220,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,19723971,0.0,20.67,18.76,0.0,0.0,0.0,836,860,200,224,0,0,0,837,22,8,43,40,206,49,6,176,242,251,12,110,370,0,480,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hs,4,4
9,usb_cdc_core,usb_cdc_core,config_TEST_SW_HS,flow_completed,0h36m47s,0h31m18s,63659.16168324744,0.072574,28646.622757461348,51,555.52,2079,0,0,0,0,0,0,0,1,0,-1,-1,78605,16243,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,52298687,0.0,30.02,25.69,0.87,0.0,0.0,2075,2106,314,345,0,0,0,2079,99,0,110,89,676,130,41,252,365,683,19,150,693,0,843,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4
10,APU,APU,config_TEST_SW_HS,flow_completed,0h41m20s,0h29m9s,64099.112346379596,0.137956,22434.689321232858,44,575.89,3095,0,0,0,0,0,0,0,1,0,-1,-1,146362,28916,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,94677735,0.0,28.89,25.77,0.06,0.0,0.0,3068,3127,380,439,0,0,0,3095,86,28,103,170,344,251,52,709,683,867,26,212,1404,0,1616,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4
11,wbqspiflash,wbqspiflash,config_TEST_SW_HS,flow_completed,0h53m51s,0h43m37s,69700.39477779416,0.12209400000000001,20910.118433338248,41,572.12,2553,0,0,0,0,0,0,0,2,0,-1,-1,146343,25031,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,106634042,0.0,33.93,27.36,0.55,0.14,0.0,2526,2614,264,352,0,0,0,2553,55,1,147,155,796,79,6,376,656,885,20,198,1212,0,1410,53.022269353128316,18.86,18.86,AREA 0,6,30,1,153.6,153.18,0.35,0.0,sky130_fd_sc_hs,4,4
12,synth_ram,synth_ram,config_TEST_SW_HS,flow_completed,1h11m43s,0h40m44s,51091.51479521851,0.2835,22991.18165784833,56,670.1,6518,0,0,0,0,0,0,0,3,0,-1,-1,456582,70287,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,250011549,0.0,45.76,35.41,1.71,0.77,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,308,2964,0,3272,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hs,4,4
13,point_scalar_mult,point_scalar_mult,config_HS_first_rerun,flow_completed,3h13m43s,1h7m53s,75755.58111687611,3.6461339999999995,15151.116223375224,27,1918.27,55243,0,0,0,0,0,0,946,75,0,-1,-1,4846268,518607,-21.64,-21.64,-0.33,-3.15,0.0,-46555.95,-46555.95,-6.32,-6.32,0.0,4051500631,0.0,36.83,32.87,5.98,0.17,0.0,54863,55785,7456,8378,0,0,0,55243,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1134,40677,0,41811,100.0,10.0,10.0,AREA 0,5,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4,4
14,y_dct,y_dct,config_HS_first_rerun,flow_completed,5h41m7s,1h27m11s,63922.10893726463,8.16525,9588.316340589694,20,3662.27,78291,0,0,0,0,0,0,48,113,0,-1,-1,7407274,631109,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,6689631501,0.0,25.46,21.61,0.96,0.11,0.0,100762,101409,4703,5350,0,0,0,78291,3238,72,1227,3318,3941,3531,161,10172,31779,27586,20,1704,91372,0,93076,48.732943469785575,20.52,20.52,AREA 0,5,15,1,153.6,153.18,0.2,0.0,sky130_fd_sc_hs,4,4
15,ocs_blitter,ocs_blitter,config_TEST_SW_HS,flow_completed,1h28m5s,0h53m8s,65731.12250266835,0.304096,23005.89287593392,49,694.32,6996,0,0,0,0,0,0,0,6,0,-1,-1,458272,74664,-18.79,-18.79,0.0,-1.3,0.0,-2661.43,-2661.43,0.0,0.0,0.0,276392798,0.0,40.98,35.34,1.62,0.09,0.0,6944,7088,439,583,0,0,0,6996,164,0,189,595,1116,745,127,471,962,1734,27,318,3218,0,3536,54.94505494505495,18.2,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4
16,md5,md5,config_TEST_SW_HS,flow_completed,1h36m27s,1h9m24s,60802.48652406428,0.268786,21280.870283422497,47,668.08,5720,0,0,0,0,0,0,0,6,0,-1,-1,415257,60337,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,297487632,0.0,41.35,33.27,5.24,1.47,0.44,5598,5852,1175,1429,0,0,0,5720,80,0,137,240,1477,396,213,650,1208,1399,38,300,2812,0,3112,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hs,4,4
17,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_TEST_SW_HS,flow_failed,1h52m10s,-1,-922790000000.0,-1e-06,-92279000000.0,18,-1.0,92279,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-24.93,-24.93,-24.93,-24.93,-24.93,-51051.49,-51051.49,-51051.49,-51051.49,-51051.49,34340189885,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,90236,94330,2054,6148,0,0,0,92279,0,0,32,0,6519,19649,4544,2048,4098,6146,22,1980,123496,0,125476,9.810654370646521,101.93,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hs,4,4
18,151,riscv_top,config_HS_first_rerun,flow_completed,6h18m4s,2h21m6s,51387.22475844128,7.120836,10277.444951688258,27,3504.43,73184,0,0,0,0,0,0,11,120,0,-1,-1,6881756,743020,-179.09,-179.09,0.0,0.0,0.0,-2901186.25,-2901186.25,0.0,0.0,0.0,5095497474,0.0,25.87,22.71,1.37,0.83,0.0,72987,73322,23324,23659,0,0,0,73184,109,2216,286,1088,3839,426,120,20245,24812,25565,22,1590,79694,0,81284,20.0,50.0,50.0,DELAY 1,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4
19,PPU,PPU,config_TEST_SW_HS,flow_completed,2h7m20s,0h55m43s,53353.710461596456,0.880726,10670.742092319291,28,790.63,9398,0,0,0,0,0,0,0,10,0,-1,-1,750221,104523,-10.16,-10.16,0.0,0.0,0.0,-1844.88,-1844.88,0.0,0.0,0.0,486061374,0.0,27.23,19.94,0.37,0.09,0.0,9339,9422,2898,2981,0,0,0,9398,53,8,55,72,460,113,21,2942,3193,3308,15,552,9589,0,10141,55.55555555555556,18.0,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hs,4,4
20,genericfir,genericfir,config_TEST_SW_HS,flow_completed,2h41m41s,2h8m50s,49309.74167940392,0.42700600000000005,22189.383755731767,50,720.74,9475,0,0,0,0,0,0,0,3,0,-1,-1,398743,86811,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,255052655,0.0,30.61,24.87,0.29,0.09,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,380,4512,0,4892,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hs,4,4
21,BM64,BM64,config_TEST_SW_HS,flow_completed,2h56m31s,1h34m42s,66654.02009860548,0.706384,11997.723617748987,27,770.57,8475,0,0,0,0,0,0,0,19,0,-1,-1,1117332,98915,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,993562777,0.0,39.9,38.35,3.26,0.62,0.0,7969,8990,785,1806,0,0,0,8475,26,0,12,341,2174,989,307,2573,1801,1293,33,492,7684,0,8176,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hs,4,4
22,y_huff,y_huff,config_TEST_SW_HS,flow_completed,3h11m0s,2h6m22s,60858.34695106217,0.58135,18257.50408531865,40,801.75,10614,0,0,0,0,0,0,4,13,0,-1,-1,986233,114787,-1.08,-1.08,0.0,0.0,0.0,-1336.91,-1336.91,0.0,0.0,0.0,818611577,0.0,52.13,48.03,7.63,1.1,0.0,10646,11321,2383,3058,0,0,0,10614,237,0,146,384,1310,575,87,4679,4830,3153,16,446,6298,0,6744,88.1057268722467,11.35,11.35,AREA 0,6,30,1,153.6,153.18,0.35,0.15,sky130_fd_sc_hs,4,4
23,des,des,config_TEST_SW_HS,flow_completed,3h12m14s,2h15m6s,73760.61336606245,0.618526,25816.214678121854,40,845.79,15968,0,0,0,0,0,0,2,21,0,-1,-1,772248,134391,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,626055829,0.0,38.27,32.83,4.39,0.43,0.0,15909,16090,1957,2138,0,0,0,15968,432,1984,48,448,12688,1792,256,768,1792,512,13,460,6610,0,7070,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4
24,picorv32a,picorv32a,config_TEST_SW_HS,flow_completed,3h18m57s,2h8m39s,70840.84534519963,0.5828760000000001,24794.295870819868,47,869.55,14452,0,0,0,0,0,0,0,8,0,-1,-1,1118361,149464,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,785596635,0.0,54.06,47.45,13.16,6.03,0.0,14172,14554,1565,1947,0,0,0,14452,1159,227,896,560,2391,2462,615,1664,2132,2900,134,446,6298,0,6744,40.43671653861706,24.73,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4
25,chacha,chacha,config_TEST_SW_HS,flow_completed,3h33m32s,1h57m26s,73441.85612208147,0.899596,18360.46403052037,36,955.29,16517,0,0,0,0,0,0,0,11,0,-1,-1,1585656,175128,-8.85,-8.85,0.0,0.0,0.0,-2412.74,-2412.74,0.0,0.0,0.0,1302910180,0.0,46.98,44.39,16.0,5.49,0.0,16492,16561,2389,2458,0,0,0,16517,605,5,604,825,810,2011,971,3036,3035,3673,57,558,9833,0,10391,38.446751249519416,26.01,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4
26,usbf_device,usbf_device,config_TEST_SW_HS,flow_completed,3h53m19s,3h8m52s,63137.71013794133,0.609897,25255.084055176532,48,874.93,15403,0,0,0,0,0,0,0,5,0,-1,-1,939257,146158,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,656374352,0.0,39.89,36.62,2.27,0.76,0.0,15378,15524,3775,3921,0,0,0,15403,675,0,362,157,4505,280,61,3692,4004,4615,14,456,6553,0,7009,68.4931506849315,14.6,14.6,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hs,4,4
27,ldpcenc,ldpcenc,config_TEST_SW_HS,flow_completed,3h53m37s,2h13m50s,65395.085241789384,1.07635,16348.771310447346,38,1029.18,17597,0,0,0,0,0,0,0,64,0,-1,-1,1823802,207324,-33.26,-33.26,0.0,-0.66,0.0,-22744.2,-22744.2,0.0,0.0,0.0,1479233557,0.0,41.66,38.5,7.74,1.77,0.0,17578,17633,1527,1582,0,0,0,17597,103,94,990,79,2098,1958,84,1265,2487,2565,13,610,11664,0,12274,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4
28,sha3,sha3,config_TEST_SW_HS,flow_completed,3h56m13s,3h13m38s,65164.22261072658,0.628785,22807.4779137543,45,884.91,14341,0,0,0,0,0,0,0,13,0,-1,-1,973196,147618,-2.06,-2.06,-1.24,-4.52,0.0,-60.62,-60.62,-66.37,-66.37,0.0,630279903,0.0,44.88,39.91,7.08,3.82,0.25,14316,14385,3031,3100,0,0,0,14341,911,0,893,238,878,848,435,3088,3162,3709,23,464,6784,0,7248,78.67820613690007,12.71,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hs,4,4
29,blabla,blabla,config_TEST_SW_HS,flow_completed,4h5m58s,1h53m58s,77823.52137431077,1.268946,10117.0577786604,22,935.21,12838,0,0,0,0,0,0,0,41,0,-1,-1,2377113,152135,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2185686505,0.0,48.92,49.82,14.93,4.89,0.0,12337,13744,1105,2512,0,0,0,12838,654,5,575,813,507,2076,906,2190,2894,2059,58,664,13859,0,14523,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hs,4,4
30,aes_cipher,aes_cipher,config_TEST_SW_HS,flow_completed,4h11m32s,3h27m45s,87607.93116348673,0.66825,21901.982790871683,36,915.18,14636,0,0,0,0,0,0,75,6,0,-1,-1,1267730,166675,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1050991121,0.0,46.83,43.0,7.66,2.01,0.0,14516,14897,411,792,0,0,0,14636,461,386,73,357,18246,848,301,148,659,660,18,478,7228,0,7706,99.10802775024777,10.09,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4
31,aes,aes,config_TEST_SW_HS,flow_completed,4h29m28s,3h5m57s,63387.451214559114,1.487124,12677.490242911823,29,1120.23,18853,0,0,0,0,0,0,0,27,0,-1,-1,1788919,222940,-0.16,-0.16,0.0,0.0,0.0,-423.86,-423.86,0.0,0.0,0.0,1309171269,0.0,33.54,26.77,2.09,0.23,0.2,18828,18897,3136,3205,0,0,0,18853,219,0,88,346,8956,2179,691,3103,4034,4222,18,720,16288,0,17008,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4
32,aes_core,aes_core,config_TEST_SW_HS,flow_completed,4h31m51s,3h21m8s,66708.18980310406,1.101394,16677.047450776015,38,1080.71,18368,0,0,0,0,0,0,0,32,0,-1,-1,2039979,230309,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1614988012,0.0,47.29,40.6,9.74,1.05,0.0,18249,18758,2490,2999,0,0,0,18368,303,0,175,343,8961,2035,834,2717,3710,3696,19,618,11971,0,12589,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4
33,sha512,sha512,config_TEST_SW_HS,flow_completed,4h33m45s,2h37m9s,63354.798248759274,1.511614,15838.699562189819,37,1219.99,23942,0,0,0,0,0,0,0,37,0,-1,-1,2378232,285269,-33.84,-33.84,0.0,0.0,0.0,-34419.98,-34419.98,0.0,0.0,0.0,1707843573,0.0,43.15,44.48,5.44,3.92,0.0,23917,23986,5703,5772,0,0,0,23942,624,0,429,1853,4118,1682,1301,3667,6372,7289,43,726,16603,0,17329,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4
34,salsa20,salsa20,config_TEST_SW_HS,flow_completed,4h34m47s,3h22m32s,66309.70544950872,1.478592,13261.941089901746,29,1087.31,19609,0,0,0,0,0,0,2,41,0,-1,-1,2223446,220131,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1884297670,0.0,39.36,32.93,6.6,0.96,0.0,19584,19653,4073,4142,0,0,0,19609,518,5,615,755,1608,2268,769,7341,5004,4742,60,718,16241,0,16959,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4
35,aes128,aes128,config_TEST_SW_HS,flow_completed,4h54m45s,3h0m27s,68252.09376377477,2.431984,17063.023440943693,30,1412.33,41497,0,0,0,0,0,0,317,52,0,-1,-1,2597497,332924,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2293628181,0.0,34.59,26.32,5.53,0.07,0.0,41373,41754,10452,10833,0,0,0,41497,0,5568,65,1223,1198,17800,6545,800,6496,6736,29,924,26908,0,27832,87.48906386701663,11.43,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hs,4,4
36,aes192,aes192,config_TEST_SW_HS,flow_completed,5h38m19s,3h37m1s,64932.29093955046,3.5815,12986.458187910093,25,1736.87,46511,0,0,0,0,0,0,720,72,0,-1,-1,3405410,378950,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3044031058,0.0,30.75,24.23,3.9,0.13,0.0,46387,46832,13036,13481,0,0,0,46511,14,7968,55,1831,1158,19939,7390,684,7660,6689,31,1124,39758,0,40882,80.84074373484236,12.37,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4,4
37,aes256,aes256,config_TEST_SW_HS,flow_completed,5h54m50s,3h49m15s,64481.40577537214,3.113404,19344.42173261164,36,1789.38,60227,0,0,0,0,0,0,657,93,0,-1,-1,4028307,478989,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3532346146,0.0,31.59,37.6,1.85,1.38,0.0,60103,60612,17643,18152,0,0,0,60227,0,11072,77,1733,1656,24387,9321,1104,9232,9031,29,1048,34710,0,35758,66.66666666666667,15.0,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hs,4,4
38,des3,des3,config_TEST_SW_HS,flow_completed,6h14m46s,4h42m31s,70663.75259706432,3.5588260000000003,14132.750519412864,24,1790.59,50296,0,0,0,0,0,0,1012,65,0,-1,-1,2847695,431599,-12.35,-12.35,-9.54,-12.61,-8.35,-19384.8,-19384.8,-6670.48,-6670.48,-5608.64,2273959018,0.0,24.28,21.91,1.91,0.6,0.0,50239,50530,8959,9250,0,0,0,50296,1344,8808,144,1344,38112,5376,768,2416,5376,1536,14,1120,39615,0,40735,62.73525721455458,15.94,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hs,4,4
39,point_add,point_add,config_TEST_SW_HS,flow_completed,6h18m24s,4h32m54s,77397.15332780207,2.613016,19349.288331950516,34,1708.94,50560,0,0,0,0,0,0,1576,56,0,-1,-1,4546028,489989,-21.64,-21.64,0.0,-1.28,0.0,-45871.29,-45871.29,0.0,0.0,0.0,3458780408,0.0,48.08,42.66,16.2,17.5,0.66,50182,51340,6131,7289,0,0,0,50560,857,1149,640,3041,18519,579,3,6546,10796,12400,17,958,28856,0,29814,100.0,10.0,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hs,4,4
40,jpeg_encoder,jpeg_encoder,config_TEST_SW_HS,flow_completed,7h11m39s,4h57m16s,76947.72442822355,3.7888059999999997,15389.544885644711,26,1986.16,58308,0,0,0,0,0,0,15,88,21,-1,-1,5128099,570992,-14.11,-14.11,0.0,0.0,0.0,-20573.37,-20573.37,0.0,0.0,0.0,4234532953,0.0,34.1,30.34,5.74,2.12,0.0,58293,58329,4381,4417,0,0,0,58308,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,37,1156,42046,0,43202,63.37135614702155,15.78,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hs,4,4
41,sound,sound,config_TEST_SW_HS,flow_completed,23h43m18s,8h47m10s,70442.28806499652,11.387194000000001,17610.57201624913,34,6350.41,200535,0,0,0,0,0,0,9,136,0,-1,-1,12005488,2072390,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,8298960128,0.0,30.11,23.65,0.69,0.05,0.0,200500,200617,200500,200617,0,0,0,200535,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2014,128133,0,130147,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hs,4,4