blob: 5c8c9fd1a2d5052b75aa65d13d6cdd980c88553b [file] [log] [blame]
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HDLL_Benchmark,flow_failed,0h0m2s,-1,2040816.3265306123,-1e-06,1000000.0,-1,-1.0,-1,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,59.52380952380952,16.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hdll,4,3
1,y_dct,y_dct,config_SW_HDLL_Benchmark,flow_failed,0h8m28s,-1,-417360000000.0,-1e-06,-83472000000.0,-1,-1.0,83472,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,105943,106590,4703,5350,0,0,0,83472,3238,72,1227,3318,3941,3531,161,10172,31779,32925,20,0,0,0,0,48.732943469785575,20.52,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3
2,inverter,inverter,config_SW_HDLL_Benchmark,flow_completed,0h9m3s,0h8m51s,1089.3246187363834,0.001836,544.6623093681917,2,383.4,1,0,0,0,0,0,0,0,0,0,0,-1,71,12,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,0.74,1.39,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hdll,4,3
3,manual_macro_placement_test,manual_macro_placement_test,config_SW_HDLL_Benchmark,flow_completed,0h9m52s,0h9m20s,56.8789388665165,0.100464,19.907628603280774,1,423.5,2,0,0,0,0,0,0,0,8,0,-1,-1,21633,530,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,4.54,4.94,2.33,3.85,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hdll,0,0
4,spm,spm,config_SW_HDLL_Benchmark,flow_completed,0h12m16s,0h12m1s,54712.15034686441,0.011526,26808.95366996356,52,405.0,309,0,0,0,0,0,0,0,0,0,-1,-1,7675,2160,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4932790,0.0,16.03,15.71,0.0,0.0,0.0,313,344,39,70,0,0,0,309,32,0,31,31,1,63,31,0,97,128,6,66,105,0,171,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hdll,4,3
5,cic_decimator,cic_decimator,config_SW_HDLL_Benchmark,flow_completed,0h12m19s,0h11m45s,69272.27382749514,0.030251,31172.523222372813,52,482.38,943,0,0,0,0,0,0,0,0,0,-1,-1,27658,6646,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20182417,0.0,22.48,20.86,0.0,0.0,0.0,932,965,119,152,0,0,0,943,15,0,21,51,90,92,51,220,131,222,16,116,330,0,446,100.0,10.0,10.0,AREA 0,6,45,1,15.0,15.0,0.5,0.0,sky130_fd_sc_hdll,4,3
6,s44,lut_s44,config_SW_HDLL_Benchmark,flow_completed,0h12m39s,0h12m18s,68260.94923950889,0.043656,3413.0474619754445,6,423.21,149,0,0,0,0,0,0,0,0,0,-1,-1,6293,1107,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,5501065,0.0,2.92,3.42,0.0,0.0,0.0,146,166,38,58,0,0,0,149,0,0,0,0,0,0,0,32,41,64,4,140,504,0,644,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3
7,usb,usb,config_SW_HDLL_Benchmark,flow_completed,0h13m4s,0h12m28s,57194.423699278064,0.04017,22877.769479711227,43,474.09,919,0,0,0,0,0,0,0,1,0,-1,-1,30458,7191,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,20013483,0.0,16.99,18.17,0.0,0.0,0.0,918,942,200,224,0,0,0,919,22,8,43,40,206,49,6,176,242,316,11,134,448,0,582,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hdll,4,3
8,zipdiv,zipdiv,config_SW_HDLL_Benchmark,flow_completed,0h13m26s,0h12m44s,69047.61904761905,0.0462,27619.047619047622,46,483.72,1276,0,0,0,0,0,0,0,2,0,-1,-1,49416,9847,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,37521280,0.0,28.42,30.26,0.54,0.0,0.0,1248,1344,118,214,0,0,0,1276,46,0,3,43,165,146,14,241,273,415,25,146,560,13,719,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,4,3
9,usb_cdc_core,usb_cdc_core,config_SW_HDLL_Benchmark,flow_completed,0h13m38s,0h12m32s,67871.4479452487,0.07046000000000001,30542.151575361913,50,508.41,2152,0,0,0,0,0,0,0,2,0,-1,-1,78135,16004,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,54667049,0.0,25.07,25.61,0.0,0.0,0.0,2148,2179,314,345,0,0,0,2152,99,0,110,89,676,130,41,252,365,683,15,182,837,1,1020,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3
10,xtea,xtea,config_SW_HDLL_Benchmark,flow_completed,0h14m36s,0h13m6s,65415.24459613197,0.089072,29436.860068259386,47,536.14,2622,0,0,0,0,0,0,0,12,0,-1,-1,143890,21325,-2.82,-2.82,-1.02,-2.3,-1.05,-13.91,-13.91,-2.75,-2.75,-3.93,111411052,0.0,41.68,43.87,3.68,0.79,0.0,2566,2817,123,374,0,0,0,2622,16,0,54,226,390,436,121,174,468,615,29,206,1102,18,1326,36.92762186115214,27.080000000000002,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hdll,4,3
11,wbqspiflash,wbqspiflash,config_SW_HDLL_Benchmark,flow_completed,0h16m23s,0h14m26s,63609.70196575778,0.113544,25443.88078630311,41,527.48,2889,0,0,0,0,0,0,0,6,0,-1,-1,143307,22673,-6.89,-6.89,-4.65,-6.15,-5.76,-14.87,-14.87,-7.75,-7.75,-12.75,107586920,0.0,26.93,29.09,0.86,0.0,0.0,2862,2950,264,352,0,0,0,2889,55,1,147,155,796,79,6,376,656,1165,19,236,1438,18,1692,40.61738424045492,24.619999999999997,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hdll,4,3
12,APU,APU,config_SW_HDLL_Benchmark,flow_completed,0h16m45s,0h14m10s,71588.8449620686,0.14974400000000002,25056.095736724008,39,557.3,3752,0,0,0,0,0,0,0,7,0,-1,-1,149264,28644,-3.89,-3.89,0.0,-1.2,-0.41,-68.97,-68.97,0.0,0.0,-3.55,108713601,0.0,22.41,22.05,0.0,0.0,0.0,3725,3784,380,439,0,0,0,3752,86,28,103,170,344,251,52,709,683,1253,29,272,1930,13,2215,57.43825387708213,17.41,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3
13,md5,md5,config_SW_HDLL_Benchmark,flow_completed,0h23m3s,0h16m20s,54784.78740510826,0.320892,19174.67559178789,35,624.93,6153,0,0,0,0,0,0,0,19,0,-1,-1,418144,53003,-5.21,-5.21,0.0,-2.47,-0.35,-62.86,-62.86,0.0,0.0,-0.35,318144665,0.0,26.29,30.16,1.14,0.59,0.0,6031,6285,1175,1429,0,0,0,6153,80,0,137,240,1477,396,213,650,1208,1399,42,404,4182,96,4682,26.737967914438503,37.4,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3
14,ocs_blitter,ocs_blitter,config_SW_HDLL_Benchmark,flow_completed,0h23m52s,0h16m33s,66123.99849764118,0.339276,23143.399474174414,37,644.0,7852,0,0,0,0,0,0,0,31,0,-1,-1,436623,67085,-58.38,-58.38,-16.86,-19.73,-16.87,-11540.28,-11540.28,-711.82,-711.82,-726.19,300945835,0.0,27.25,29.72,0.34,0.0,0.0,7800,7944,439,583,0,0,0,7852,164,0,189,595,1116,745,127,471,962,1734,28,416,4513,54,4983,28.5143997718848,35.07,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hdll,4,3
15,synth_ram,synth_ram,config_SW_HDLL_Benchmark,flow_completed,0h26m8s,0h17m42s,47652.06637370027,0.44419200000000003,21443.42986816512,32,683.21,9525,0,0,0,0,0,0,0,10,0,-1,-1,445289,66508,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,291676900,0.0,22.03,21.23,0.8,0.45,0.0,9499,9585,2054,2140,0,0,0,9525,8,0,2,1,81,0,0,2080,2155,4160,7,478,5902,43,6423,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3
16,BM64,BM64,config_SW_HDLL_Benchmark,flow_completed,0h38m15s,0h22m26s,68757.84682988074,0.79296,12376.412429378533,19,761.74,9814,0,0,0,0,0,0,0,100,0,-1,-1,1206249,92412,-0.72,-0.72,0.0,-0.55,0.0,-4.61,-4.61,0.0,0.0,0.0,1085481097,0.0,29.56,35.3,1.03,0.56,0.0,9308,10329,785,1806,0,0,0,9814,26,0,12,341,2174,989,307,2573,1801,2580,34,642,10657,468,11767,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hdll,4,3
17,sha3,sha3,config_SW_HDLL_Benchmark,flow_completed,0h38m34s,0h23m50s,73190.84980031493,0.669912,25616.797430110226,41,846.69,17161,0,0,0,0,0,0,0,59,0,-1,-1,910488,138539,-7.63,-7.63,-7.31,-9.73,-7.09,-5326.56,-5326.56,-6672.09,-6672.09,-5763.11,686581152,0.0,32.04,36.22,0.4,1.35,0.0,17136,17205,3031,3100,0,0,0,17161,911,0,893,238,878,848,435,3088,3162,5251,23,590,9056,109,9755,50.505050505050505,19.8,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3
18,PPU,PPU,config_SW_HDLL_Benchmark,flow_completed,0h39m31s,0h20m16s,50369.12521645312,1.342092,10073.825043290624,16,821.18,13520,0,0,0,0,0,0,0,52,0,-1,-1,868220,96331,-25.23,-25.23,-3.36,-5.34,-4.26,-6335.97,-6335.97,-43.3,-43.3,-61.9,591027194,0.0,14.66,14.8,0.0,0.0,0.0,13461,13544,2898,2981,0,0,0,13520,53,8,55,72,460,113,21,2942,3193,6203,16,840,18353,120,19313,44.92362982929021,22.259999999999998,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hdll,4,3
19,des,des,config_SW_HDLL_Benchmark,flow_completed,0h39m44s,0h24m56s,65819.07782180754,0.74733,23036.67723763264,40,815.91,17216,0,0,0,0,0,0,0,98,0,-1,-1,845901,134662,0.0,0.0,-4.65,-7.11,-3.84,0.0,0.0,-305.23,-305.23,-194.23,683223550,0.0,28.54,28.13,1.15,0.82,0.0,17157,17338,1957,2138,0,0,0,17216,432,1984,48,448,12688,1792,256,768,1792,2496,12,622,10014,323,10959,41.946308724832214,23.84,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3
20,picorv32a,picorv32a,config_SW_HDLL_Benchmark,flow_completed,0h40m36s,0h25m31s,70119.44344682971,0.6905359999999999,24541.8052063904,37,850.27,16947,0,0,0,0,0,0,0,67,0,-1,-1,1075476,139471,-0.16,-0.16,-1.21,-4.45,-1.99,-0.16,-0.16,-4.38,-4.38,-9.65,866995035,0.0,36.69,36.13,6.34,0.49,0.0,16667,17049,1565,1947,0,0,0,16947,1159,227,896,560,2391,2462,615,1664,2132,4513,55,598,9327,232,10157,37.4251497005988,26.72,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hdll,4,3
21,genericfir,genericfir,config_SW_HDLL_Benchmark,flow_completed,0h40m39s,0h32m40s,72009.06430603444,0.40893,32404.078937715498,45,739.36,13251,0,0,0,0,0,0,0,8,0,-1,-1,411848,96997,0.0,0.0,-0.11,-1.45,-0.35,0.0,0.0,-2.32,-2.32,-6.9,254709948,0.0,25.89,24.64,0.12,0.0,0.0,16275,16327,6835,6887,0,0,0,13251,0,0,2001,3390,4008,3000,750,7560,3782,7560,6,458,5426,11,5895,96.61835748792271,10.35,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hdll,4,3
22,y_huff,y_huff,config_SW_HDLL_Benchmark,flow_completed,0h41m41s,0h28m35s,72331.90196899875,0.458304,28932.760787599498,46,817.08,13260,0,0,0,0,0,0,0,49,0,-1,-1,1027789,131546,-4.68,-4.68,-6.41,-8.24,-6.7,-6526.76,-6526.76,-7021.5,-7021.5,-7449.31,756014871,0.0,54.84,55.16,11.25,6.8,0.0,13292,13967,2383,3058,0,0,0,13260,237,0,146,384,1310,575,87,4679,4830,5504,16,486,6123,138,6747,55.40166204986149,18.05,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hdll,4,3
23,usbf_device,usbf_device,config_SW_HDLL_Benchmark,flow_completed,0h42m8s,0h25m46s,55366.78977008435,0.8217120000000001,24915.05539653796,34,872.36,20473,0,0,0,0,0,0,0,56,0,-1,-1,1028805,143111,0.0,0.0,0.0,-0.31,0.0,0.0,0.0,0.0,0.0,0.0,777273839,0.0,26.9,26.87,0.74,0.66,0.0,20448,20594,3775,3921,0,0,0,20473,675,0,362,157,4505,280,61,3692,4004,7815,13,654,11019,133,11806,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hdll,4,3
24,chacha,chacha,config_SW_HDLL_Benchmark,flow_completed,0h49m56s,0h30m56s,79357.94963932865,0.975126,19839.487409832163,31,932.55,19346,0,0,0,0,0,0,0,176,0,-1,-1,1729016,171352,-26.21,-26.21,-8.32,-12.17,-9.46,-9827.01,-9827.01,-1553.31,-1553.31,-1865.91,1396588291,0.0,37.76,40.57,7.11,3.78,0.0,19321,19390,2389,2458,0,0,0,19346,605,5,604,825,810,2011,971,3036,3035,5613,70,714,13101,294,14109,28.192839018889202,35.47,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3
25,ldpcenc,ldpcenc,config_SW_HDLL_Benchmark,flow_completed,0h50m36s,0h30m5s,73759.52013493412,1.074302,18439.88003373353,31,962.21,19810,0,0,0,0,0,0,0,93,0,-1,-1,1716871,183394,-80.29,-80.29,-16.92,-20.38,-17.2,-56529.54,-56529.54,-4955.14,-4955.14,-4732.44,1410742057,0.0,31.86,34.44,2.75,2.38,0.0,19791,19846,1527,1582,0,0,0,19810,103,94,990,79,2098,1958,84,1265,2487,2659,13,750,14512,577,15839,33.222591362126245,30.1,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3
26,aes_cipher,aes_cipher,config_SW_HDLL_Benchmark,flow_completed,0h53m20s,0h32m27s,62918.66944458115,1.097226,15729.667361145288,33,947.21,17259,0,0,0,0,0,0,0,107,0,-1,-1,1674689,171890,-7.35,-7.35,-4.44,-5.88,-5.26,-1480.33,-1480.33,-759.27,-759.27,-985.79,1471017688,0.0,31.01,34.36,1.89,1.52,0.0,17139,17520,411,792,0,0,0,17259,461,386,73,357,18246,848,301,148,659,1190,18,758,14857,846,16461,65.14657980456026,15.35,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3
27,blabla,blabla,config_SW_HDLL_Benchmark,flow_completed,0h56m37s,0h28m49s,83817.7043573278,1.315584,10896.301566452616,19,950.34,14335,0,0,0,0,0,0,0,264,0,-1,-1,2484936,146214,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2237428856,0.0,39.02,48.64,5.0,1.19,0.0,13834,15241,1105,2512,0,0,0,14335,654,5,575,813,507,2076,906,2190,2894,3159,67,830,17927,644,19401,15.384615384615385,65.0,65.0,AREA 0,5,13,1,153.6,153.18,0.18,0.1,sky130_fd_sc_hdll,4,3
28,aes_core,aes_core,config_SW_HDLL_Benchmark,flow_completed,1h3m19s,0h37m47s,60274.08957008069,1.4053799999999999,15068.522392520172,26,1053.68,21177,0,0,0,0,0,0,0,124,0,-1,-1,2201237,208929,-6.86,-6.86,-2.84,-5.99,-4.1,-19420.39,-19420.39,-1485.89,-1485.89,-3836.57,1790749269,0.0,31.41,32.88,3.24,1.41,0.0,21058,21567,2490,2999,0,0,0,21177,303,0,175,343,8961,2035,834,2717,3710,3952,19,858,19175,785,20818,39.40110323089046,25.380000000000003,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3
29,salsa20,salsa20,config_SW_HDLL_Benchmark,flow_completed,1h3m56s,0h37m57s,79726.94108169018,1.494476,15945.388216338037,24,1070.36,23830,0,0,0,0,0,0,0,212,0,-1,-1,2244910,212193,-15.09,-15.09,0.0,-0.64,0.0,-2434.17,-2434.17,0.0,0.0,0.0,1893829070,0.0,29.37,32.96,1.5,2.85,0.0,23805,23874,4073,4142,0,0,0,23830,518,5,615,755,1608,2268,769,7341,5004,8419,70,886,20245,598,21729,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3
30,sha512,sha512,config_SW_HDLL_Benchmark,flow_completed,1h4m34s,0h33m53s,62417.84850582089,1.6486310000000002,15604.462126455222,25,1123.58,25726,0,0,0,0,0,0,0,159,0,-1,-1,2339152,235582,-112.01,-112.01,0.0,-0.15,0.0,-127998.87,-127998.87,0.0,0.0,0.0,1700344637,0.0,28.63,39.25,1.31,2.06,0.0,25701,25770,5703,5772,0,0,0,25726,624,0,429,1853,4118,1682,1301,3667,6372,7289,51,932,22460,434,23826,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3
31,aes,aes,config_SW_HDLL_Benchmark,flow_completed,1h5m14s,0h35m36s,56745.024463819296,1.9571759999999998,11349.00489276386,20,1123.2,22212,0,0,0,0,0,0,0,105,0,-1,-1,1964324,202237,-7.41,-7.41,-2.6,-7.54,0.0,-27456.94,-27456.94,-141.36,-141.36,0.0,1529964784,0.0,20.41,22.11,0.77,0.28,0.0,22187,22256,3136,3205,0,0,0,22212,219,0,88,346,8956,2179,691,3103,4034,4478,19,1016,26771,270,28057,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3
32,aes128,aes128,config_SW_HDLL_Benchmark,flow_completed,1h35m12s,0h45m36s,82475.69443592192,2.444502,20618.92360898048,28,1564.17,50403,0,0,0,0,0,0,0,266,0,-1,-1,2763448,375728,-5.61,-5.61,-5.69,-7.0,-5.82,-6370.39,-6370.39,-6264.44,-6264.44,-6327.39,2358427338,0.0,27.52,25.56,3.01,0.04,0.0,50279,50660,10452,10833,0,0,0,50403,0,5568,65,1223,1198,17800,6545,800,6496,12304,34,1136,33341,2554,37031,57.971014492753625,17.25,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hdll,4,3
33,aes192,aes192,config_SW_HDLL_Benchmark,flow_completed,2h3m5s,0h49m44s,79539.12559175715,3.591,15907.825118351433,22,2222.52,57125,0,0,0,0,0,0,0,392,0,-1,-1,3615547,422198,-4.81,-4.81,-5.39,-7.36,-5.89,-6351.29,-6351.29,-6504.87,-6504.87,-7037.22,3154591713,0.0,25.38,23.11,0.82,0.0,0.0,57001,57446,13036,13481,0,0,0,57125,14,7968,55,1831,1158,19939,7390,684,7660,14657,32,1380,49472,2801,53653,54.7645125958379,18.259999999999998,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,4,3
34,des3,des3,config_SW_HDLL_Benchmark,flow_completed,2h15m29s,0h53m12s,66910.86304106982,4.291082,13382.172608213965,23,2519.46,57424,0,0,0,0,0,0,0,395,0,-1,-1,3275298,441461,-21.83,-21.83,-21.37,-24.51,-21.25,-33722.27,-33722.27,-18036.93,-18036.93,-18661.03,2668122139,0.0,17.63,20.19,0.14,0.05,0.0,57367,57658,8959,9250,0,0,0,57424,1344,8808,144,1344,38112,5376,768,2416,5376,10344,13,1510,59040,1305,61855,34.674063800277395,28.84,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hdll,4,3
35,point_add,point_add,config_SW_HDLL_Benchmark,flow_completed,2h19m51s,0h57m33s,78933.68167688716,3.141726,19733.42041922179,30,2088.29,61997,0,0,0,0,0,0,0,371,0,-1,-1,4877254,527306,-44.11,-44.11,-10.52,-13.82,-13.38,-99520.61,-99520.61,-11728.54,-11728.54,-18092.9,3983160227,0.0,37.07,41.13,4.39,3.21,0.0,61619,62777,6131,7289,0,0,0,61997,857,1149,640,3041,18519,579,3,6546,10796,18519,17,1290,43021,1661,45972,42.771599657827196,23.380000000000003,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hdll,4,3
36,aes256,aes256,config_SW_HDLL_Benchmark,flow_completed,2h21m38s,0h58m8s,80123.44200310824,3.1559220000000003,24037.03260093247,33,2134.52,75859,0,0,0,0,0,0,0,416,0,-1,-1,4188694,542840,-1.84,-1.84,-1.92,-3.33,-2.48,-1263.02,-1263.02,-1259.66,-1259.66,-1364.59,3586519480,0.0,24.88,35.48,0.7,2.35,0.0,75735,76244,17643,18152,0,0,0,75859,0,11072,77,1733,1656,24387,9321,1104,9232,20103,34,1294,43477,2674,47445,57.20823798627002,17.48,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hdll,4,3
37,point_scalar_mult,point_scalar_mult,config_SW_HDLL_Benchmark,flow_completed,2h28m2s,1h0m30s,78748.75707043539,3.435432,19687.189267608846,29,2221.5,67634,0,0,0,0,0,0,0,466,0,-1,-1,4898419,539778,-44.1,-44.1,-8.38,-11.37,-8.94,-109108.28,-109108.28,-13936.8,-13936.8,-19183.25,4068309731,0.0,31.28,33.62,2.64,0.0,0.0,67254,68176,7456,8378,0,0,0,67634,253,1149,788,3213,18054,582,0,8651,12661,21169,17,1350,47384,2743,51477,52.79831045406548,18.939999999999998,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hdll,4,3
38,jpeg_encoder,jpeg_encoder,config_SW_HDLL_Benchmark,flow_completed,2h55m20s,1h18m11s,77326.5108080614,4.26626,15465.30216161228,24,2570.96,65979,0,0,0,0,0,0,0,486,0,-1,-1,6360849,614801,-24.71,-24.71,-9.5,-18.52,-16.62,-44635.71,-44635.71,-2970.26,-2970.26,-6154.72,5089147897,0.0,27.27,31.16,3.92,2.57,0.0,65964,66000,4381,4417,0,0,0,65979,3839,1,2296,5188,7634,15175,5614,4439,6723,10455,40,1506,58884,1124,61514,30.8641975308642,32.4,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hdll,4,3
39,151,riscv_top,config_SW_HDLL_Benchmark,flow_completed,5h7m23s,1h27m46s,53528.461764775966,8.099691,13382.115441193992,20,4582.41,108391,0,0,0,0,0,0,0,462,0,-1,-1,7797806,784667,-256.71,-256.71,-4.7,-13.29,-4.51,-4460414.0,-4460414.0,-11907.09,-11907.09,-10559.66,5680004802,0.0,20.58,19.78,1.24,0.05,0.03,108194,108529,23324,23659,0,0,0,108391,109,2216,286,1088,3839,426,120,20245,24812,47704,20,2080,112009,2798,116887,18.3452577508714,54.51,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3
40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HDLL_Benchmark,flow_failed,8h47m28s,-1,-941910000000.0,-1e-06,-94191000000.0,23,-1.0,94191,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,36949483489,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,92148,96242,2054,6148,0,0,0,94191,0,0,32,0,6519,19649,4544,2048,4098,6146,25,2514,163662,0,166176,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hdll,4,3
41,sound,sound,config_SW_HDLL_Benchmark,flow_completed,10h47m8s,2h1m10s,68255.90934324119,12.759159,17063.977335810298,27,7018.92,217722,0,0,0,0,0,0,0,605,0,-1,-1,12569252,1839178,-10.59,-10.59,0.0,0.0,0.0,-129970.02,-129970.02,0.0,0.0,0.0,9186909932,0.0,21.01,21.05,0.4,0.04,0.0,217687,217804,217687,217804,0,0,0,217722,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,30,2614,177359,1796,181769,7.308338814587444,136.83,136.83,AREA 0,9,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hdll,4,3