blob: 3a58a87b31b47618228caeb0b05465a656f570c3 [file] [log] [blame]
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,inverter,inverter,config_SW_HD_Benchmark,flow_completed,0h1m39s,0h1m19s,1089.3246187363834,0.001836,544.6623093681917,2,383.95,1,0,0,0,0,0,0,0,0,0,0,-1,74,10,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,0.74,1.39,0.0,0.0,0.0,2,2,2,2,0,0,0,1,0,0,0,0,0,0,0,0,1,1,1,24,7,0,31,100.0,10.0,10.0,AREA 0,5,50,1,153.6,153.18,0.75,0.0,sky130_fd_sc_hd,4,3
1,manual_macro_placement_test,manual_macro_placement_test,config_SW_HD_Benchmark,flow_completed,0h2m31s,0h1m47s,56.8789388665165,0.100464,19.907628603280774,0,418.32,2,0,0,0,0,0,0,0,10,0,-1,-1,21631,544,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,4.4,4.74,2.55,4.21,2.35,10,72,10,72,0,0,0,2,0,0,0,0,0,0,0,0,-1,-1,-1,220,673,0,893,10.0,100.0,100.0,AREA 0,5,35,1,30.0,153.18,0.35,0.0,sky130_fd_sc_hd,0,0
2,digital_pll_sky130_fd_sc_hd,digital_pll,config_SW_HD_Benchmark,flow_completed,0h3m5s,0h2m41s,71071.06244777354,0.009476,34824.82059940903,51,436.93,330,0,0,0,0,0,0,0,1,0,0,-1,10237,2522,-4.49,-4.49,0.0,0.0,0.0,-8.49,-8.49,0.0,0.0,0.0,5855971,0.0,24.87,23.35,0.56,0.0,0.0,310,345,134,169,0,0,0,330,5,0,16,11,323,19,12,20,34,76,22,58,91,1,150,63.291139240506325,15.8,15.8,AREA 0,6,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hd,4,3
3,s44,lut_s44,config_SW_HD_Benchmark,flow_completed,0h5m28s,0h5m1s,59600.6144393241,0.03255,2980.030721966205,5,414.86,97,0,0,0,0,0,0,0,1,0,0,-1,5581,949,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4595182,0.0,3.37,4.07,0.0,0.0,0.0,94,114,38,58,0,0,0,97,0,0,0,0,0,0,0,32,41,32,4,120,372,0,492,33.333333333333336,30.0,30.0,AREA 0,5,5,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3
4,spm,spm,config_SW_HD_Benchmark,flow_completed,0h5m35s,0h5m8s,59656.619084949314,0.009476,29231.743351625162,51,422.94,277,0,0,0,0,0,0,0,0,0,0,-1,6698,2084,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4345922,0.0,16.51,17.85,0.0,0.0,0.0,281,312,39,70,0,0,0,277,32,0,31,31,1,63,31,0,97,128,4,60,94,0,154,100.0,10.0,10.0,AREA 0,5,49,1,153.6,153.18,0.54,0.0,sky130_fd_sc_hd,4,3
5,usb,usb,config_SW_HD_Benchmark,flow_completed,0h7m4s,0h6m13s,70841.55161078238,0.03042,28336.620644312956,41,479.9,862,0,0,0,0,0,0,0,0,0,0,-1,26194,6777,-0.13,-0.13,0.0,0.0,0.0,-0.13,-0.13,0.0,0.0,0.0,16935276,0.0,19.47,20.14,0.0,0.0,0.0,861,885,200,224,0,0,0,862,22,8,43,40,206,49,6,176,242,251,12,116,330,0,446,79.6812749003984,12.55,12.55,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hd,4,3
6,cic_decimator,cic_decimator,config_SW_HD_Benchmark,flow_completed,0h7m39s,0h6m49s,85749.2430840695,0.021432,38587.15938783128,45,482.44,827,0,0,0,0,0,0,0,0,0,0,-1,24930,6484,-0.09,-0.09,0.0,0.0,0.0,-0.09,-0.09,0.0,0.0,0.0,16717654,0.0,28.08,24.93,0.0,0.0,0.0,816,849,119,152,0,0,0,827,15,0,21,51,90,92,51,220,131,112,16,96,225,0,321,100.0,10.0,10.0,AREA 0,6,45,1,15.0,15.0,0.5,0.0,sky130_fd_sc_hd,4,3
7,zipdiv,zipdiv,config_SW_HD_Benchmark,flow_completed,0h7m52s,0h6m46s,84946.23655913977,0.03255,33978.49462365591,42,507.94,1106,0,0,0,0,0,0,0,1,0,0,-1,40784,9134,-1.86,-1.86,0.0,0.0,0.0,-8.06,-8.06,0.0,0.0,0.0,30272301,0.0,33.42,32.73,1.87,0.0,0.0,1078,1174,118,214,0,0,0,1106,46,0,3,43,165,146,14,241,273,273,30,120,372,4,496,52.38344683080147,19.09,19.09,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,4,3
8,usb_cdc_core,usb_cdc_core,config_SW_HD_Benchmark,flow_completed,0h10m32s,0h8m28s,89258.41101210142,0.051959000000000005,40166.28495544564,45,561.83,2087,0,0,0,0,0,0,0,2,0,0,-1,68654,15752,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,45658946,0.0,29.43,29.36,0.57,0.0,0.0,2083,2114,314,345,0,0,0,2087,99,0,110,89,676,130,41,252,365,683,20,154,630,1,785,64.1025641025641,15.6,15.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3
9,xtea,xtea,config_SW_HD_Benchmark,flow_completed,0h12m43s,0h10m10s,96573.42336135224,0.058055999999999996,43458.04051260851,47,586.82,2523,0,0,0,0,0,0,0,19,0,0,-1,125690,22256,-3.65,-3.65,-0.54,-2.17,-0.9,-26.13,-26.13,-0.93,-0.93,-2.75,90862040,0.0,52.18,54.05,6.88,7.78,0.0,2467,2718,123,374,0,0,0,2523,16,0,54,226,390,436,121,174,468,615,28,164,712,29,905,37.13330857779428,26.93,26.03,AREA 0,6,45,1,153.6,153.18,0.5,0.15,sky130_fd_sc_hd,4,3
10,APU,APU,config_SW_HD_Benchmark,flow_completed,0h13m36s,0h10m2s,84799.788962288,0.103976,29679.9261368008,37,568.47,3086,0,0,0,0,0,0,0,3,0,0,-1,123845,26118,-3.15,-3.15,0.0,-0.79,0.0,-47.86,-47.86,0.0,0.0,0.0,82939701,0.0,25.92,25.87,0.0,0.0,0.0,3059,3118,380,439,0,0,0,3086,86,28,103,170,344,251,52,709,683,867,29,224,1309,14,1547,58.8235294117647,17.0,17.0,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3
11,wbqspiflash,wbqspiflash,config_SW_HD_Benchmark,flow_completed,0h15m16s,0h12m41s,90644.01622718052,0.070992,36257.60649087221,42,559.17,2574,0,0,0,0,0,0,0,5,0,0,-1,115295,21643,-5.51,-5.51,-1.99,-3.71,-3.19,-10.92,-10.92,-1.99,-1.99,-3.61,83439425,0.0,36.26,35.26,0.95,1.49,0.0,2547,2635,264,352,0,0,0,2574,55,1,147,155,796,79,6,376,656,885,19,184,846,14,1044,45.35147392290249,22.05,18.86,AREA 0,6,40,1,153.6,153.18,0.45,0.0,sky130_fd_sc_hd,4,3
12,synth_ram,synth_ram,config_SW_HD_Benchmark,flow_completed,0h26m7s,0h16m48s,67575.69348544603,0.214344,30409.062068450712,45,665.52,6518,0,0,0,0,0,0,0,21,0,0,-1,333483,60794,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,219109921,0.0,30.33,35.19,1.96,0.01,0.0,6492,6578,2054,2140,0,0,0,6518,8,0,2,1,81,0,0,2080,2155,2080,8,328,2739,45,3112,20.0,50.0,50.0,AREA 0,5,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3
13,ocs_blitter,ocs_blitter,config_SW_HD_Benchmark,flow_completed,0h26m14s,0h18m19s,87644.91422852509,0.231812,30675.71997998378,37,654.26,7111,0,0,0,0,0,0,0,25,0,0,-1,351244,63164,-33.5,-33.5,-12.68,-14.69,-13.44,-4571.92,-4571.92,-409.21,-409.21,-444.11,240666100,0.0,31.26,33.95,0.99,0.83,0.0,7059,7203,439,583,0,0,0,7111,164,0,189,595,1116,745,127,471,962,1734,29,342,3025,92,3459,31.605562579013906,31.64,18.2,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3
14,md5,md5,config_SW_HD_Benchmark,flow_completed,0h30m2s,0h20m45s,88105.7268722467,0.201122,30837.00440528634,37,647.73,6202,0,0,0,0,0,0,0,18,0,0,-1,348946,55166,-6.19,-6.19,0.0,0.0,0.0,-117.94,-117.94,0.0,0.0,0.0,261756077,0.0,36.14,36.16,3.27,2.47,0.0,6080,6334,1175,1429,0,0,0,6202,80,0,137,240,1477,396,213,650,1208,1399,43,318,2576,127,3021,26.990553306342782,37.05,37.05,AREA 0,6,35,1,153.6,153.18,0.4,0.0,sky130_fd_sc_hd,4,3
15,PPU,PPU,config_SW_HD_Benchmark,flow_completed,0h38m59s,0h23m13s,70032.01548656094,0.67155,14006.403097312188,20,748.29,9406,0,0,0,0,0,0,0,55,0,0,-1,658021,89403,-9.19,-9.19,-1.97,-3.69,-2.41,-1332.77,-1332.77,-16.95,-16.95,-21.25,429708916,0.0,22.15,21.6,0.21,0.03,0.0,9347,9430,2898,2981,0,0,0,9406,53,8,55,72,460,113,21,2942,3193,3308,16,590,9056,216,9862,48.99559039686428,20.41,18.0,AREA 0,8,20,1,153.6,153.18,0.25,0.05,sky130_fd_sc_hd,4,3
16,des,des,config_SW_HD_Benchmark,flow_completed,0h44m17s,0h27m38s,91791.09304790077,0.47262600000000005,32126.882566765264,37,812.27,15184,0,0,0,0,0,0,0,84,0,0,-1,694806,127332,0.0,0.0,0.0,-0.68,0.0,0.0,0.0,0.0,0.0,0.0,548942493,0.0,35.97,35.21,2.18,1.79,0.0,15125,15306,1957,2138,0,0,0,15184,432,1984,48,448,12688,1792,256,768,1792,512,12,492,6322,427,7241,50.0,20.0,20.0,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3
17,genericfir,genericfir,config_SW_HD_Benchmark,flow_completed,0h44m54s,0h35m19s,68734.43047267525,0.306332,30930.49371270386,46,711.79,9475,0,0,0,0,0,0,0,8,0,0,-1,356182,84654,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,216988395,0.0,28.8,28.52,0.1,0.12,0.0,12499,12551,6835,6887,0,0,0,9475,0,0,2001,3390,4008,3000,750,7560,3782,3780,6,394,3980,16,4390,100.0,10.0,10.0,AREA 0,7,45,1,153.6,153.18,0.5,0.1,sky130_fd_sc_hd,4,3
18,BM64,BM64,config_SW_HD_Benchmark,flow_completed,0h45m57s,0h27m26s,93419.19912137334,0.5119100000000001,16815.4558418472,20,747.96,8608,0,0,0,0,0,0,0,143,0,0,-1,946689,89065,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,841797806,0.0,35.34,41.88,2.61,0.6,0.0,8102,9123,785,1806,0,0,0,8608,26,0,12,341,2174,989,307,2573,1801,1293,37,514,6861,519,7894,50.0,20.0,20.0,AREA 0,6,18,1,153.6,153.18,0.23,0.0,sky130_fd_sc_hd,4,3
19,sha3,sha3,config_SW_HD_Benchmark,flow_completed,0h51m56s,0h34m22s,86988.79840061154,0.46646400000000005,30446.07944021404,34,822.65,14202,0,0,0,0,0,0,0,63,0,0,-1,786047,127999,-3.63,-3.63,-1.77,-3.82,-1.47,-2024.08,-2024.08,-287.4,-287.4,-117.36,542133676,0.0,36.86,41.14,2.54,2.66,0.0,14177,14246,3031,3100,0,0,0,14202,911,0,893,238,878,848,435,3088,3162,3709,21,490,6173,91,6754,70.5218617771509,14.180000000000001,12.71,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3
20,y_huff,y_huff,config_SW_HD_Benchmark,flow_completed,0h52m2s,0h38m4s,82424.00923432091,0.324875,32969.603693728364,43,808.24,10711,0,0,0,0,0,0,0,196,0,0,-1,898142,121091,-2.43,-2.43,-4.65,-7.36,-2.86,-2948.28,-2948.28,-1558.71,-1558.71,-622.06,620568195,0.0,55.05,61.41,20.6,27.05,0.0,10743,11418,2383,3058,0,0,0,10711,237,0,146,384,1310,575,87,4679,4830,3153,18,406,4303,469,5178,70.37297677691767,14.209999999999999,11.35,AREA 0,6,40,1,153.6,153.18,0.45,0.15,sky130_fd_sc_hd,4,3
21,picorv32a,picorv32a,config_SW_HD_Benchmark,flow_completed,0h55m21s,0h36m53s,95973.57436403637,0.44286000000000003,33590.75102741273,36,826.8,14876,0,0,0,0,0,0,0,154,0,0,-1,966049,138230,-24.89,-24.89,-13.65,-16.57,-14.94,-759.46,-759.46,-332.55,-332.55,-372.22,733911173,0.0,45.06,44.88,11.76,7.11,0.0,14596,14978,1565,1947,0,0,0,14876,1159,227,896,560,2391,2462,615,1664,2132,2900,72,476,5878,466,6820,25.207965717166623,39.67,24.73,AREA 0,6,35,1,153.6,153.18,0.4,0.1,sky130_fd_sc_hd,4,3
22,usbf_device,usbf_device,config_SW_HD_Benchmark,flow_completed,0h59m58s,0h42m58s,95940.40000433139,0.430962,43173.18000194913,45,875.01,18606,0,0,0,0,0,0,0,45,0,0,-1,815607,151815,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,561580637,0.0,41.41,37.67,4.41,1.56,0.0,18581,18727,3775,3921,0,0,0,18606,675,0,362,157,4505,280,61,3692,4004,4615,13,470,5686,140,6296,68.4931506849315,14.6,14.6,AREA 0,6,45,1,153.6,153.18,0.5,0.0,sky130_fd_sc_hd,4,3
23,chacha,chacha,config_SW_HD_Benchmark,flow_completed,1h3m47s,0h44m58s,97627.13859099403,0.67977,24406.784647748507,26,892.07,16591,0,0,0,0,0,0,0,245,0,0,-1,1425054,158788,-20.47,-20.47,-3.25,-7.36,-4.71,-7439.91,-7439.91,-355.66,-355.66,-557.29,1111475850,0.0,40.0,46.02,8.55,8.88,0.0,16566,16635,2389,2458,0,0,0,16591,605,5,604,825,810,2011,971,3036,3035,3673,60,594,9117,629,10340,32.55208333333333,30.720000000000002,26.01,AREA 0,6,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3
24,ldpcenc,ldpcenc,config_SW_HD_Benchmark,flow_completed,1h8m50s,0h47m57s,86617.65355885256,0.814476,21654.41338971314,26,945.47,17637,0,0,0,0,0,0,0,286,0,0,-1,1570885,181212,-23.66,-23.66,-2.82,-5.72,0.0,-15964.85,-15964.85,-620.31,-620.31,0.0,1297612011,0.0,36.21,40.09,5.17,5.26,0.0,17618,17673,1527,1582,0,0,0,17637,103,94,990,79,2098,1958,84,1265,2487,2565,13,650,10952,1066,12668,77.51937984496124,12.9,12.9,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3
25,aes_cipher,aes_cipher,config_SW_HD_Benchmark,flow_completed,1h9m6s,0h55m27s,116509.43207745449,0.500526,29127.358019363623,28,858.09,14579,0,0,0,0,0,0,0,109,0,0,-1,1097710,145973,-2.12,-2.12,-0.1,-1.2,-0.73,-122.9,-122.9,-0.23,-0.23,-12.1,921209003,0.0,40.9,44.72,6.55,6.51,0.0,14459,14840,411,792,0,0,0,14579,461,386,73,357,18246,848,301,148,659,660,18,508,6654,935,8097,92.42144177449168,10.82,10.09,AREA 0,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3
26,blabla,blabla,config_SW_HD_Benchmark,flow_completed,1h9m47s,0h34m31s,104444.474594142,1.1256959999999998,11488.89220535562,14,894.43,12933,0,0,0,0,0,0,0,391,0,0,-1,2346631,139770,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,2071365234,0.0,40.54,50.74,6.44,5.43,0.0,12432,13839,1105,2512,0,0,0,12933,654,5,575,813,507,2076,906,2190,2894,2059,58,768,15245,1546,17559,15.384615384615385,65.0,65.0,AREA 0,5,11,1,153.6,153.18,0.16,0.1,sky130_fd_sc_hd,4,3
27,aes,aes,config_SW_HD_Benchmark,flow_completed,1h16m8s,0h52m36s,91164.13861141942,1.13526,18232.827722283884,21,1014.56,20699,0,0,0,0,0,0,0,153,0,0,-1,1575813,193809,-2.0,-2.0,0.0,0.0,0.0,-5454.14,-5454.14,0.0,0.0,0.0,1196363692,0.0,28.81,29.07,1.13,0.88,0.0,20674,20743,3136,3205,0,0,0,20699,219,0,88,346,8956,2179,691,3103,4034,4222,20,770,15284,585,16639,38.610038610038615,25.9,25.9,AREA 0,8,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3
28,salsa20,salsa20,config_SW_HD_Benchmark,flow_completed,1h22m21s,0h58m49s,90581.50183150184,1.092,18116.30036630037,22,1010.73,19783,0,0,0,0,0,0,0,402,0,0,-1,1971245,201286,-8.14,-8.14,0.0,0.0,0.0,-747.25,-747.25,0.0,0.0,0.0,1623499578,0.0,32.38,38.39,4.74,2.96,0.0,19758,19827,4073,4142,0,0,0,19783,518,5,615,755,1608,2268,769,7341,5004,4742,61,756,14818,835,16409,27.225701061802344,36.73,36.73,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3
29,aes_core,aes_core,config_SW_HD_Benchmark,flow_completed,1h24m51s,1h3m9s,96082.08512918261,0.843612,24020.521282295653,27,1003.32,20264,0,0,0,0,0,0,0,176,0,0,-1,1794903,205745,-1.3,-1.3,0.0,0.0,0.0,-3079.71,-3079.71,0.0,0.0,0.0,1445312835,0.0,41.14,42.75,7.62,1.83,0.0,20145,20654,2490,2999,0,0,0,20264,303,0,175,343,8961,2035,834,2717,3710,3696,19,662,11320,1093,13075,46.992481203007515,21.28,21.28,AREA 0,6,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3
30,sha512,sha512,config_SW_HD_Benchmark,flow_completed,1h26m10s,0h58m28s,88922.06994207404,1.1278190000000001,22230.51748551851,26,1118.28,25072,0,0,0,0,0,0,0,287,0,0,-1,2149091,252330,-25.33,-25.33,0.0,0.0,0.0,-25652.12,-25652.12,0.0,0.0,0.0,1511892178,0.0,33.98,47.9,3.46,6.32,0.0,25047,25116,5703,5772,0,0,0,25072,624,0,429,1853,4118,1682,1301,3667,6372,7289,44,768,15245,596,16609,25.464731347084285,39.27,39.27,AREA 0,10,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3
31,aes128,aes128,config_SW_HD_Benchmark,flow_completed,1h44m9s,1h0m54s,97350.40931495882,1.84821,24337.602328739704,25,1404.88,44981,0,0,0,0,0,0,0,268,0,0,-1,2415004,362906,-3.98,-3.98,-4.33,-5.8,-4.73,-3912.1,-3912.1,-4142.77,-4142.77,-4395.04,2047468727,0.0,30.26,29.48,5.22,0.04,0.0,44857,45238,10452,10833,0,0,0,44981,0,5568,65,1223,1198,17800,6545,800,6496,6736,32,986,25241,2934,29161,61.88118811881188,16.16,11.43,AREA 0,7,25,1,153.6,153.18,0.3,0.1,sky130_fd_sc_hd,4,3
32,des3,des3,config_SW_HD_Benchmark,flow_completed,2h3m7s,1h8m25s,88665.06562723612,2.7307259999999998,17733.013125447225,21,1728.34,48424,0,0,0,0,0,0,0,370,0,0,-1,2576662,408579,-15.64,-15.64,-12.75,-15.41,-13.02,-23792.2,-23792.2,-11662.8,-11662.8,-11862.81,2002372144,0.0,20.73,23.51,1.64,1.38,0.0,48367,48658,8959,9250,0,0,0,48424,1344,8808,144,1344,38112,5376,768,2416,5376,1536,12,1202,37382,2245,40829,48.5201358563804,20.61,7.59,AREA 0,7,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,4,3
33,aes192,aes192,config_SW_HD_Benchmark,flow_completed,2h3m55s,1h5m39s,92108.95856966764,2.7274220000000002,18421.79171393353,20,1682.87,50244,0,0,0,0,0,0,0,392,0,0,-1,3127590,405919,-3.51,-3.51,-3.83,-5.25,-4.18,-4114.38,-4114.38,-3841.14,-3841.14,-4224.96,2703601053,0.0,25.52,28.07,2.69,0.3,0.0,50120,50565,13036,13481,0,0,0,50244,14,7968,55,1831,1158,19939,7390,684,7660,6689,36,1202,37382,3206,41790,60.42296072507554,16.549999999999997,12.37,AREA 0,9,20,1,153.6,153.18,0.25,0.1,sky130_fd_sc_hd,4,3
34,aes256,aes256,config_SW_HD_Benchmark,flow_completed,2h20m29s,1h15m7s,91778.95687801404,2.35773,27533.68706340421,30,1752.91,64917,0,0,0,0,0,0,0,466,0,0,-1,3664353,516992,0.0,0.0,-0.31,-2.01,-0.82,0.0,0.0,-14.34,-14.34,-53.88,3112794441,0.0,28.97,40.42,1.06,2.26,0.0,64793,65302,17643,18152,0,0,0,64917,0,11072,77,1733,1656,24387,9321,1104,9232,9031,32,1116,32196,3776,37088,63.21112515802781,15.82,15.0,AREA 0,9,30,1,153.6,153.18,0.35,0.05,sky130_fd_sc_hd,4,3
35,point_add,point_add,config_SW_HD_Benchmark,flow_completed,2h26m30s,1h29m2s,105428.0961801557,2.03202,26357.024045038925,26,1685.63,53558,0,0,0,0,0,0,0,517,0,0,-1,4212562,517367,-32.02,-32.02,-5.19,-7.84,-7.02,-65089.45,-65089.45,-1312.35,-1312.35,-4762.78,3068587526,0.0,39.79,43.97,15.63,20.14,0.0,53180,54338,6131,7289,0,0,0,53558,857,1149,640,3041,18519,579,3,6546,10796,12400,18,1036,27816,6552,35404,58.75440658049354,17.02,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.15,sky130_fd_sc_hd,4,3
36,point_scalar_mult,point_scalar_mult,config_SW_HD_Benchmark,flow_completed,2h29m51s,1h28m19s,103072.6351022115,2.257476,25768.158775552874,26,1728.67,58171,0,0,0,0,0,0,0,552,0,0,-1,4078523,522357,-32.02,-32.02,-6.11,-8.8,-5.21,-68945.45,-68945.45,-5481.93,-5481.93,-4761.83,3302617755,0.0,36.81,40.73,5.52,1.21,0.0,57791,58713,7456,8378,0,0,0,58171,253,1149,788,3213,18054,582,0,8651,12661,13726,17,1092,30958,5058,37108,65.74621959237344,15.21,10.0,AREA 0,5,25,1,153.6,153.18,0.3,0.05,sky130_fd_sc_hd,4,3
37,jpeg_encoder,jpeg_encoder,config_SW_HD_Benchmark,flow_completed,2h51m25s,1h46m21s,102853.31262482454,2.8510020000000003,20570.662524964908,21,1828.09,58647,0,0,0,0,0,0,0,915,0,0,-1,4589927,543242,-16.95,-16.95,-0.75,-4.77,-3.16,-23777.33,-23777.33,-8.95,-8.95,-340.21,3786392644,0.0,30.01,32.92,5.35,3.59,0.0,58632,58668,4381,4417,0,0,0,58647,3839,1,2296,5188,7634,15175,5614,4439,6723,6061,39,1230,39175,3056,43461,52.79831045406548,18.939999999999998,15.78,AREA 0,6,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3
38,y_dct,y_dct,config_SW_HD_Benchmark,flow_completed,3h16m45s,1h28m59s,89170.44352686108,4.405776,17834.088705372218,23,2746.59,78573,0,0,0,0,0,0,0,367,0,0,-1,5639856,573185,-0.13,-0.13,-0.63,-4.19,-2.35,-0.3,-0.3,-2.35,-2.35,-86.44,5002767725,0.0,25.92,28.6,1.83,0.48,0.0,101044,101691,4703,5350,0,0,0,78573,3238,72,1227,3318,3941,3531,161,10172,31779,27586,21,1530,60589,3271,65390,43.72540445999125,22.87,20.52,AREA 0,5,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3
39,151,riscv_top,config_SW_HD_Benchmark,flow_completed,3h22m59s,1h44m32s,70475.45031292013,4.14323,17618.862578230033,26,2672.64,72999,0,0,0,0,0,0,0,728,0,0,-1,5563267,644915,-105.58,-105.58,0.0,-1.38,0.0,-1543243.25,-1543243.25,0.0,0.0,0.0,3984826472,0.0,26.06,29.51,0.57,2.42,0.0,72802,73137,23324,23659,0,0,0,72999,109,2216,286,1088,3839,426,120,20245,24812,25565,21,1484,56912,2142,60538,20.0,50.0,50.0,DELAY 1,5,25,1,153.6,153.18,0.3,0.0,sky130_fd_sc_hd,4,3
40,ldpc_decoder_802_3an,ldpc_decoder_802_3an,config_SW_HD_Benchmark,flow_failed,5h59m10s,-1,-902110000000.0,-1e-06,-90211000000.0,17,-1.0,90211,-1,-1,-1,-1,-1,-1,-1,-1,0,-1,-1,-1,-1,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,27588874469,-1.0,-1.0,-1.0,-1.0,-1.0,-1.0,88168,92262,2054,6148,0,0,0,90211,0,0,32,0,6519,19649,4544,2048,4098,6146,26,2106,114987,0,117093,12.987012987012987,77.0,77.0,DELAY 0,6,10,1,153.6,153.18,0.15,0.0,sky130_fd_sc_hd,4,3
41,sound,sound,config_SW_HD_Benchmark,flow_completed,9h30m5s,2h45m45s,95249.57190255319,10.66346,19049.91438051064,20,6296.64,203138,0,0,0,0,0,0,0,803,0,0,-1,10904125,1757298,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,7986888128,0.0,20.88,21.88,0.47,0.07,0.0,203103,203220,203103,203220,0,0,0,203138,11691,1896,10906,21307,46996,25037,13741,15776,1102,1650,29,2388,147698,2508,152594,7.308338814587444,136.83,136.83,AREA 0,9,20,1,153.6,153.18,0.25,0.0,sky130_fd_sc_hd,4,3
42,gcd,gcd,UNKNOWN,flow completed,0h1m51s0ms,0h1m28s0ms,-2.0,0.0784251948,-1,5.11,732.7,-1,0,0,0,0,0,0,0,1,0,0,-1,17778,2526,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,14671323.0,0.0,6.1,7.5,0.74,0.43,0.0,347,1106,140,899,0,0,0,293,6,3,2,6,41,25,6,32,70,52,12,190,970,0,1160,100.0,10.0,10,DELAY 4,5,50,1,27.14,27.2,0.55,0.3,sky130_fd_sc_hd,4,3
43,caravel_upw,user_project_wrapper,UNKNOWN,flow completed,0h5m16s0ms,0h2m8s0ms,-2.0,-1,-1,-1,476.77,1,0,0,0,0,0,0,0,0,0,-1,-1,1384300,1975,0.0,-1,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,-1,0.0,1.93,6.55,0.45,0.34,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,4,0