blob: 4d778ceb24312871a82df38a2643b0da6b734c16 [file] [log] [blame]
set_units -time ns
create_clock [get_ports clk] -name core_clock -period 10