blob: 37238dd14f97e84a36768353bb376e8c7cd52d7e [file] [log] [blame]
#! /usr/bin/vvp
:ivl_version "10.3 (stable)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x564810308110 .scope module, "tb_count_monitor" "tb_count_monitor" 2 3;
.timescale -9 -9;
v0x564810324030_0 .var "clk", 0 0;
v0x5648103240f0_0 .net "comp_en", 0 0, v0x5648103232e0_0; 1 drivers
v0x564810324190_0 .net "d1", 0 0, v0x5648103233a0_0; 1 drivers
v0x564810324230_0 .net "d1b", 0 0, L_0x564810324c20; 1 drivers
v0x5648103242d0_0 .net "d2", 0 0, v0x564810323500_0; 1 drivers
v0x564810324370_0 .net "d2b", 0 0, L_0x564810324ce0; 1 drivers
v0x564810324440_0 .net "d3", 0 0, v0x5648103236d0_0; 1 drivers
v0x564810324510_0 .net "d3b", 0 0, L_0x564810324df0; 1 drivers
v0x5648103245e0_0 .net "d4", 0 0, v0x564810323850_0; 1 drivers
v0x564810324740_0 .net "d4b", 0 0, L_0x564810324f00; 1 drivers
v0x564810324810_0 .net "d5", 0 0, v0x5648103239d0_0; 1 drivers
v0x5648103248e0_0 .net "d5b", 0 0, L_0x564810325010; 1 drivers
v0x5648103249b0_0 .net "d6", 0 0, v0x564810323b50_0; 1 drivers
o0x7ff084c4a288 .functor BUFZ 1, C4<z>; HiZ drive
v0x564810324a80_0 .net "d6b", 0 0, o0x7ff084c4a288; 0 drivers
v0x564810324b50_0 .net "sample", 0 0, v0x564810323cd0_0; 1 drivers
S_0x564810308290 .scope module, "ccc" "count_monitor" 2 8, 3 2 0, S_0x564810308110;
.timescale 0 0;
.port_info 0 /INPUT 1 "clk"
.port_info 1 /OUTPUT 1 "comp_en"
.port_info 2 /OUTPUT 1 "sample"
.port_info 3 /OUTPUT 1 "d1"
.port_info 4 /OUTPUT 1 "d1b"
.port_info 5 /OUTPUT 1 "d2"
.port_info 6 /OUTPUT 1 "d2b"
.port_info 7 /OUTPUT 1 "d3"
.port_info 8 /OUTPUT 1 "d3b"
.port_info 9 /OUTPUT 1 "d4"
.port_info 10 /OUTPUT 1 "d4b"
.port_info 11 /OUTPUT 1 "d5"
.port_info 12 /OUTPUT 1 "d5b"
.port_info 13 /OUTPUT 1 "d6"
.port_info 14 /OUTPUT 1 "d6b"
L_0x564810324c20 .functor NOT 1, v0x5648103233a0_0, C4<0>, C4<0>, C4<0>;
L_0x564810324ce0 .functor NOT 1, v0x564810323500_0, C4<0>, C4<0>, C4<0>;
L_0x564810324df0 .functor NOT 1, v0x5648103236d0_0, C4<0>, C4<0>, C4<0>;
L_0x564810324f00 .functor NOT 1, v0x564810323850_0, C4<0>, C4<0>, C4<0>;
L_0x564810325010 .functor NOT 1, v0x5648103239d0_0, C4<0>, C4<0>, C4<0>;
v0x5648102c71a0_0 .net "clk", 0 0, v0x564810324030_0; 1 drivers
v0x5648103232e0_0 .var "comp_en", 0 0;
v0x5648103233a0_0 .var "d1", 0 0;
v0x564810323440_0 .net "d1b", 0 0, L_0x564810324c20; alias, 1 drivers
v0x564810323500_0 .var "d2", 0 0;
v0x564810323610_0 .net "d2b", 0 0, L_0x564810324ce0; alias, 1 drivers
v0x5648103236d0_0 .var "d3", 0 0;
v0x564810323790_0 .net "d3b", 0 0, L_0x564810324df0; alias, 1 drivers
v0x564810323850_0 .var "d4", 0 0;
v0x564810323910_0 .net "d4b", 0 0, L_0x564810324f00; alias, 1 drivers
v0x5648103239d0_0 .var "d5", 0 0;
v0x564810323a90_0 .net "d5b", 0 0, L_0x564810325010; alias, 1 drivers
v0x564810323b50_0 .var "d6", 0 0;
v0x564810323c10_0 .net "d6b", 0 0, o0x7ff084c4a288; alias, 0 drivers
v0x564810323cd0_0 .var "sample", 0 0;
v0x564810323d90_0 .var "sar_counter", 0 0;
E_0x5648102fd7c0 .event posedge, v0x5648102c71a0_0;
.scope S_0x564810308290;
T_0 ;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%end;
.thread T_0;
.scope S_0x564810308290;
T_1 ;
%wait E_0x5648102fd7c0;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 7, 0, 32;
%jmp/0xz T_1.0, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x5648103232e0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323cd0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.1;
T_1.0 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 6, 0, 32;
%jmp/0xz T_1.2, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103232e0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.3;
T_1.2 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 5, 0, 32;
%jmp/0xz T_1.4, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.5;
T_1.4 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 4, 0, 32;
%jmp/0xz T_1.6, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.7;
T_1.6 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 3, 0, 32;
%jmp/0xz T_1.8, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.9;
T_1.8 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 2, 0, 32;
%jmp/0xz T_1.10, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.11;
T_1.10 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 1, 0, 32;
%jmp/0xz T_1.12, 4;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%load/vec4 v0x564810323d90_0;
%pad/u 2;
%subi 1, 0, 2;
%pad/u 1;
%store/vec4 v0x564810323d90_0, 0, 1;
%jmp T_1.13;
T_1.12 ;
%load/vec4 v0x564810323d90_0;
%pad/u 32;
%cmpi/e 0, 0, 32;
%jmp/0xz T_1.14, 4;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103233a0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323500_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103236d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323850_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x5648103239d0_0, 0;
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810323b50_0, 0;
%pushi/vec4 1, 0, 1;
%assign/vec4 v0x564810323cd0_0, 0;
%pushi/vec4 1, 0, 1;
%store/vec4 v0x564810323d90_0, 0, 1;
T_1.14 ;
T_1.13 ;
T_1.11 ;
T_1.9 ;
T_1.7 ;
T_1.5 ;
T_1.3 ;
T_1.1 ;
%jmp T_1;
.thread T_1;
.scope S_0x564810308110;
T_2 ;
%delay 10, 0;
%load/vec4 v0x564810324030_0;
%inv;
%store/vec4 v0x564810324030_0, 0, 1;
%jmp T_2;
.thread T_2;
.scope S_0x564810308110;
T_3 ;
%vpi_call 2 29 "$dumpfile", "tb_count_monitor.vcd" {0 0 0};
%vpi_call 2 30 "$dumpvars", 32'sb00000000000000000000000000000000, S_0x564810308110 {0 0 0};
%vpi_call 2 32 "$monitor", "Time = %0t clk = %0d sig = %0d", $time, v0x564810324030_0, v0x564810324b50_0 {0 0 0};
%pushi/vec4 0, 0, 1;
%assign/vec4 v0x564810324030_0, 0;
%end;
.thread T_3;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"tb_counter_monitor.v";
"count_monitor.v";