blob: 7ec561922e870dd4ecbad811c9ff07c89b7ff797 [file] [log] [blame]
module dff ( input d,
input rst,
input clk,
output reg q,
output qn);
always @ (posedge clk or posedge rst)
if (rst)
q <= 0;
else
q <= d;
assign qn = ~q;
endmodule