update
diff --git a/gds/Msn.gds b/gds/Msn.gds
new file mode 100644
index 0000000..3fb83f5
--- /dev/null
+++ b/gds/Msn.gds
Binary files differ
diff --git a/gds/Msp.gds b/gds/Msp.gds
new file mode 100644
index 0000000..6a3f86f
--- /dev/null
+++ b/gds/Msp.gds
Binary files differ
diff --git a/gds/cmpc_Guarding_P.gds b/gds/cmpc_Guarding_P.gds
new file mode 100644
index 0000000..83c1732
--- /dev/null
+++ b/gds/cmpc_Guarding_P.gds
Binary files differ
diff --git a/gds/cmpc_M1.gds b/gds/cmpc_M1.gds
new file mode 100644
index 0000000..e5927d7
--- /dev/null
+++ b/gds/cmpc_M1.gds
Binary files differ
diff --git a/gds/cmpc_Mdiff.gds b/gds/cmpc_Mdiff.gds
new file mode 100644
index 0000000..3a2aea6
--- /dev/null
+++ b/gds/cmpc_Mdiff.gds
Binary files differ
diff --git a/gds/cmpc_Minn.gds b/gds/cmpc_Minn.gds
new file mode 100644
index 0000000..b1c041b
--- /dev/null
+++ b/gds/cmpc_Minn.gds
Binary files differ
diff --git a/gds/cmpc_Ml.gds b/gds/cmpc_Ml.gds
new file mode 100644
index 0000000..5c1eef7
--- /dev/null
+++ b/gds/cmpc_Ml.gds
Binary files differ
diff --git a/gds/comparator.gds b/gds/comparator.gds
new file mode 100644
index 0000000..9326acf
--- /dev/null
+++ b/gds/comparator.gds
Binary files differ
diff --git a/gds/dacswt_cbs.gds b/gds/dacswt_cbs.gds
new file mode 100644
index 0000000..329edc2
--- /dev/null
+++ b/gds/dacswt_cbs.gds
Binary files differ
diff --git a/gds/dacswtinv_MN.gds b/gds/dacswtinv_MN.gds
new file mode 100644
index 0000000..91f89ab
--- /dev/null
+++ b/gds/dacswtinv_MN.gds
Binary files differ
diff --git a/gds/dacswtinv_MP.gds b/gds/dacswtinv_MP.gds
new file mode 100644
index 0000000..74e38e8
--- /dev/null
+++ b/gds/dacswtinv_MP.gds
Binary files differ
diff --git a/gds/sky130_drc.txt b/gds/sky130_drc.txt
new file mode 100644
index 0000000..9f26d9f
--- /dev/null
+++ b/gds/sky130_drc.txt
@@ -0,0 +1,2693 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/oe23ranan/.klayout/tech/sky130/drc/drc_sky130.lydrc'</generator>
+ <top-cell>comparator</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell.3</name>
+   <description>dnwell.3 : min. dnwell spacing : 6.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell.4</name>
+   <description>dnwell.4 : dnwell must not overlap pnp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell.5</name>
+   <description>p+ must not straddle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.5</name>
+   <description>nwell.5 : min. nwell enclosing dnwell exempt unside uhvi : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min. dnwell enclosing nwell exempt unside uhvi : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.7</name>
+   <description>nwell.7 : min. dnwell separation nwell : 4.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm</name>
+   <description>pwbm must be inside uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm.4</name>
+   <description>pwbm.4 : dnwell inside uhvi must be enclosed by pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwdem.3</name>
+   <description>pwdem.3 : pwde must be inside pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwdem.4</name>
+   <description>pwdem.4 : pwde must be inside uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwdem.5</name>
+   <description>pwdem.5 : pwde must be inside dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.3</name>
+   <description>hvtp.3 : min. hvtp enclosure of pfet gate : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.4</name>
+   <description>hvtp.4 : min. hvtp spacing pfet gate: 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.5</name>
+   <description>hvtp.5 : min. hvtp area : 0.265um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1</name>
+   <description>lvtn.1 : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.3a</name>
+   <description>lvtn.3a : min. lvtn spacing to gate : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.3b</name>
+   <description>lvtn.3b : min. lvtn spacing to pfet s/d : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.4b</name>
+   <description>lvtn.4b : min. lvtn enclosing to gate : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.9</name>
+   <description>lvtn.9 : min. lvtn spacing hvtp : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.4b</name>
+   <description>lvtn.4b : min. lvtn enclosure of gate : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.12</name>
+   <description>lvtn.12 : min. lvtn spacing nwell inside areaid.ce : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.13</name>
+   <description>lvtn.13 : min. lvtn area : 0.265um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.x.3</name>
+   <description>ncm.x.3 : ncm must not overlap n+diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2</name>
+   <description>ncm.2 : min. ncm spacing manual merge if smaller : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.3</name>
+   <description>ncm.3 : min. ncm enclosure of p+diff : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.5</name>
+   <description>ncm.5 : min. ncm spacing lvtn diff : 0.23um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.6</name>
+   <description>ncm.6 : min. ncm spacing nfet : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.7</name>
+   <description>ncm.13 : min. ncm area : 0.265um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. difftap width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.2</name>
+   <description>difftap.2: min. gate (exempt areaid.sc) width : 0.42um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.2</name>
+   <description>difftap.2: min. gate inside areaid.sc width : 0.36um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.4</name>
+   <description>difftap.4 : min. tap bound by diffusion : 0.29um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.5</name>
+   <description>difftap.5 : min. tap bound by 2 diffusions : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.6</name>
+   <description>difftap.6 : diff and tap not allowed to extend beyong their abutting ege</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.7</name>
+   <description>difftap.7 : min. diff/tap spacing to non-coincident diff edge : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.7</name>
+   <description>difftap.7 : min. diff/tap spacing to non-coincident tap edge : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.8</name>
+   <description>difftap.8 : min. p+diff enclosure by nwell : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.9</name>
+   <description>difftap.9 : min. n+diff spacing to nwell : 0.34um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.10</name>
+   <description>difftap.10 : min. n+tap enclosure by nwell : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.11</name>
+   <description>difftap.11 : min. p+tap spacing to nwell : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.3</name>
+   <description>tunm.3 : min. tunm beyond gate : 0.095um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.4</name>
+   <description>tunm.4 : min. tunm spacing to gate outside tunm: 0.095um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.5</name>
+   <description>tunm.5 : gate must not straddle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.6a</name>
+   <description>tunm.6a : tunm not allowed outside dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.7</name>
+   <description>tunm.7 : min. tunm area : 0.672um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1b</name>
+   <description>poly.1b: min. lvtn gate width : 0.35um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.3</name>
+   <description>poly.3 : min. poly resistor width : 0.33um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.4</name>
+   <description>poly.4 : min. poly on field spacing to diff : 0.075um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.5</name>
+   <description>poly.5 : min. poly on field spacing to tap : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.6</name>
+   <description>poly.6 : min. gate spacing to tap : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.7</name>
+   <description>poly.7 : min. source/drain length : 0.25um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.8</name>
+   <description>poly.8 : min. poly extention gate (endcap) : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.9</name>
+   <description>poly.9 : min. poly resistor space to poly or diff/tap : 0.48um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.10</name>
+   <description>poly.10 : poly must not overlap diff corner</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.11</name>
+   <description>poly.11 : non 90 degree angle gate</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.12</name>
+   <description>poly.12 : poly must not overlap tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.15</name>
+   <description>poly.15 : poly must not overlap diff resistor</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.3</name>
+   <description>rpm.3 : min. rpm enclosure of poly resistor : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.4</name>
+   <description>rpm.4 : min. psdm enclosure of poly resistor : 0.11um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.5</name>
+   <description>rpm.5 : min. npc enclosure of poly resistor : 0.095um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.6</name>
+   <description>rpm.6 : min. rpm spacing nsdm: 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.7</name>
+   <description>rpm.7 : min. rpm spacing poly: 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.8</name>
+   <description>rpm.8 : poly must not straddle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.9</name>
+   <description>rpm.9 : min. poly resistor spacing hvntm: 0.185um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.10</name>
+   <description>rpm.107 : min. rpm spacing pwbm: na</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.1</name>
+   <description>varac.1: min. varac channel length : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.2</name>
+   <description>varac.2: min. varac channel wdth : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.3</name>
+   <description>varac.3: min. varac channel space to hvtp : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.4</name>
+   <description>varac.4: min. varac channel space to licon on tap : 0.25um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.5</name>
+   <description>varac.5: min. nwell enclosure of poly overlapping varac channel : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.6</name>
+   <description>varac.6: min. varac channel tap space to difftap : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>varac.7</name>
+   <description>varac.7:  nwell overlapping varac channel must not overlap p+diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.2</name>
+   <description>photo.2 : minimum/maximum width of photodiode : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.3</name>
+   <description>photo.3 : mini. photodiode spacing : 5.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.4</name>
+   <description>photo.4 : mini. photodiode spacing to dnwell : 5.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.5.6</name>
+   <description>photo.5.6 : photodiode edges must coincide areaid.po and enclosed by dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.7</name>
+   <description>photo.7 : photodiode must be enclosed by p+tap ring</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.8</name>
+   <description>photo.8 : minimum/maximum width of nwell inside photodiode : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.9</name>
+   <description>photo.9 : minimum/maximum enclosure of nwell by photodiode : 1.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>photo.10</name>
+   <description>photo.10 : minimum/maximum width of tap inside photodiode : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be mnually merge if less : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.4</name>
+   <description>npc.4 : min. npc spacing to gate : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm.1</name>
+   <description>nsdm.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm.1</name>
+   <description>psdm.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.1</name>
+   <description>n/psdm.1 : min. nsdm spacing, should be mnually merge if less : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.1</name>
+   <description>n/psdm.1 : min. psdm spacing, should be mnually merge if less : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.5a</name>
+   <description>n/psdm.5a : min. n/psdm enclosure diff except butting edge : 0.125um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.5b</name>
+   <description>n/psdm.5b : min. n/psdm enclosure tap except butting edge : 0.125um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.6</name>
+   <description>n/psdm.6 : min. n/psdm enclosure of butting edge : 0.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.7</name>
+   <description>n/psdm.7 : min. nsdm diff spacing to psdm diff except butting edge : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.8</name>
+   <description>n/psdm.8 : diff should be the opposite type of well/substrate underneath</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.8</name>
+   <description>n/psdm.8 : tap should be the same type of well/substrate underneath</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap and diff</name>
+   <description>tap and diff must not overlap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.10a</name>
+   <description>n/psdm.10a : min. nsdm area : 0.265um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>n/psdm.10b</name>
+   <description>n/psdm.10b : min. psdm area : 0.265um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1b/c</name>
+   <description>licon.1b/c : minimum/maximum width/length of licon inside poly resistor : 2.0/0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.2</name>
+   <description>licon.2 : min. licon spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.2b</name>
+   <description>licon.2b : min. licon 0.19um edge on resistor spacing : 0.35um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.2c</name>
+   <description>licon.2c : min. licon 2.0um edge on resistor spacing : 0.51um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.2d</name>
+   <description>licon.2d : min. licon on resistor spacing other licon : 0.51um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.4</name>
+   <description>licon.4 : min. licon must overlap li and (poly or tap or diff) </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.5</name>
+   <description>licon.5 : min. diff enclosure of licon : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.6</name>
+   <description>licon.6 : min. abutting edge spacing to licon tap : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.7</name>
+   <description>licon.7 : min. tap enclosure of licon by one of 2 opposite edges : 0.12um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.8</name>
+   <description>licon.8 : min. poly enclosure of licon : 0.05um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.8a</name>
+   <description>licon.8a : min. poly enclosure of licon by one of 2 opposite edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.10</name>
+   <description>licon.10 : min. licon spacing to varac channel : 0.25um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.11</name>
+   <description>licon.11 : min. licon spacing to gate : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.11a</name>
+   <description>licon.11a : min. licon spacing to gate inside areaid.sc : 0.05um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.11c</name>
+   <description>licon.11c : min. licon spacing to gate for specific cells: 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.12</name>
+   <description>licon.12 : max. sd width without licon : 5.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.14</name>
+   <description>licon.14 : min. poly licon spacing to difftap : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.15</name>
+   <description>licon.15 : min. npc enclosure of poly-licon : 0.1um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.16</name>
+   <description>licon.16 : tap must enclose one licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : tap must not straddle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.18</name>
+   <description>licon.18 : npc mut enclosed one poly-licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.1a</name>
+   <description>li.1a : min. li width for the cells s8rf2_xcmvpp_hd5_* : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3a</name>
+   <description>li.3a : min. li spacing for the cells s8rf2_xcmvpp_hd5_* : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 opposite edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.1</name>
+   <description>vpp.1 : min. vpp width : 1.43um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.3</name>
+   <description>vpp.3 : vpp must not overlapp poly or diff or tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.4</name>
+   <description>vpp.4 : vpp must not straddle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.4</name>
+   <description>vpp.4 : vpp must not straddle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.5</name>
+   <description>vpp.5 : min. vpp spacing to poly or li or m1 or m2 : 1.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.5a</name>
+   <description>vpp.5a : max. m3 density in vpp : 0.25</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.5b</name>
+   <description>vpp.5b : max. m4 density in vpp : 0.3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.5c</name>
+   <description>vpp.5c : max. m5 density in vpp : 0.4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.8</name>
+   <description>vpp.8 : nwell enclosure of vpp : 1.5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp.9</name>
+   <description>vpp.9 : vpp spacing to nwell : 1.5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. m2 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.6</name>
+   <description>capm.6 : max. capm lenght/width : 20um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.7</name>
+   <description>capm.7 : capm not rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.8</name>
+   <description>capm.8 : min. capm spacing to via : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.10</name>
+   <description>capm.10 : capm must not straddle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.11</name>
+   <description>capm.11 : min. capm spacing to m2 not overlapping capm : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1 : minimum/maximum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 opposite edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4c.5c</name>
+   <description>via.4c.5c : m1 must enclose all via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : minimum/maximum width of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1b</name>
+   <description>via.1b : minimum/maximum width of via in areaid.mt: 0.15um or 0.23um or 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4b</name>
+   <description>via.4b : min. m1 enclosure of 0.23um via : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via1.5a</name>
+   <description>via1.5a : min. m1 enclosure of 0.15um via of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via1.5b</name>
+   <description>via1.5b : min. m1 enclosure of 0.23um via of 2 opposite edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.via</name>
+   <description>m2.via : m2 must enclose via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2</name>
+   <description>via2 : m2 must enclose all via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : minimum/maximum width of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1b</name>
+   <description>via2.1b : minimum/maximum width of via2 in areaid.mt: 0.2um or 1.2um or 1.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4a</name>
+   <description>via2.4a : min. m2 enclosure of 1.5um via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m2 enclosure of via2 of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3ab</name>
+   <description>m3.3ab : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.6</name>
+   <description>m3.6 : min. m2 area : 0.24um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.via2</name>
+   <description>m3.via2 : m3 must enclose via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.5</name>
+   <description>m3.5 : min. m3 enclosure of via2 of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3</name>
+   <description>via3 : m3 must enclose all via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1a</name>
+   <description>via3.1a : minimum/maximum width of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1a</name>
+   <description>via3.1a : minimum/maximum width of via3 in areaid.mt: 0.2um or 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m2 enclosure of via3 of 2 opposite edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.1</name>
+   <description>nsm.1 : min. nsm width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.2</name>
+   <description>nsm.2 : min. nsm spacing : 4.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of diff : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of tap : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of poly : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of li : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of m1 : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of m2 : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of m3 : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of m4 : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of m5 : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.4</name>
+   <description>nsm.4 : min. nsm enclosure of cfom : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to diff : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to tap : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to poly : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to li : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to m1 : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to m2 : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to m3 : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to m4 : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to m5 : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm.3</name>
+   <description>nsm.3 : min. nsm spacing to cfom : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4</name>
+   <description>m4.4 : min. m2 area : 0.24um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.via3</name>
+   <description>m4.via3 : m4 must enclose via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5</name>
+   <description>m4.5 : min. m4 enclosure of via3 of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1a</name>
+   <description>via4.1a : minimum/maximum width of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4</name>
+   <description>via4 : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.via4</name>
+   <description>m5.via4 : m5 must enclose via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m4.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf.1</name>
+   <description>mf.1 : minimum/maximum width of fuse : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf.2</name>
+   <description>mf.2 : minimum/maximum length of fuse : 7.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf.3</name>
+   <description>mf.3 : min. fuse center spacing : 2.76um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2</name>
+   <description>hvi.2 : min. hvi spacing, merge if less : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.4</name>
+   <description>hvi.4 : hvi must not overlapp tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvnwell.8</name>
+   <description>hvnwelli.8 : min. hvnwel spacing to nwell : 2.0</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvnwel.9</name>
+   <description>hvnwell.9 : hvi must overlapp hvnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.14</name>
+   <description>hvdifftap.14 : min. diff inside hvi width : 0.29um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.14a</name>
+   <description>hvdifftap.14a : min. p+diff resistor inside hvi width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.15a</name>
+   <description>hvdifftap.15a : min. diff inside hvi spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.15b</name>
+   <description>hvdifftap.15b : min. n+diff inside hvi spacing to p+diff inside hvi except abutting: 0.37um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.16</name>
+   <description>hvdifftap.16 : min. tap inside hvi abuttng diff : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.17</name>
+   <description>hvdifftap.17 : min. hvnwell enclosure of p+diff : 0.33um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.18</name>
+   <description>hvdifftap.18 : min. hvnwell spacing to n+diff : 0.43um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.19</name>
+   <description>hvdifftap.19 : min. hvnwell enclosure of n+tap : 0.33um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.20</name>
+   <description>hvdifftap.20 : min. hvnwell spacing to p+tap : 0.43um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.21</name>
+   <description>hvdifftap.21 : diff must not straddle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.21</name>
+   <description>hvdifftap.21 : tap must not straddle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.22</name>
+   <description>hvdifftap.22 : min. hvi enclosure of diff or tap : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.23</name>
+   <description>hvdifftap.23 : min. hvi spacing to diff or tap : 0.18um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.24</name>
+   <description>hvdifftap.24 : min. hv n+diff spacing to nwell : 0.43um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvdifftap.25</name>
+   <description>hvdifftap.25 : min. n+diff inside hvi spacing accros p+tap  : 1.07um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvpoly.13</name>
+   <description>hvpoly.13: min. hvi gate length : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvpoly.14</name>
+   <description>hvpoly.14 : poly must not straddle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.3</name>
+   <description>hvntm.3 : min. hvntm enclosure of hv n+diff : 0.185um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.4</name>
+   <description>hvntm.4 : min. hvntm spacing to n+diff : 0.185um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.5</name>
+   <description>hvntm.5 : min. hvntm spacing to p+diff : 0.185um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.6a</name>
+   <description>hvntm.6a : min. hvntm spacing to p+tap : 0.185um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.9</name>
+   <description>hvntm.9 : hvntm must not overlapp areaid.ce</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.1</name>
+   <description>denmos.1 : min. de_nfet gate width : 1.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.2</name>
+   <description>denmos.2 : min. de_nfet source ouside poly width : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.3</name>
+   <description>denmos.3 : min. de_nfet source inside poly width : 0.925um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.4</name>
+   <description>denmos.4 : min. de_nfet drain width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.5</name>
+   <description>denmos.5 : min. de_nfet source inside nwell width : 0.225m</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.6</name>
+   <description>denmos.6 : min. de_nfet source spacing to drain : 1.585um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.7</name>
+   <description>denmos.7 : min. de_nfet channel width : 5.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.8</name>
+   <description>denmos.8 : 90deg. not allowed for de_nfet drain</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.9a</name>
+   <description>denmos.9a : 90deg. not allowed for de_nfet nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.9a</name>
+   <description>denmos.9a : 45deg. bevels of de_nfet nwell should be 0.43um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.9a</name>
+   <description>denmos.9a : 45deg. bevels of de_nfet nwell should be 0.43um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.9b</name>
+   <description>denmos.9b : 45deg. bevels of de_nfet drain should be 0.05um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.9b</name>
+   <description>denmos.9b : 45deg. bevels of de_nfet drain should be 0.05um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.10</name>
+   <description>denmos.10 : min. nwell enclosure of de_nfet drain : 0.66um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.11</name>
+   <description>denmos.11 : min. de_nfet nwell spacing to tap : 0.86um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.12</name>
+   <description>denmos.12 : min. de_nfet nwell : 2.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>denmos.13</name>
+   <description>denmos.13 : min. nsdm enclosure of de_nfet source : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.1</name>
+   <description>depmos.1 : min. de_pfet gate width : 1.05um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.2</name>
+   <description>depmos.2 : min. de_pfet source ouside poly width : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.3</name>
+   <description>depmos.3 : min. de_pfet source inside poly width : 0.92um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.4</name>
+   <description>depmos.4 : min. de_pfet drain width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.5</name>
+   <description>depmos.5 : min. de_pfet source inside nwell width : 0.26m</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.6</name>
+   <description>depmos.6 : min. de_pfet source spacing to drain : 1.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.7</name>
+   <description>depmos.7 : min. de_pfet channel width : 5.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.8</name>
+   <description>depmos.8 : 90deg. not allowed for de_pfet drain</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.9a</name>
+   <description>depmos.9a : 90deg. not allowed for de_pfet pwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.9a</name>
+   <description>depmos.9a : 45deg. bevels of de_pfet pwell should be 0.43um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.9a</name>
+   <description>depmos.9a : 45deg. bevels of de_pfet pwell should be 0.43um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.9b</name>
+   <description>depmos.9b : 45deg. bevels of de_pfet drain should be 0.05um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.9b</name>
+   <description>depmos.9b : 45deg. bevels of de_pfet drain should be 0.05um from corners</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.10</name>
+   <description>depmos.10 : min. pwell enclosure of de_pfet drain : 0.86um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.11</name>
+   <description>depmos.11 : min. de_pfet pwell spacing to tap : 0.66um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>depmos.12</name>
+   <description>depmos.12 : min. psdm enclosure of de_pfet source : 0.13um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>extd.1</name>
+   <description>extd.1 : difftap must not straddle areaid.en</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>extd.2</name>
+   <description>extd.2 : poly must not overlapp entirely difftap in areaid.en</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.1</name>
+   <description>vhvi.1 : min. vhvi width : 0.02um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.2</name>
+   <description>vhvi.2 : vhvi must not overlap areaid.ce</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.3</name>
+   <description>vhvi.3 : vhvi must not overlap hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.5</name>
+   <description>vhvi.5 : vhvi must not straddle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.5</name>
+   <description>vhvi.5 : vhvi must not straddle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi.7</name>
+   <description>vhvi.7 : vhvi must not straddle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.nwell.1</name>
+   <description>hv.nwell.1 : min. vhvi nwell spacing to nwell : 2.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.diff.1</name>
+   <description>hv.diff.1 : min. vhvi diff spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.diff.2</name>
+   <description>hv.diff.2 : min. vhvi nwell spacing n+diff : 0.43um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.diff.3a</name>
+   <description>hv.diff.3a : min. vhvi n+diff spacing nwell : 0.55um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.poly.2</name>
+   <description>hv.poly.2 : min. vhvi poly spacing to diff : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.poly.3</name>
+   <description>hv.poly.3 : min. vhvi poly spacing to nwell : 0.55um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hv.poly.4</name>
+   <description>hv.poly.4 : min. nwell enclosure of vhvi poly : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.1</name>
+   <description>uhvi.1 : diff must not straddle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.1</name>
+   <description>uhvi.1 : tap must not straddle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.2</name>
+   <description>uhvi.2 : poly must not straddle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.3</name>
+   <description>uhvi.3 : uhvi must not enclose pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.4</name>
+   <description>uhvi.4 : dnwell must not straddle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.5</name>
+   <description>uhvi.5 : uhvi must not enclose areaid.en20</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi.7</name>
+   <description>uhvi.7 : uhvi must not enclose natfet</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.2</name>
+   <description>pwres.2 : min. pwell resistor width : 2.65um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.2</name>
+   <description>pwres.2 : max. pwell resistor width : 2.65um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.3</name>
+   <description>pwres.3 : min. pwell resistor length : 26.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.4</name>
+   <description>pwres.4 : max. pwell resistor length : 265um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.5</name>
+   <description>pwres.5 : min. pwell resistor tap spacing to nwell : 0.22um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.5</name>
+   <description>pwres.5 : max. pwell resistor tap spacing to nwell : 0.22um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.6</name>
+   <description>pwres.6 : min. width of tap inside pwell resistor : 0.53um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.6</name>
+   <description>pwres.6 : max. width of tap inside pwell resistor : 0.53um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.8</name>
+   <description>pwres.8 : diff not allowed inside pwell resistor</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwres.8</name>
+   <description>pwres.8 : poly not allowed inside pwell resistor</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rfdiode.1</name>
+   <description>rfdiode.1 : non 90 degree angle areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rfdiode.2</name>
+   <description>rfdiode.2 : areaid.re must coincide rf nwell diode</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>comparator</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+  <cell>
+   <name>cmpc_M1</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 0,0</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>sky130_fd_pr__pfet_01v8_L6YT83</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 0.89,0.6</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>cmpc_Mdiff</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 -0.5,-4</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>sky130_fd_pr__nfet_01v8_FKYAJ2</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 0.1,-3.37</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>cmpc_Ml1</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 -1,2</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>sky130_fd_pr__nfet_01v8_TKZLR3</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>comparator</parent>
+     <trans>r0 *1 0.13,2.865</trans>
+    </ref>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'m1.6'</category>
+   <cell>sky130_fd_pr__pfet_01v8_L6YT83</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (-1.865,-2.565;-1.865,-2.275;-1.635,-2.275;-1.635,-2.565)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.6'</category>
+   <cell>sky130_fd_pr__nfet_01v8_FKYAJ2</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (6.575,0.73;6.575,1.02;6.805,1.02;6.805,0.73)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.6'</category>
+   <cell>sky130_fd_pr__nfet_01v8_FKYAJ2</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (6.575,0.14;6.575,0.43;6.805,0.43;6.805,0.14)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.6'</category>
+   <cell>sky130_fd_pr__nfet_01v8_TKZLR3</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (-1.845,-2.555;-1.845,-2.265;-1.615,-2.265;-1.615,-2.555)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/gds/sw_top_hv.gds b/gds/sw_top_hv.gds
new file mode 100644
index 0000000..6bceec3
--- /dev/null
+++ b/gds/sw_top_hv.gds
Binary files differ
diff --git a/xschem/sub/bandgap/bandgap.ext.spice b/xschem/sub/bandgap/bandgap.ext.spice
new file mode 100644
index 0000000..f1e5b38
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap.ext.spice
@@ -0,0 +1,381 @@
+* SPICE3 file created from bandgap.ext - technology: sky130A
+
+
+.subckt bandgap  vdd vbg vss bias trim_15_ trim_14_ trim_13_ trim_12_ trim_11_ trim_10_ trim_9_
++ trim_8_ trim_7_ trim_6_ trim_5_ trim_4_ trim_3_ trim_2_ trim_1_ trim_0_
+X0 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=9.28e+12p pd=7.328e+07u as=6.409e+13p ps=5.1972e+08u w=2e+06u l=4e+06u
+X1 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.28708e+13p pd=3.9546e+08u as=0p ps=0u w=1e+06u l=4e+06u
+X2 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.827e+13p ps=1.3818e+08u w=1e+06u l=1e+06u
+X3 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X4 a_34707_9211# a_35093_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X5 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=3.6992e+12p
+X6 a_1392_10869# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X7 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X9 a_34580_6445# vbg vss vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X10 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X11 a_23570_9531# trim_8_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=9.28e+12p ps=7.328e+07u w=2e+06u l=500000u
+X12 a_23412_5291# trim_15_ a_25045_12839# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X13 a_1192_10181# bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.432e+07u as=6.96e+12p ps=5.496e+07u w=2e+06u l=4e+06u
+X14 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X15 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X16 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X17 a_1192_10181# bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X18 a_1392_10869# a_1392_10869# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.58e+07u as=1.74e+12p ps=1.548e+07u w=1e+06u l=4e+06u
+X19 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X20 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X21 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X22 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X23 a_29689_9211# a_30075_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X26 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 vdd w_36641_6314# a_34580_6445# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X28 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X29 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X30 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X31 vss a_34580_6445# a_10154_3271# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=1e+06u
+X32 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.032e+07u w=1e+06u l=4e+06u
+X34 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X35 a_23570_11651# a_25045_11779# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X36 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X37 a_31233_9211# a_31619_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X38 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X39 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X40 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 a_34321_9211# a_33935_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X42 a_23570_8471# a_25045_7539# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X43 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X45 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X46 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X47 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X48 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X49 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X50 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X51 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X52 a_23570_12711# trim_14_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X53 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X54 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X55 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X56 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X57 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X58 vbg a_10154_3271# vdd vdd sky130_fd_pr__pfet_01v8 ad=1.16e+12p pd=8.58e+06u as=0p ps=0u w=4e+06u l=1e+06u
+X59 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X60 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X61 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X62 a_23412_5291# trim_11_ a_25045_10719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X63 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X64 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X65 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X66 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X67 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X68 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X69 a_23570_10591# a_25045_10719# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X70 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X71 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X72 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X73 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X75 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X76 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X77 a_23570_7411# a_25045_6479# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X78 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X79 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X80 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X81 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X82 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X83 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X84 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X85 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X86 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X87 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X88 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X89 a_23412_5291# trim_3_ a_25045_6479# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X90 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X91 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X92 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X93 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 a_23570_10591# trim_10_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X95 a_23570_10591# a_25045_9659# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X96 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X97 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X98 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X99 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X100 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X101 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X102 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X103 a_23412_5291# trim_9_ a_25045_9659# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X104 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X105 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X106 a_23570_8471# a_25045_8599# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X107 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X109 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X110 a_1084_5257# a_25045_12839# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X111 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X112 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X113 a_23570_5291# a_23412_5291# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X114 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X115 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X116 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X117 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X118 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X119 a_32777_9211# a_33935_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X120 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X121 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X122 a_1084_6649# a_28531_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X123 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X124 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X125 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X126 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X127 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X128 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X129 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X130 vdd a_1192_10181# a_1392_10869# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X131 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X132 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X133 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X134 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X135 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X136 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X137 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X138 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X139 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X140 a_23570_7411# a_25045_7539# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X141 vss a_1015_2434# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X142 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X143 a_33163_9211# a_33549_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X144 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X145 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X146 a_33188_6507# a_35093_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X147 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X148 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X149 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X150 a_1015_2434# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X153 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X154 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X155 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X156 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X157 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X159 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X160 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X161 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X162 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X163 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X164 a_31233_9211# a_30075_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X165 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X166 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X167 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X168 a_23570_7411# trim_4_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X169 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X170 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X171 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X172 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X173 vss a_1015_2434# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X174 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X175 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X176 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X177 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X178 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X179 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X180 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X181 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X182 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X183 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X184 w_36641_6314# w_36641_6314# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X185 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X186 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X187 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X188 vdd a_1192_10181# a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X189 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X190 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X191 vdd a_10154_3271# a_33188_6507# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.16e+12p ps=8.58e+06u w=4e+06u l=1e+06u
+X192 a_1015_2434# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X193 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X194 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X195 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X196 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X197 a_1392_10869# a_1392_10869# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X198 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X199 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X200 a_23570_5291# trim_0_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X201 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X202 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X203 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X204 a_1192_10181# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X205 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X206 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X207 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X208 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 vdd a_10154_3271# vbg vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X210 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X211 a_23570_12711# a_25045_11779# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X212 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X213 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X214 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X215 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X216 a_23570_8471# trim_6_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X217 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X218 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X219 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X220 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X221 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X222 a_23412_5291# trim_13_ a_25045_11779# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X223 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X224 a_1015_2434# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X225 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X226 vbg a_35479_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X227 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X228 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X229 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X230 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X231 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X232 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X233 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X234 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X235 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X236 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X237 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X238 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X239 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X240 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X241 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X242 a_30847_9211# a_30461_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X243 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X244 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X246 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X247 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X248 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X249 a_34321_9211# a_35479_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X250 a_23412_5291# trim_5_ a_25045_7539# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X251 a_23570_11651# trim_12_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X252 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X253 vss vdd w_36641_6314# w_36641_6314# sky130_fd_pr__pfet_01v8 ad=1.76669e+14p pd=1.5322e+09u as=0p ps=0u w=1e+06u l=1e+06u
+X254 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X255 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X256 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X257 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X258 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X259 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X260 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X261 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X262 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X263 a_23570_6351# a_25045_6479# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X264 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X265 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X266 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X267 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X268 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X269 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X270 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X271 a_33163_9211# a_31619_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X272 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X273 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X274 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X275 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X276 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X277 a_23570_12711# a_25045_12839# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X278 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X279 a_34707_9211# a_33549_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X280 a_33188_6507# a_10154_3271# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X281 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X282 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X283 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X284 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X285 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X286 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X287 a_23570_9531# a_25045_8599# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X288 a_29303_9211# a_28917_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X289 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X290 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X292 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X293 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X294 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X295 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X296 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X297 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X298 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X299 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X300 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X301 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X302 a_23412_5291# trim_1_ a_25045_5419# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X303 a_23570_5291# a_25045_5419# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X304 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X305 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X306 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X307 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X308 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X309 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X310 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X311 a_23412_5291# trim_7_ a_25045_8599# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X312 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X313 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X314 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X315 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X316 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X317 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X318 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X319 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X320 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X321 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X322 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 vdd a_1192_10181# a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X324 a_30847_9211# a_32005_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X325 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X326 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X327 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X328 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X329 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X330 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X331 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X332 bias bias a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X333 bias bias a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X334 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X335 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X336 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X337 a_1015_2434# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X338 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X339 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X340 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X341 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X342 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X343 a_23570_11651# a_25045_10719# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X344 a_29689_9211# a_28531_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X345 a_1192_10181# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X346 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X347 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X348 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 vdd a_1192_10181# a_1392_10869# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X350 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X351 a_23570_9531# a_25045_9659# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X352 a_32777_9211# a_32005_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X353 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X354 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X355 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X356 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X357 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X358 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X359 a_23570_6351# a_25045_5419# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X360 vss vss a_1084_6649# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=4.624e+11p
+X361 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X362 a_1084_5257# a_28917_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X363 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X364 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X365 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X367 a_1392_10869# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X368 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X369 a_23570_6351# trim_2_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X370 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X371 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X372 a_29303_9211# a_30461_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X373 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+.ends
+
diff --git a/xschem/sub/bandgap/bandgap.pex.spice b/xschem/sub/bandgap/bandgap.pex.spice
new file mode 100644
index 0000000..52fa7ef
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap.pex.spice
@@ -0,0 +1,421 @@
+* NGSPICE file created from bandgap.ext - technology: sky130A
+
+
+.subckt bandgap  vdd vbg vss bias trim_15_ trim_14_ trim_13_ trim_12_ trim_11_ trim_10_ trim_9_
++ trim_8_ trim_7_ trim_6_ trim_5_ trim_4_ trim_3_ trim_2_ trim_1_ trim_0_
+X0 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=9.28e+12p pd=7.328e+07u as=6.409e+13p ps=5.1972e+08u w=2e+06u l=4e+06u
+X1 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.28708e+13p pd=3.9546e+08u as=0p ps=0u w=1e+06u l=4e+06u
+X2 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.827e+13p ps=1.3818e+08u w=1e+06u l=1e+06u
+X3 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X4 a_34707_9211# a_35093_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X5 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=3.6992e+12p
+X6 a_1392_10869# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X7 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X9 a_34580_6445# vbg vss vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X10 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X11 a_23570_9531# trim_8_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=9.28e+12p ps=7.328e+07u w=2e+06u l=500000u
+X12 a_23412_5291# trim_15_ a_25045_12839# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X13 a_1192_10181# bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.432e+07u as=6.96e+12p ps=5.496e+07u w=2e+06u l=4e+06u
+X14 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X15 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X16 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X17 a_1192_10181# bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X18 a_1392_10869# a_1392_10869# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.58e+07u as=1.74e+12p ps=1.548e+07u w=1e+06u l=4e+06u
+X19 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X20 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X21 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X22 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X23 a_29689_9211# a_30075_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X26 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 vdd w_36641_6314# a_34580_6445# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X28 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X29 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X30 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X31 vss a_34580_6445# a_10154_3271# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=1e+06u
+X32 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.032e+07u w=1e+06u l=4e+06u
+X34 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X35 a_23570_11651# a_25045_11779# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X36 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X37 a_31233_9211# a_31619_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X38 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X39 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X40 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 a_34321_9211# a_33935_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X42 a_23570_8471# a_25045_7539# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X43 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X45 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X46 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X47 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X48 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X49 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X50 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X51 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X52 a_23570_12711# trim_14_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X53 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X54 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X55 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X56 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X57 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X58 vbg a_10154_3271# vdd vdd sky130_fd_pr__pfet_01v8 ad=1.16e+12p pd=8.58e+06u as=0p ps=0u w=4e+06u l=1e+06u
+X59 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X60 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X61 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X62 a_23412_5291# trim_11_ a_25045_10719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X63 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X64 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X65 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X66 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X67 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X68 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X69 a_23570_10591# a_25045_10719# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X70 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X71 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X72 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X73 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X75 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X76 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X77 a_23570_7411# a_25045_6479# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X78 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X79 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X80 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X81 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X82 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X83 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X84 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X85 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X86 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X87 a_10154_2359# a_10154_2359# a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X88 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X89 a_23412_5291# trim_3_ a_25045_6479# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X90 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X91 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X92 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X93 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 a_23570_10591# trim_10_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X95 a_23570_10591# a_25045_9659# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X96 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X97 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X98 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X99 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X100 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X101 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X102 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X103 a_23412_5291# trim_9_ a_25045_9659# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X104 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X105 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X106 a_23570_8471# a_25045_8599# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X107 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X109 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X110 a_1084_5257# a_25045_12839# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X111 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X112 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X113 a_23570_5291# a_23412_5291# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X114 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X115 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X116 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X117 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X118 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X119 a_32777_9211# a_33935_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X120 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X121 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X122 a_1084_6649# a_28531_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X123 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X124 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X125 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X126 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X127 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X128 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X129 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X130 vdd a_1192_10181# a_1392_10869# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X131 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X132 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X133 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X134 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X135 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X136 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X137 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X138 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X139 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X140 a_23570_7411# a_25045_7539# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X141 vss a_1015_2434# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X142 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X143 a_33163_9211# a_33549_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X144 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X145 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X146 a_33188_6507# a_35093_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X147 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X148 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X149 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X150 a_1015_2434# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X153 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X154 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X155 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X156 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X157 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X159 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X160 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X161 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X162 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X163 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X164 a_31233_9211# a_30075_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X165 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X166 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X167 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X168 a_23570_7411# trim_4_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X169 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X170 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X171 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X172 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X173 vss a_1015_2434# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X174 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X175 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X176 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X177 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X178 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X179 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X180 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X181 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X182 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X183 vdd a_10154_2359# a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X184 w_36641_6314# w_36641_6314# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X185 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X186 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X187 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X188 vdd a_1192_10181# a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X189 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X190 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X191 vdd a_10154_3271# a_33188_6507# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.16e+12p ps=8.58e+06u w=4e+06u l=1e+06u
+X192 a_1015_2434# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X193 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X194 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X195 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X196 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X197 a_1392_10869# a_1392_10869# a_1015_2434# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X198 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X199 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X200 a_23570_5291# trim_0_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X201 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X202 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X203 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X204 a_1192_10181# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X205 a_1215_2460# a_1084_5257# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X206 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X207 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X208 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 vdd a_10154_3271# vbg vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X210 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X211 a_23570_12711# a_25045_11779# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X212 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X213 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X214 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X215 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X216 a_23570_8471# trim_6_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X217 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X218 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X219 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X220 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X221 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X222 a_23412_5291# trim_13_ a_25045_11779# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X223 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X224 a_1015_2434# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X225 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X226 vbg a_35479_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X227 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X228 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X229 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X230 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X231 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X232 a_10154_2359# a_1392_10869# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X233 a_768_5283# a_1084_6649# a_1284_6746# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X234 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X235 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X236 a_1215_2460# a_1392_10869# a_10154_2359# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X237 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X238 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X239 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X240 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X241 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X242 a_30847_9211# a_30461_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X243 a_9296_6542# bias a_10154_2359# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X244 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X246 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X247 a_9296_7533# bias a_10154_3271# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X248 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X249 a_34321_9211# a_35479_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X250 a_23412_5291# trim_5_ a_25045_7539# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X251 a_23570_11651# trim_12_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X252 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X253 vss vdd w_36641_6314# w_36641_6314# sky130_fd_pr__pfet_01v8 ad=1.76669e+14p pd=1.5322e+09u as=0p ps=0u w=1e+06u l=1e+06u
+X254 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X255 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X256 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X257 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X258 a_9296_6542# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X259 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X260 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X261 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X262 vdd a_10154_2359# a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X263 a_23570_6351# a_25045_6479# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X264 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X265 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X266 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X267 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X268 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X269 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X270 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X271 a_33163_9211# a_31619_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X272 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X273 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X274 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X275 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X276 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X277 a_23570_12711# a_25045_12839# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X278 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X279 a_34707_9211# a_33549_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X280 a_33188_6507# a_10154_3271# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X281 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X282 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X283 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X284 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X285 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X286 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X287 a_23570_9531# a_25045_8599# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X288 a_29303_9211# a_28917_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X289 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X290 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X292 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X293 w_36641_6314# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X294 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X295 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X296 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X297 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X298 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X299 vdd a_1192_10181# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X300 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X301 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X302 a_23412_5291# trim_1_ a_25045_5419# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X303 a_23570_5291# a_25045_5419# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X304 a_1392_10869# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X305 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X306 a_1284_6746# a_1084_6649# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X307 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X308 vss vss a_23412_5291# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X309 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X310 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X311 a_23412_5291# trim_7_ a_25045_8599# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X312 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X313 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X314 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X315 a_768_5283# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X316 a_768_5283# a_768_5283# a_768_5283# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X317 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X318 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X319 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X320 a_1284_6746# a_1392_10869# a_10154_3271# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X321 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X322 a_1215_2460# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 vdd a_1192_10181# a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X324 a_30847_9211# a_32005_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X325 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X326 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X327 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X328 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X329 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X330 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X331 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X332 bias bias a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X333 bias bias a_1192_10181# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X334 a_10154_2359# bias a_9296_6542# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X335 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X336 a_10154_3271# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X337 a_1015_2434# a_1392_10869# a_1392_10869# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X338 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X339 a_10154_3271# bias a_9296_7533# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X340 a_10154_3271# a_1392_10869# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X341 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X342 a_9296_7533# a_10154_2359# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X343 a_23570_11651# a_25045_10719# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X344 a_29689_9211# a_28531_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X345 a_1192_10181# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X346 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X347 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X348 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 vdd a_1192_10181# a_1392_10869# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X350 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X351 a_23570_9531# a_25045_9659# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X352 a_32777_9211# a_32005_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X353 vss a_1015_2434# a_1215_2460# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X354 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X355 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X356 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X357 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X358 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X359 a_23570_6351# a_25045_5419# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X360 vss vss a_1084_6649# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=4.624e+11p
+X361 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X362 a_1084_5257# a_28917_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X363 vss a_1015_2434# a_1284_6746# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X364 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X365 a_1284_6746# a_1015_2434# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X367 a_1392_10869# a_1192_10181# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X368 a_768_5283# a_1084_5257# a_1215_2460# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X369 a_23570_6351# trim_2_ a_23412_5291# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X370 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X371 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X372 a_29303_9211# a_30461_12243# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X373 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+C0 a_9296_6542# a_10154_2359# 28.46fF
+C1 vdd a_10154_3271# 3.93fF
+C2 a_9296_6542# a_9296_7533# 51.01fF
+C3 trim_4_ trim_6_ 4.55fF
+C4 trim_8_ trim_6_ 3.89fF
+C5 a_10154_2359# a_1215_2460# 24.74fF
+C6 a_1284_6746# a_768_5283# 8.48fF
+C7 trim_2_ trim_0_ 5.87fF
+C8 trim_8_ trim_10_ 3.22fF
+C9 vdd bias 4.69fF
+C10 vdd a_10154_2359# 6.97fF
+C11 bias a_1192_10181# 5.90fF
+C12 a_1084_6649# a_1084_5257# 27.09fF
+C13 trim_7_ trim_9_ 3.89fF
+C14 a_1284_6746# a_1215_2460# 42.20fF
+C15 vdd a_9296_7533# 10.30fF
+C16 vdd a_1084_5257# 11.08fF
+C17 vdd w_36641_6314# 2.11fF
+C18 trim_11_ trim_13_ 2.56fF
+C19 trim_3_ trim_5_ 5.21fF
+C20 a_768_5283# a_1215_2460# 5.05fF
+C21 trim_3_ trim_1_ 5.87fF
+C22 vdd a_9296_6542# 24.26fF
+C23 a_1392_10869# a_1015_2434# 8.04fF
+C24 vdd a_768_5283# 23.24fF
+C25 a_10154_3271# a_10154_2359# 48.51fF
+C26 a_768_5283# a_1192_10181# 10.22fF
+C27 trim_2_ trim_4_ 5.21fF
+C28 trim_12_ trim_10_ 2.56fF
+C29 a_10154_3271# a_9296_7533# 4.65fF
+C30 vdd a_1084_6649# 11.09fF
+C31 a_10154_3271# a_1284_6746# 4.61fF
+C32 a_10154_3271# a_9296_6542# 7.60fF
+C33 vdd a_1192_10181# 15.88fF
+C34 trim_11_ trim_9_ 3.22fF
+C35 a_10154_2359# a_9296_7533# 9.27fF
+C36 vdd a_1392_10869# 4.72fF
+C37 trim_7_ trim_5_ 4.55fF
+C38 a_1392_10869# a_1192_10181# 2.45fF
+C39 a_10154_3271# a_1215_2460# 7.54fF
+C40 a_1284_6746# a_10154_2359# 8.14fF
+.ends
diff --git a/xschem/sub/bandgap/bandgap.sch b/xschem/sub/bandgap/bandgap.sch
new file mode 100644
index 0000000..f21b3e8
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap.sch
@@ -0,0 +1,236 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 1070 -860 1070 20 1640 20 1640 -860 1070 -860 {}
+P 4 5 1000 -860 60 -860 60 20 1000 20 1000 -860 {}
+T {Startup} 1080 -850 0 0 0.4 0.4 {}
+T {Bandgap} 70 -850 0 0 0.4 0.4 {}
+N 390 -110 410 -110 {lab=#net1}
+N 390 0 450 0 {lab=vss}
+N 450 -110 510 -110 {lab=vss}
+N 510 -110 510 0 {lab=vss}
+N 450 0 510 0 {lab=vss}
+N 100 -110 120 -110 {lab=#net3}
+N 100 0 160 0 {lab=vss}
+N 160 -110 220 -110 {lab=vss}
+N 220 -110 220 0 {lab=vss}
+N 160 0 220 0 {lab=vss}
+N 450 -800 450 -740 {lab=vdd}
+N 160 -800 160 -740 {lab=vdd}
+N 220 0 390 -0 {lab=vss}
+N 160 -800 620 -800 {lab=vdd}
+N 160 -500 160 -140 {lab=vn}
+N 620 -800 1700 -800 {lab=vdd}
+N 730 -410 730 -240 {lab=vss}
+N 450 -620 550 -620 {lab=vbg}
+N 510 0 550 -0 {lab=vss}
+N 1700 -800 1710 -800 {lab=vdd}
+N 730 -560 730 -530 {lab=vdd}
+N 730 -240 730 0 {lab=vss}
+N 550 -0 730 0 {lab=vss}
+N 780 -450 830 -450 {lab=gate}
+N 730 -800 730 -560 {lab=vdd}
+N 200 -710 410 -710 {lab=gate}
+N 450 -480 660 -480 {lab=vp}
+N 160 -420 660 -420 {lab=vn}
+N 730 -0 1720 0 {lab=vss}
+N 450 -680 450 -620 {lab=vbg}
+N 450 -620 450 -600 {lab=vbg}
+N 160 -680 160 -600 {lab=comp}
+N 310 -500 310 -470 {lab=vss}
+N 400 -360 430 -360 {lab=vss}
+N 450 -710 490 -710 {lab=vdd}
+N 120 -710 160 -710 {lab=vdd}
+N 730 -530 730 -490 { lab=vdd}
+N 450 -500 450 -480 { lab=vp}
+N 450 -480 450 -390 { lab=vp}
+N 400 -200 400 -170 { lab=vss}
+N 450 -330 450 -280 { lab=#net5}
+N 360 -240 380 -240 { lab=trim[15:0]}
+N 450 -200 450 -140 { lab=#net6}
+N 710 -400 710 -370 { lab=bias}
+N 830 -450 910 -450 { lab=gate}
+N 880 -450 880 -380 { lab=gate}
+N 880 -320 880 0 { lab=vss}
+N 1270 -210 1270 0 { lab=vss}
+N 1190 -350 1270 -350 { lab=vs1}
+N 1150 -450 1150 -380 { lab=gate}
+N 910 -450 1150 -450 { lab=gate}
+N 1120 -350 1150 -350 { lab=vss}
+N 1270 -240 1300 -240 { lab=vss}
+N 1150 -320 1150 0 { lab=vss}
+N 1240 -530 1270 -530 { lab=vdd}
+N 1270 -350 1270 -270 { lab=vs1}
+N 1200 -240 1230 -240 { lab=vbg}
+N 1270 -500 1270 -350 { lab=vs1}
+N 1550 -530 1580 -530 { lab=vdd}
+N 1310 -530 1510 -530 { lab=vs2}
+N 1430 -530 1430 -460 { lab=vs2}
+N 1550 -500 1550 -460 { lab=vs2}
+N 1430 -460 1430 -370 { lab=vs2}
+N 1430 -310 1430 0 { lab=vss}
+N 1550 -310 1550 0 { lab=vss}
+N 1550 -460 1550 -370 { lab=vs2}
+N 1430 -460 1550 -460 { lab=vs2}
+N 1550 -800 1550 -560 { lab=vdd}
+N 1270 -800 1270 -560 { lab=vdd}
+N 1350 -340 1390 -340 { lab=vdd}
+N 1430 -340 1450 -340 { lab=vs2}
+N 1450 -390 1450 -340 { lab=vs2}
+N 1430 -390 1450 -390 { lab=vs2}
+N 100 -110 100 0 {}
+N 160 -80 160 0 {}
+N 390 -110 390 0 {}
+N 450 -80 450 0 {}
+C {sky130_primitives/pnp_05v5.sym} 430 -110 0 0 {name=Q2
+model="pnp_05v5_W0p68L0p68 m=8"
+spiceprefix=X}
+C {sky130_primitives/pnp_05v5.sym} 140 -110 0 0 {name=Q1
+model="pnp_05v5_W0p68L0p68 m=1"
+spiceprefix=X}
+C {devices/lab_wire.sym} 320 0 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 660 -480 0 0 {name=l22 sig_type=std_logic lab=vp}
+C {devices/lab_wire.sym} 730 -410 3 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 660 -420 0 0 {name=l5 sig_type=std_logic lab=vn}
+C {devices/iopin.sym} 1710 -800 0 0 {name=p1 lab=vdd}
+C {devices/iopin.sym} 1720 0 0 0 {name=p2 lab=vss}
+C {devices/opin.sym} 550 -620 0 0 {name=p3 lab=vbg}
+C {devices/lab_wire.sym} 820 -450 0 0 {name=l6 sig_type=std_logic lab=gate}
+C {devices/lab_wire.sym} 320 -710 0 0 {name=l1 sig_type=std_logic lab=gate}
+C {devices/iopin.sym} 710 -370 1 0 {name=p4 lab=bias}
+C {sky130_primitives/cap_mim_m3_1.sym} 880 -350 0 0 {name=C1 model=cap_mim_m3_1 W=10 L=10 MF=8 spiceprefix=X
+}
+C {bandgap/bg_res.sym} 280 -470 0 0 {name=xres
+}
+C {devices/lab_wire.sym} 310 -500 3 0 {name=l4 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 450 -360 0 0 {name=R1
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {devices/lab_wire.sym} 430 -360 0 0 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 160 -660 3 0 {name=l10 sig_type=std_logic lab=comp}
+C {sky130_primitives/pfet_01v8.sym} 180 -710 0 1 {name=M1
+L=1
+W=4
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8.sym} 430 -710 0 0 {name=M2
+L=1
+W=4
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 490 -710 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 160 -710 0 0 {name=l8 sig_type=std_logic lab=vdd}
+C {xschem/symbols/devices/ipin.sym} 360 -240 0 0 {name=p5 lab=trim[15:0]
+}
+C {bandgap/bg_trim.sym} 400 -190 0 0 {name=xtr
+}
+C {devices/lab_wire.sym} 400 -200 3 0 {name=l19 sig_type=std_logic lab=vss}
+C {opamp/se_folded_cascode_p.sym} 810 -450 0 0 {name=xamp
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 1250 -240 0 0 {name=M3
+L=1
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 1170 -350 0 1 {name=M4
+L=1
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1150 -350 0 0 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1270 -240 0 1 {name=l12 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8.sym} 1290 -530 0 1 {name=M5
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1270 -530 0 0 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1230 -240 0 0 {name=l14 sig_type=std_logic lab=vbg}
+C {sky130_primitives/cap_mim_m3_1.sym} 1550 -340 0 0 {name=C2 model=cap_mim_m3_1 W=10 L=10 MF=3 spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8.sym} 1530 -530 0 0 {name=M6
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1550 -530 0 1 {name=l15 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8.sym} 1410 -340 0 0 {name=M7
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1250 -350 0 0 {name=l16 sig_type=std_logic lab=vs1
+}
+C {devices/lab_wire.sym} 1430 -530 0 0 {name=l17 sig_type=std_logic lab=vs2
+}
+C {devices/lab_wire.sym} 1390 -340 0 0 {name=l18 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/bandgap/bandgap.sym b/xschem/sub/bandgap/bandgap.sym
new file mode 100644
index 0000000..db0761d
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap.sym
@@ -0,0 +1,63 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 110 -80 130 -80 {}
+L 4 -20 -50 0 -50 {}
+L 4 -20 -100 0 -100 {}
+L 4 -20 -70 0 -70 {}
+B 5 -22.5 -102.5 -17.5 -97.5 {name=vdd dir=inout }
+B 5 127.5 -82.5 132.5 -77.5 {name=vbg dir=out }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=vss dir=inout }
+B 5 -22.5 -52.5 -17.5 -47.5 {name=bias dir=inout }
+B 5 -22.5 -72.5 -17.5 -67.5 {name=trim[15:0] dir=in}
+P 4 2 71 -16 71 -30 {}
+P 4 2 71 -26 77 -32 {}
+P 4 2 71 -20 77 -14 {}
+P 4 4 73 -30 73 -28 75 -28 73 -30 {}
+P 4 2 71 -23 63 -23 {}
+P 4 9 77 -41 79 -42 76 -43 79 -44 76 -45 79 -46 76 -47 78 -48 78 -49 {}
+P 4 9 78 -64 80 -65 77 -66 80 -67 77 -68 80 -69 77 -70 79 -71 79 -72 {}
+P 4 2 78 -49 78 -64 {}
+P 4 4 60 -73 50 -58 70 -58 60 -73 {}
+P 4 2 36 -16 36 -30 {}
+P 4 2 36 -26 42 -32 {}
+P 4 2 36 -20 42 -14 {}
+P 4 4 38 -30 38 -28 40 -28 38 -30 {}
+P 4 2 36 -23 28 -23 {}
+P 4 9 42 -64 44 -65 41 -66 44 -67 41 -68 44 -69 41 -70 43 -71 43 -72 {}
+P 4 1 42 -64 {}
+P 4 4 28 -23 28 -10 77 -10 77 -14 {}
+P 4 2 63 -23 63 -10 {}
+P 4 3 64 -58 64 -52 78 -52 {}
+P 4 3 56 -58 56 -52 42 -52 {}
+P 4 2 77 -41 77 -32 {}
+P 4 2 42 -64 42 -32 {}
+P 4 2 42 -14 42 -10 {}
+P 4 2 -20 -10 30 -10 {}
+P 4 5 0 0 0 -120 110 -120 110 0 0 0 {}
+P 4 4 43 -94 47 -94 47 -86 43 -86 {}
+P 4 2 -0 -100 79 -100 {}
+P 4 2 43 -72 43 -86 {}
+P 4 2 43 -94 43 -100 {}
+P 4 4 79 -94 75 -94 75 -86 79 -86 {}
+P 4 2 79 -94 79 -100 {}
+P 4 2 79 -72 79 -86 {}
+P 4 2 48 -93 48 -87 {}
+P 4 2 74 -93 74 -87 {}
+P 4 2 48 -90 74 -90 {}
+P 4 2 60 -73 60 -90 {}
+P 4 2 110 -80 79 -80 {}
+T {@symname} -1 -133 0 0 0.2 0.2 {}
+T {@name} 90 -133 0 0 0.2 0.2 {}
+T {vdd} 5 -101 2 1 0.2 0.2 {}
+T {vbg} 105 -94 0 1 0.2 0.2 {}
+T {vss} 5 -11 2 1 0.2 0.2 {}
+T {bias
+} 5 -31 2 1 0.2 0.2 {}
+T {trim} 5 -61 2 1 0.2 0.2 {}
diff --git a/xschem/sub/bandgap/bandgap_amp.sch b/xschem/sub/bandgap/bandgap_amp.sch
new file mode 100644
index 0000000..34eb97f
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap_amp.sch
@@ -0,0 +1,264 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 560 0 1240 -0 1240 -440 560 -440 560 -0 {}
+T {400n} -95 -390 0 0 0.2 0.2 {}
+T {200n} 205 -390 0 0 0.2 0.2 {}
+T {Dummies} 590 -40 0 0 0.4 0.4 {}
+N 120 -260 120 -220 {lab=diff}
+N 120 -260 320 -260 {lab=diff}
+N 320 -260 320 -220 {lab=diff}
+N 320 -160 320 -110 {lab=out}
+N 120 -160 120 -110 {lab=mirr}
+N 160 -80 280 -80 {lab=mirr}
+N 120 -50 120 -20 {lab=vss}
+N 120 -20 320 -20 {lab=vss}
+N 320 -50 320 -20 {lab=vss}
+N 120 -140 220 -140 {lab=mirr}
+N 220 -140 220 -80 {lab=mirr}
+N 360 -190 380 -190 {lab=inn}
+N 60 -190 80 -190 {lab=inp}
+N 120 -190 160 -190 {lab=vdd}
+N 280 -190 320 -190 {lab=vdd}
+N 320 -80 350 -80 {lab=vss}
+N 90 -80 120 -80 {lab=vss}
+N 320 -140 380 -140 {lab=out}
+N 220 -320 260 -320 {lab=vdd}
+N -120 -320 -80 -320 {lab=vdd}
+N -40 -320 20 -320 {lab=#net1}
+N -10 -320 -10 -260 {lab=#net1}
+N -80 -260 -10 -260 {lab=#net1}
+N -80 -290 -80 -260 {lab=#net1}
+N -80 -260 -80 -250 {lab=#net1}
+N -80 -190 -80 -170 {lab=ibias}
+N -80 -370 -80 -350 {lab=vdd}
+N -80 -370 60 -370 {lab=vdd}
+N 220 -370 220 -350 {lab=vdd}
+N 220 -290 220 -260 {lab=diff}
+N -110 -370 -80 -370 {lab=vdd}
+N 100 -20 120 -20 {lab=vss}
+N 20 -320 180 -320 {lab=#net1}
+N 60 -370 220 -370 {lab=vdd}
+N 220 -320 260 -320 {lab=vdd}
+N 690 -350 730 -350 {lab=vdd}
+N 690 -400 690 -380 {lab=vdd}
+N 690 -400 730 -400 {lab=vdd}
+N 730 -400 730 -350 {lab=vdd}
+N 690 -320 690 -300 {lab=vdd}
+N 690 -300 730 -300 {lab=vdd}
+N 730 -350 730 -300 {lab=vdd}
+N 630 -350 650 -350 {lab=vdd}
+N 630 -350 630 -300 {lab=vdd}
+N 630 -300 690 -300 {lab=vdd}
+N 1030 -70 1070 -70 {lab=vss}
+N 1030 -70 1030 -20 {lab=vss}
+N 1030 -20 1110 -20 {lab=vss}
+N 1110 -40 1110 -20 {lab=vss}
+N 1110 -130 1110 -100 {lab=out}
+N 1110 -140 1150 -140 {lab=out}
+N 810 -70 850 -70 {lab=vss}
+N 810 -70 810 -20 {lab=vss}
+N 810 -20 890 -20 {lab=vss}
+N 890 -40 890 -20 {lab=vss}
+N 890 -130 890 -100 {lab=mirr}
+N 890 -140 930 -140 {lab=mirr}
+N 930 -220 980 -220 {lab=vdd}
+N 980 -270 980 -220 {lab=vdd}
+N 890 -270 980 -270 {lab=vdd}
+N 890 -270 890 -250 {lab=vdd}
+N 890 -190 890 -130 {lab=mirr}
+N 1150 -220 1200 -220 {lab=vdd}
+N 1200 -270 1200 -220 {lab=vdd}
+N 1110 -270 1200 -270 {lab=vdd}
+N 1110 -270 1110 -250 {lab=vdd}
+N 1110 -190 1110 -130 {lab=out}
+N 1070 -220 1110 -220 {lab=vdd}
+N 1070 -270 1070 -220 {lab=vdd}
+N 1070 -270 1110 -270 {lab=vdd}
+N 860 -220 890 -220 {lab=vdd}
+N 860 -270 860 -220 {lab=vdd}
+N 860 -270 890 -270 {lab=vdd}
+N 890 -70 920 -70 {lab=vss}
+N 920 -70 920 -20 {lab=vss}
+N 890 -20 920 -20 {lab=vss}
+N 1110 -70 1150 -70 {lab=vss}
+N 1150 -70 1150 -20 {lab=vss}
+N 1110 -20 1150 -20 {lab=vss}
+C {devices/lab_wire.sym} 230 -20 0 0 {name=l1 sig_type=std_logic lab=vss}
+C {devices/opin.sym} 380 -140 0 0 {name=p1 lab=out}
+C {devices/ipin.sym} 60 -190 0 0 {name=p3 lab=inp}
+C {devices/ipin.sym} 380 -190 2 0 {name=p4 lab=inn}
+C {devices/lab_wire.sym} 160 -190 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 310 -190 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/iopin.sym} -110 -370 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} 100 -20 2 0 {name=p6 lab=vss}
+C {devices/lab_wire.sym} 350 -80 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 120 -80 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 210 -260 0 0 {name=l11 sig_type=std_logic lab=diff}
+C {devices/lab_wire.sym} 260 -80 0 0 {name=l15 sig_type=std_logic lab=mirr}
+C {devices/ammeter.sym} -80 -220 0 0 {name=vibias}
+C {sky130_primitives/nfet_01v8_lvt.sym} 300 -80 0 0 {name=M4
+L=8
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 140 -80 0 1 {name=M3
+L=8
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 100 -190 0 0 {name=M1
+L=8
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 340 -190 0 1 {name=M2
+L=8
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} -80 -170 1 0 {name=p2 lab=ibias}
+C {devices/lab_wire.sym} 220 -320 0 1 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -80 -320 0 0 {name=l8 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 200 -320 0 0 {name=M5
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} -60 -320 0 1 {name=M6
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 670 -350 0 0 {name=Mdum
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 220 -320 0 1 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 690 -350 0 1 {name=l9 sig_type=std_logic lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1090 -70 0 0 {name=M7
+L=8
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1080 -20 0 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1080 -20 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1150 -140 0 0 {name=l13 sig_type=std_logic lab=out
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 870 -70 0 0 {name=M8
+L=8
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 860 -20 0 0 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 860 -20 0 0 {name=l16 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 930 -140 0 0 {name=l17 sig_type=std_logic lab=mirr
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 910 -220 0 1 {name=M9
+L=8
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 690 -350 0 1 {name=l18 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 690 -350 0 1 {name=l19 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 920 -270 0 1 {name=l20 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1130 -220 0 1 {name=M10
+L=8
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1150 -270 0 1 {name=l21 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/bandgap/bandgap_amp.sym b/xschem/sub/bandgap/bandgap_amp.sym
new file mode 100644
index 0000000..263993f
--- /dev/null
+++ b/xschem/sub/bandgap/bandgap_amp.sym
@@ -0,0 +1,30 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -50 0 -30 0 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 30 -130 30 {}
+L 4 -130 -50 -130 50 {}
+L 4 -130 50 -50 -0 {}
+L 4 -130 -50 -50 -0 {}
+L 4 -80 -40 -80 -20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=vdd dir=inout }
+B 5 -32.5 -2.5 -27.5 2.5 {name=out dir=out }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=inp dir=in }
+B 5 -152.5 27.5 -147.5 32.5 {name=inn dir=in }
+B 5 -82.5 37.5 -77.5 42.5 {name=vss dir=inout }
+B 5 -102.5 47.5 -97.5 52.5 {name=ibias dir=inout }
+P 4 2 -100 50 -100 31 {}
+P 4 2 -80 40 -80 19 {}
+T {@symname} -70.5 14 0 0 0.3 0.3 {}
+T {@name} -65 -32 0 0 0.2 0.2 {}
+T {out} -58 -6 0 1 0.2 0.2 {}
+T {inp} -125 -34 0 0 0.2 0.2 {}
+T {inn} -125 26 0 0 0.2 0.2 {}
+T {ibias} -94 3 1 0 0.2 0.2 {}
diff --git a/xschem/sub/bandgap/bg_res.sch b/xschem/sub/bandgap/bg_res.sch
new file mode 100644
index 0000000..7ce456d
--- /dev/null
+++ b/xschem/sub/bandgap/bg_res.sch
@@ -0,0 +1,190 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 340 -710 370 -710 {lab=vss}
+N 540 -710 570 -710 {lab=vss}
+N 340 -630 370 -630 {lab=vss}
+N 540 -630 570 -630 {lab=vss}
+N 390 -680 390 -660 {lab=#net1}
+N 590 -680 590 -660 {lab=#net2}
+N 590 -680 590 -660 {lab=#net2}
+N 590 -760 590 -740 {lab=b}
+N 390 -760 390 -740 {lab=a}
+N 340 -550 370 -550 {lab=vss}
+N 540 -550 570 -550 {lab=vss}
+N 340 -470 370 -470 {lab=vss}
+N 540 -470 570 -470 {lab=vss}
+N 390 -520 390 -500 {lab=#net3}
+N 590 -520 590 -500 {lab=#net4}
+N 590 -520 590 -500 {lab=#net4}
+N 590 -600 590 -580 {lab=#net5}
+N 390 -600 390 -580 {lab=#net6}
+N 340 -390 370 -390 {lab=vss}
+N 540 -390 570 -390 {lab=vss}
+N 340 -310 370 -310 {lab=vss}
+N 540 -310 570 -310 {lab=vss}
+N 390 -360 390 -340 {lab=#net7}
+N 590 -360 590 -340 {lab=#net8}
+N 590 -360 590 -340 {lab=#net8}
+N 590 -440 590 -420 {lab=#net9}
+N 390 -440 390 -420 {lab=#net10}
+N 340 -230 370 -230 {lab=vss}
+N 540 -230 570 -230 {lab=vss}
+N 590 -280 590 -260 {lab=#net11}
+N 390 -280 390 -260 {lab=#net12}
+N 590 -200 590 -180 {lab=#net13}
+N 390 -200 390 -180 {lab=#net14}
+N 390 40 390 60 {lab=c}
+N 590 40 590 60 {lab=d}
+N 590 -120 590 -100 {lab=#net15}
+N 390 -120 390 -100 {lab=#net16}
+N 590 -40 590 -20 {lab=#net17}
+N 390 -40 390 -20 {lab=#net18}
+C {sky130_primitives/res_xhigh_po.sym} 390 -710 0 0 {name=R1
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -710 0 0 {name=R2
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -710 0 0 {name=l1 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -710 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -630 0 0 {name=R3
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -630 0 0 {name=R4
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -630 0 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -630 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -550 0 0 {name=R5
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -550 0 0 {name=R6
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -550 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -550 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -470 0 0 {name=R7
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -470 0 0 {name=R8
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -470 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -470 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -390 0 0 {name=R9
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -390 0 0 {name=R10
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -390 0 0 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -390 0 0 {name=l10 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -310 0 0 {name=R11
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -310 0 0 {name=R12
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -310 0 0 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -310 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -230 0 0 {name=R13
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -230 0 0 {name=R14
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -230 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -230 0 0 {name=l14 sig_type=std_logic lab=vss}
+C {devices/ipin.sym} 390 -760 1 0 {name=p1 lab=a}
+C {devices/ipin.sym} 590 -760 1 0 {name=p2 lab=b}
+C {devices/opin.sym} 390 60 1 0 {name=p5 lab=c}
+C {devices/opin.sym} 590 60 1 0 {name=p6 lab=d}
+C {devices/iopin.sym} 310 -710 2 0 {name=p3 lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -150 0 0 {name=R15
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -150 0 0 {name=R16
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -150 0 0 {name=l15 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -150 0 0 {name=l16 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 -70 0 0 {name=R17
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 -70 0 0 {name=R18
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 -70 0 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -70 0 0 {name=l18 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 390 10 0 0 {name=R19
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 590 10 0 0 {name=R20
+W=0.69
+L=13
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 370 10 0 0 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 10 0 0 {name=l20 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/bandgap/bg_res.sym b/xschem/sub/bandgap/bg_res.sym
new file mode 100644
index 0000000..09bceab
--- /dev/null
+++ b/xschem/sub/bandgap/bg_res.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -150 -110 -150 -50 {}
+L 4 170 -130 170 -110 {}
+L 4 -120 -130 -120 -110 {}
+L 4 170 -50 170 -30 {}
+L 4 -120 -50 -120 -30 {}
+L 4 200 -110 200 -50 {}
+L 4 30 -50 30 -30 {}
+B 5 167.5 -132.5 172.5 -127.5 {name=b dir=in }
+B 5 -122.5 -132.5 -117.5 -127.5 {name=a dir=in }
+B 5 167.5 -32.5 172.5 -27.5 {name=d dir=out }
+B 5 -122.5 -32.5 -117.5 -27.5 {name=c dir=out }
+B 5 27.5 -32.5 32.5 -27.5 {name=vss dir=inout }
+P 4 2 -150 -110 200 -110 {}
+P 4 2 -150 -50 200 -50 {}
+P 4 8 -120 -89 -124 -87 -116 -84 -124 -82 -116 -79 -124 -77 -116 -74 -120 -72 {}
+P 4 2 -120 -50 -120 -72 {}
+P 4 2 -120 -89 -120 -110 {}
+P 4 8 170 -89 166 -87 174 -84 166 -82 174 -79 166 -77 174 -74 170 -72 {}
+P 4 2 170 -50 170 -72 {}
+P 4 2 170 -89 170 -110 {}
+T {@symname} -86 -85 0 0 0.2 0.2 {}
+T {@name} 80 -85 0 0 0.2 0.2 {}
+T {vss} 34 -55 1 1 0.2 0.2 {}
diff --git a/xschem/sub/bandgap/bg_trim.sch b/xschem/sub/bandgap/bg_trim.sch
new file mode 100644
index 0000000..0ba3b89
--- /dev/null
+++ b/xschem/sub/bandgap/bg_trim.sch
@@ -0,0 +1,590 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 270 -100 270 -70 {lab=bot}
+N 130 -130 160 -130 { lab=vss}
+N 130 -180 130 -160 { lab=#net1}
+N 130 -180 160 -180 { lab=#net1}
+N 130 -100 130 -70 { lab=bot}
+N 20 -130 90 -130 { lab=ctl[0]}
+N 270 -180 270 -160 { lab=#net1}
+N 270 -200 270 -180 { lab=#net1}
+N 130 -250 160 -250 { lab=vss}
+N 130 -300 130 -280 { lab=#net2}
+N 130 -300 160 -300 { lab=#net2}
+N 160 -300 270 -300 { lab=#net2}
+N 20 -250 90 -250 { lab=ctl[1]}
+N 270 -220 270 -200 { lab=#net1}
+N 270 -300 270 -280 { lab=#net2}
+N 130 -220 130 -200 { lab=bot}
+N 270 -320 270 -300 { lab=#net2}
+N 130 -370 160 -370 { lab=vss}
+N 130 -420 130 -400 { lab=#net3}
+N 130 -420 160 -420 { lab=#net3}
+N 160 -420 270 -420 { lab=#net3}
+N 20 -370 90 -370 { lab=ctl[2]}
+N 270 -340 270 -320 { lab=#net2}
+N 270 -420 270 -400 { lab=#net3}
+N 130 -340 130 -320 { lab=bot}
+N 270 -440 270 -420 { lab=#net3}
+N 130 -490 160 -490 { lab=vss}
+N 130 -540 130 -520 { lab=#net4}
+N 130 -540 160 -540 { lab=#net4}
+N 160 -540 270 -540 { lab=#net4}
+N 20 -490 90 -490 { lab=ctl[3]}
+N 270 -460 270 -440 { lab=#net3}
+N 270 -540 270 -520 { lab=#net4}
+N 130 -460 130 -440 { lab=bot}
+N 270 -560 270 -540 { lab=#net4}
+N 130 -610 160 -610 { lab=vss}
+N 130 -660 130 -640 { lab=#net5}
+N 130 -660 160 -660 { lab=#net5}
+N 160 -660 270 -660 { lab=#net5}
+N 20 -610 90 -610 { lab=ctl[4]}
+N 270 -580 270 -560 { lab=#net4}
+N 270 -660 270 -640 { lab=#net5}
+N 130 -580 130 -560 { lab=bot}
+N 270 -680 270 -660 { lab=#net5}
+N 130 -730 160 -730 { lab=vss}
+N 130 -780 130 -760 { lab=#net6}
+N 130 -780 160 -780 { lab=#net6}
+N 160 -780 270 -780 { lab=#net6}
+N 20 -730 90 -730 { lab=ctl[5]}
+N 270 -700 270 -680 { lab=#net5}
+N 270 -780 270 -760 { lab=#net6}
+N 130 -700 130 -680 { lab=bot}
+N 270 -800 270 -780 { lab=#net6}
+N 130 -850 160 -850 { lab=vss}
+N 130 -900 130 -880 { lab=#net7}
+N 130 -900 160 -900 { lab=#net7}
+N 160 -900 270 -900 { lab=#net7}
+N 20 -850 90 -850 { lab=ctl[6]}
+N 270 -820 270 -800 { lab=#net6}
+N 270 -900 270 -880 { lab=#net7}
+N 130 -820 130 -800 { lab=bot}
+N 270 -920 270 -900 { lab=#net7}
+N 130 -970 160 -970 { lab=vss}
+N 130 -1020 130 -1000 { lab=#net8}
+N 130 -1020 160 -1020 { lab=#net8}
+N 160 -1020 270 -1020 { lab=#net8}
+N 20 -970 90 -970 { lab=ctl[7]}
+N 270 -940 270 -920 { lab=#net7}
+N 270 -1020 270 -1000 { lab=#net8}
+N 130 -940 130 -920 { lab=bot}
+N 270 -1520 270 -1500 { lab=#net9}
+N 130 -1570 160 -1570 { lab=vss}
+N 130 -1620 130 -1600 { lab=#net10}
+N 130 -1620 160 -1620 { lab=#net10}
+N 160 -1620 270 -1620 { lab=#net10}
+N 20 -1570 90 -1570 { lab=ctl[12]}
+N 270 -1540 270 -1520 { lab=#net9}
+N 270 -1620 270 -1600 { lab=#net10}
+N 130 -1540 130 -1520 { lab=bot}
+N 270 -1640 270 -1620 { lab=#net10}
+N 130 -1690 160 -1690 { lab=vss}
+N 130 -1740 130 -1720 { lab=#net11}
+N 130 -1740 160 -1740 { lab=#net11}
+N 160 -1740 270 -1740 { lab=#net11}
+N 20 -1690 90 -1690 { lab=ctl[13]}
+N 270 -1660 270 -1640 { lab=#net10}
+N 270 -1740 270 -1720 { lab=#net11}
+N 130 -1660 130 -1640 { lab=bot}
+N 270 -1760 270 -1740 { lab=#net11}
+N 130 -1810 160 -1810 { lab=vss}
+N 130 -1860 130 -1840 { lab=#net12}
+N 130 -1860 160 -1860 { lab=#net12}
+N 160 -1860 270 -1860 { lab=#net12}
+N 20 -1810 90 -1810 { lab=ctl[14]}
+N 270 -1780 270 -1760 { lab=#net11}
+N 270 -1860 270 -1840 { lab=#net12}
+N 130 -1780 130 -1760 { lab=bot}
+N 270 -1880 270 -1860 { lab=#net12}
+N 130 -1930 160 -1930 { lab=vss}
+N 130 -1980 130 -1960 { lab=top}
+N 130 -1980 160 -1980 { lab=top}
+N 160 -1980 270 -1980 { lab=top}
+N 20 -1930 90 -1930 { lab=ctl[15]}
+N 270 -1900 270 -1880 { lab=#net12}
+N 270 -1980 270 -1960 { lab=top}
+N 130 -1900 130 -1880 { lab=bot}
+N 270 -1040 270 -1020 { lab=#net8}
+N 130 -1090 160 -1090 { lab=vss}
+N 130 -1140 130 -1120 { lab=#net13}
+N 130 -1140 160 -1140 { lab=#net13}
+N 160 -1140 270 -1140 { lab=#net13}
+N 20 -1090 90 -1090 { lab=ctl[8]}
+N 270 -1060 270 -1040 { lab=#net8}
+N 270 -1140 270 -1120 { lab=#net13}
+N 130 -1060 130 -1040 { lab=bot}
+N 270 -1160 270 -1140 { lab=#net13}
+N 130 -1210 160 -1210 { lab=vss}
+N 130 -1260 130 -1240 { lab=#net14}
+N 130 -1260 160 -1260 { lab=#net14}
+N 160 -1260 270 -1260 { lab=#net14}
+N 20 -1210 90 -1210 { lab=ctl[9]}
+N 270 -1180 270 -1160 { lab=#net13}
+N 270 -1260 270 -1240 { lab=#net14}
+N 130 -1180 130 -1160 { lab=bot}
+N 270 -1280 270 -1260 { lab=#net14}
+N 130 -1330 160 -1330 { lab=vss}
+N 130 -1380 130 -1360 { lab=#net15}
+N 130 -1380 160 -1380 { lab=#net15}
+N 160 -1380 270 -1380 { lab=#net15}
+N 20 -1330 90 -1330 { lab=ctl[10]}
+N 270 -1300 270 -1280 { lab=#net14}
+N 270 -1380 270 -1360 { lab=#net15}
+N 130 -1300 130 -1280 { lab=bot}
+N 270 -1400 270 -1380 { lab=#net15}
+N 130 -1450 160 -1450 { lab=vss}
+N 130 -1500 130 -1480 { lab=#net9}
+N 130 -1500 160 -1500 { lab=#net9}
+N 160 -1500 270 -1500 { lab=#net9}
+N 20 -1450 90 -1450 { lab=ctl[11]}
+N 270 -1420 270 -1400 { lab=#net15}
+N 270 -1500 270 -1480 { lab=#net9}
+N 130 -1420 130 -1400 { lab=bot}
+N 160 -180 270 -180 { lab=#net1}
+N 270 -70 270 -50 { lab=bot}
+N 270 -2000 270 -1980 { lab=top}
+N 160 -1930 250 -1930 { lab=vss}
+N 160 -250 250 -250 { lab=vss}
+N 160 -370 250 -370 { lab=vss}
+N 160 -490 250 -490 { lab=vss}
+N 160 -610 250 -610 { lab=vss}
+N 160 -730 250 -730 { lab=vss}
+N 160 -850 250 -850 { lab=vss}
+N 160 -970 250 -970 { lab=vss}
+N 160 -1090 250 -1090 { lab=vss}
+N 160 -1210 250 -1210 { lab=vss}
+N 160 -1330 250 -1330 { lab=vss}
+N 160 -1450 250 -1450 { lab=vss}
+N 160 -1570 250 -1570 { lab=vss}
+N 160 -1690 250 -1690 { lab=vss}
+N 160 -1810 250 -1810 { lab=vss}
+N 160 -130 250 -130 { lab=vss}
+C {devices/iopin.sym} 100 -10 0 1 {name=p2 lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -130 0 0 {name=M0
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -130 0 1 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 20 -130 0 1 {name=l16 sig_type=std_logic lab=ctl[0]
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -250 0 0 {name=M1
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -250 0 1 {name=l18 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -370 0 0 {name=M2
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -370 0 1 {name=l17 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -490 0 0 {name=M3
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -490 0 1 {name=l21 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -610 0 0 {name=M4
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -610 0 1 {name=l26 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -730 0 0 {name=M5
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -730 0 1 {name=l28 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -850 0 0 {name=M6
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -850 0 1 {name=l30 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -970 0 0 {name=M7
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -970 0 1 {name=l32 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1570 0 0 {name=M12
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1570 0 1 {name=l34 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1690 0 0 {name=M13
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1690 0 1 {name=l36 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1810 0 0 {name=M14
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1810 0 1 {name=l38 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1930 0 0 {name=M15
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1930 0 1 {name=l40 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1090 0 0 {name=M8
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1090 0 1 {name=l24 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1210 0 0 {name=M9
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1210 0 1 {name=l42 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1330 0 0 {name=M10
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1330 0 1 {name=l44 sig_type=std_logic lab=vss}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 110 -1450 0 0 {name=M11
+L=0.5
+W=2
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -1450 0 1 {name=l46 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 130 -1880 0 0 {name=l62 sig_type=std_logic lab=bot
+}
+C {xschem/symbols/devices/ipin.sym} 100 -40 0 0 {name=p1 lab=ctl[15:0]
+}
+C {devices/iopin.sym} 270 -50 3 1 {name=p3 lab=bot
+}
+C {devices/iopin.sym} 270 -2000 1 1 {name=p4 lab=top
+}
+C {devices/lab_wire.sym} 20 -250 0 1 {name=l1 sig_type=std_logic lab=ctl[1]
+}
+C {devices/lab_wire.sym} 20 -370 0 1 {name=l2 sig_type=std_logic lab=ctl[2]
+}
+C {devices/lab_wire.sym} 20 -490 0 1 {name=l3 sig_type=std_logic lab=ctl[3]
+}
+C {devices/lab_wire.sym} 20 -610 0 1 {name=l4 sig_type=std_logic lab=ctl[4]
+}
+C {devices/lab_wire.sym} 20 -730 0 1 {name=l5 sig_type=std_logic lab=ctl[5]
+}
+C {devices/lab_wire.sym} 20 -850 0 1 {name=l6 sig_type=std_logic lab=ctl[6]
+}
+C {devices/lab_wire.sym} 20 -970 0 1 {name=l7 sig_type=std_logic lab=ctl[7]
+}
+C {devices/lab_wire.sym} 20 -1090 0 1 {name=l8 sig_type=std_logic lab=ctl[8]
+}
+C {devices/lab_wire.sym} 20 -1210 0 1 {name=l9 sig_type=std_logic lab=ctl[9]
+}
+C {devices/lab_wire.sym} 20 -1330 0 1 {name=l10 sig_type=std_logic lab=ctl[10]
+}
+C {devices/lab_wire.sym} 20 -1450 0 1 {name=l11 sig_type=std_logic lab=ctl[11]
+}
+C {devices/lab_wire.sym} 20 -1570 0 1 {name=l12 sig_type=std_logic lab=ctl[12]
+}
+C {devices/lab_wire.sym} 20 -1690 0 1 {name=l13 sig_type=std_logic lab=ctl[13]
+}
+C {devices/lab_wire.sym} 20 -1810 0 1 {name=l15 sig_type=std_logic lab=ctl[14]
+}
+C {devices/lab_wire.sym} 20 -1930 0 1 {name=l19 sig_type=std_logic lab=ctl[15]
+}
+C {devices/lab_wire.sym} 130 -1760 0 0 {name=l20 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1640 0 0 {name=l22 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1520 0 0 {name=l23 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1400 0 0 {name=l25 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1280 0 0 {name=l27 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1160 0 0 {name=l29 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -1040 0 0 {name=l31 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -920 0 0 {name=l33 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -800 0 0 {name=l35 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -680 0 0 {name=l37 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -560 0 0 {name=l39 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -440 0 0 {name=l41 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -320 0 0 {name=l43 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -200 0 0 {name=l45 sig_type=std_logic lab=bot
+}
+C {devices/lab_wire.sym} 130 -70 0 0 {name=l47 sig_type=std_logic lab=bot
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1930 0 0 {name=R15
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -250 0 0 {name=R1
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -370 0 0 {name=R2
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -490 0 0 {name=R3
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -610 0 0 {name=R4
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -730 0 0 {name=R5
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -850 0 0 {name=R6
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -970 0 0 {name=R7
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1090 0 0 {name=R8
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1210 0 0 {name=R9
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1330 0 0 {name=R10
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1450 0 0 {name=R11
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1570 0 0 {name=R12
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1690 0 0 {name=R13
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -1810 0 0 {name=R14
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_high_po_1p41.sym} 270 -130 0 0 {name=R16
+W=1.41
+L=2.8
+model=res_high_po
+spiceprefix=X
+mult=1
+}
diff --git a/xschem/sub/bandgap/bg_trim.sym b/xschem/sub/bandgap/bg_trim.sym
new file mode 100644
index 0000000..abb9d36
--- /dev/null
+++ b/xschem/sub/bandgap/bg_trim.sym
@@ -0,0 +1,32 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 -30 57.5 -32.5 {}
+L 4 42.5 -37.5 57.5 -32.5 {}
+L 4 42.5 -37.5 57.5 -42.5 {}
+L 4 42.5 -47.5 57.5 -42.5 {}
+L 4 42.5 -47.5 57.5 -52.5 {}
+L 4 42.5 -57.5 57.5 -52.5 {}
+L 4 42.5 -57.5 57.5 -62.5 {}
+L 4 42.5 -67.5 57.5 -62.5 {}
+L 4 42.5 -67.5 50 -70 {}
+L 4 52.5 -72.5 57.5 -72.5 {}
+L 4 55 -75 55 -70 {}
+B 5 47.5 -92.5 52.5 -87.5 {name=top dir=inout }
+B 5 47.5 -12.5 52.5 -7.5 {name=bot dir=inout }
+B 5 -22.5 -52.5 -17.5 -47.5 {name=ctl[15:0] dir=in }
+B 5 -2.5 -12.5 2.5 -7.5 {name=vss dir=inout }
+P 4 2 30 -35 70 -65 {}
+P 4 4 70 -65 70 -60 65 -65 70 -65 {}
+P 4 2 50 -70 50 -90 {}
+P 4 2 50 -10 50 -30 {}
+P 4 5 -20 -90 80 -90 80 -10 -20 -10 -20 -90 {}
+T {@name} -15 -102 0 0 0.2 0.2 {}
+T {ctl[15:0]} -10 -57 0 0 0.2 0.2 {}
+T {vss} 10 -27 0 1 0.2 0.2 {}
diff --git a/xschem/sub/bandgap/decoder4to16.spice b/xschem/sub/bandgap/decoder4to16.spice
new file mode 100644
index 0000000..84857ce
--- /dev/null
+++ b/xschem/sub/bandgap/decoder4to16.spice
@@ -0,0 +1,1047 @@
+* SPICE3 file created from decoder4to16.ext - technology: sky130A
+
+
+* Top level circuit decoder4to16
+
+.subckt decoder4to16 vdd vss out_15_ out_14_ out_13_ out_12_ out_11_ out_10_ out_9_ out_8_ out_7_ out_6_ out_5_ out_4_ out_3_ out_2_ out_1_ out_0_ in_0_ in_1_ in_2_ in_3_
+X0 vss a_1065_8207# a_3933_3855# vss sky130_fd_pr__nfet_01v8 ad=7.2939e+13p pd=7.8335e+08u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1 out_10_ a_4127_3285# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X2 a_1065_8207# a_897_8207# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X3 a_1731_3543# a_823_6163# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.297e+11p pd=3.25e+06u as=1.05188e+14p ps=1.02261e+09u w=420000u l=150000u
+X4 out_10_ a_4127_3285# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X5 vss a_979_7637# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.404e+12p ps=1.472e+07u w=650000u l=150000u
+X6 a_477_9071# a_1223_8725# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+12p pd=1.472e+07u as=0p ps=0u w=650000u l=150000u
+X7 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X8 a_477_9071# a_1223_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X9 vdd a_1775_4943# out_7_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X10 a_3567_1929# a_979_7637# a_903_7093# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.33e+12p pd=1.266e+07u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X11 a_4675_7882# a_1209_3311# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X12 a_823_6163# a_3933_3855# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X13 vdd a_855_1831# a_855_1679# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X14 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X15 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X16 a_3567_1929# a_1225_6183# a_3209_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.08e+12p ps=1.016e+07u w=1e+06u l=150000u
+X17 vdd in_2_ a_529_2767# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X18 a_2041_6031# a_2011_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X19 a_1765_5807# a_2847_5461# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X20 a_477_9071# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X21 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X22 a_1485_6031# a_987_6077# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X23 a_1009_7663# a_979_7637# a_591_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.08e+12p pd=1.016e+07u as=1.33e+12p ps=1.266e+07u w=1e+06u l=150000u
+X24 a_567_7093# a_903_7093# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X25 a_1731_3543# a_2095_3632# a_2053_3677# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X26 a_2221_7119# a_1723_7165# vss vss sky130_fd_pr__nfet_01v8 ad=1.654e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X27 vdd a_4618_2223# a_4219_6005# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X28 a_4577_2767# a_2221_2767# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X29 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X30 vss a_1021_4399# a_4571_1455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.554e+11p ps=1.58e+06u w=420000u l=150000u
+X31 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X32 a_1683_5487# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.33e+12p pd=1.266e+07u as=0p ps=0u w=1e+06u l=150000u
+X33 a_2921_1135# a_2825_1373# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X34 vdd a_547_3575# a_1919_2197# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X35 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X36 vdd a_897_8207# a_1065_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X37 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X38 vss a_4577_2767# out_11_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X39 out_8_ a_4301_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X40 vdd a_2921_1135# out_12_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X41 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X42 a_529_2767# in_2_ vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X43 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X44 a_477_4943# a_389_5095# a_395_4943# vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X45 out_9_ a_4209_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X46 vdd a_529_6575# a_697_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X47 vdd a_4219_6005# out_5_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X48 vdd a_933_4943# a_947_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X49 vss a_2921_1135# out_12_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X50 a_389_5095# a_2839_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X51 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X52 out_6_ a_3155_4399# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X53 vdd a_823_6163# a_711_3631# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X54 a_453_3433# a_3667_6549# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X55 vss a_763_1135# a_855_1831# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.02e+11p ps=7.36e+06u w=650000u l=150000u
+X56 vss a_4719_1679# a_1321_6183# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X57 a_673_7663# a_485_7663# a_591_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X58 a_1367_7663# a_535_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.33e+12p pd=1.266e+07u as=0p ps=0u w=1e+06u l=150000u
+X59 out_1_ a_4577_8207# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X60 vdd a_1919_2197# a_535_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X61 a_477_9071# a_1559_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X62 vdd a_897_8207# a_1065_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X63 vss a_3523_8207# out_2_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X64 out_1_ a_4577_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X65 vss a_855_1831# a_3667_6549# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X66 vdd a_2921_1135# out_12_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X67 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X68 vss a_2011_6005# a_2041_6031# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X69 out_8_ a_4301_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X70 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X71 out_9_ a_4209_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X72 a_729_6305# a_2921_3311# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X73 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X74 out_6_ a_3155_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X75 a_547_3575# a_529_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X76 vdd a_1125_5487# a_3013_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X77 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X78 a_673_7663# a_485_7663# a_591_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X79 vdd a_529_6575# a_697_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X80 a_1223_8725# a_1827_4373# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X81 a_5043_4020# a_1485_6031# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X82 vss a_1487_565# a_979_7637# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X83 a_2191_8181# a_4667_7165# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X84 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X85 vdd a_729_6305# a_1683_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X86 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X87 vss a_2835_5095# a_2787_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X88 a_823_6163# a_3933_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X89 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X90 a_4209_4399# a_2042_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X91 vss a_4209_591# out_14_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X92 vdd in_0_ a_897_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X93 a_4179_1135# a_1225_6183# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.48e+06u as=0p ps=0u w=420000u l=150000u
+X94 out_13_ a_1551_1653# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X95 a_1225_6183# a_3391_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X96 a_1776_5099# a_1775_3855# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X97 a_737_4917# a_3051_8725# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X98 vss a_4209_4399# out_9_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X99 a_1953_6941# a_1683_6575# a_1849_6575# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X100 vdd in_1_ a_529_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X101 out_7_ a_1775_4943# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X102 vss a_763_1135# a_855_1831# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X103 vss a_2787_4943# a_2787_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X104 a_935_3829# a_697_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X105 out_2_ a_3523_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X106 vdd a_4351_5487# out_4_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X107 vss a_3391_2197# a_1225_6183# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X108 a_1171_8751# a_1223_8725# a_813_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.33e+12p pd=1.266e+07u as=1.08e+12p ps=1.016e+07u w=1e+06u l=150000u
+X109 a_1225_6183# a_3391_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X110 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X111 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X112 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X113 vdd a_4547_7119# a_4667_7165# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X114 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X115 vss a_3933_3855# a_823_6163# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X116 vss a_979_7637# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.404e+12p ps=1.472e+07u w=650000u l=150000u
+X117 vss a_535_4917# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.404e+12p ps=1.472e+07u w=650000u l=150000u
+X118 a_2839_7093# a_855_1831# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X119 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X120 vdd a_3013_591# out_15_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X121 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X122 vss a_389_5095# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X123 vss a_4127_8725# out_3_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X124 out_2_ a_3523_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X125 vss a_567_7093# out_0_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X126 a_4209_591# a_2965_8207# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X127 vss a_933_4943# a_947_5487# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X128 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X129 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X130 a_2965_8207# a_2787_8207# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X131 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X132 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X133 out_1_ a_4577_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X134 a_1849_6575# a_737_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.297e+11p pd=3.25e+06u as=0p ps=0u w=420000u l=150000u
+X135 a_2125_6941# a_737_4917# a_2025_6941# vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X136 a_1919_2197# a_547_3575# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X137 out_4_ a_4351_5487# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X138 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X139 a_641_4917# a_935_3829# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X140 vss a_1223_8725# a_3145_7983# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.02e+11p ps=7.36e+06u w=650000u l=150000u
+X141 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X142 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X143 a_3563_2813# a_3509_2919# a_3463_2813# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X144 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X145 vss a_897_8207# a_1065_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X146 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X147 vss a_4127_3285# out_10_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X148 a_697_6575# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X149 a_1209_3311# a_711_3631# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X150 a_1765_5807# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X151 a_903_7093# a_1025_1679# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X152 vss a_529_6575# a_697_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X153 vdd a_697_6575# a_1827_4373# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X154 vdd a_3150_2919# a_3019_6557# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X155 vss a_1223_8725# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X156 a_2835_5095# a_453_3433# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.297e+11p pd=3.25e+06u as=0p ps=0u w=420000u l=150000u
+X157 vdd a_3013_591# out_15_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X158 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X159 vss a_1223_8725# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X160 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X161 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X162 a_1065_8207# a_897_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X163 vss a_729_6305# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X164 out_1_ a_4577_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X165 a_2011_6005# a_2167_6164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X166 a_3567_1929# a_1225_6183# a_3209_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X167 a_2221_7119# a_1723_7165# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X168 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X169 vss a_4577_2767# out_11_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X170 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X171 a_3245_6031# a_729_6305# vss vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=0p ps=0u w=420000u l=150000u
+X172 a_673_7663# a_485_7663# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X173 a_3111_6183# a_3475_6005# a_3433_6031# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X174 vdd a_1827_4373# a_1223_8725# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X175 a_2839_7093# a_855_1831# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X176 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X177 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X178 vdd a_1827_4373# a_1223_8725# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X179 vss a_2825_1373# a_2921_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X180 a_1065_8207# a_897_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X181 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X182 a_1683_5487# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X183 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X184 out_8_ a_4301_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X185 a_2840_6549# a_3019_6557# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X186 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X187 out_5_ a_4219_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X188 out_9_ a_4209_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X189 a_979_7637# a_1487_565# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X190 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X191 vss a_3155_4399# out_6_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X192 a_4209_4399# a_2042_8207# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X193 vdd a_1827_4373# a_1223_8725# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X194 a_1321_6183# a_4719_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X195 a_1009_7663# a_1223_8725# a_1367_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X196 a_389_5095# a_2839_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X197 a_855_1831# a_763_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X198 vdd a_1603_7119# a_1723_7165# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X199 vss a_3141_3855# a_3155_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X200 vdd a_641_4917# a_1731_3543# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X201 vss a_4577_8207# out_1_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X202 vss a_729_6305# a_671_6031# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X203 a_1765_5807# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X204 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X205 a_673_7663# a_1223_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X206 vss a_529_6575# a_697_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X207 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X208 vss a_2839_7093# a_389_5095# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X209 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X210 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X211 a_673_7663# a_1223_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X212 vdd a_547_3575# a_855_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X213 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X214 a_2053_3677# a_1021_4399# a_1953_3677# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X215 a_1171_8751# a_1559_8725# a_477_9071# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X216 vdd a_729_6305# a_4351_7119# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X217 a_4667_7165# a_737_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X218 vdd a_3475_6005# a_3111_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.297e+11p ps=3.25e+06u w=420000u l=150000u
+X219 a_2095_3632# a_453_3433# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X220 vdd a_855_1831# a_3667_6549# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X221 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X222 vss a_2221_7119# a_2971_3855# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X223 vdd a_4179_1135# a_4299_1455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X224 vss a_535_4917# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X225 a_395_4943# a_641_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.646e+11p pd=2.94e+06u as=0p ps=0u w=420000u l=150000u
+X226 vss a_1919_2197# a_535_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X227 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X228 vss a_2012_8181# a_2042_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X229 vss a_1919_2197# a_535_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X230 vss a_1827_4373# a_1223_8725# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X231 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X232 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X233 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X234 a_3359_2813# a_1021_4399# vss vss sky130_fd_pr__nfet_01v8 ad=1.554e+11p pd=1.58e+06u as=0p ps=0u w=420000u l=150000u
+X235 a_1171_8751# a_1559_8725# a_477_9071# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X236 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X237 out_13_ a_1551_1653# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X238 a_3391_2197# a_697_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X239 a_4588_2197# a_4767_2205# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X240 vdd a_3667_6549# a_453_3433# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X241 vdd a_1487_565# a_979_7637# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X242 out_15_ a_3013_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X243 vdd a_3667_6549# a_453_3433# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X244 a_2095_3632# a_453_3433# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X245 a_1065_8207# a_897_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X246 out_11_ a_4577_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X247 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X248 vdd a_2921_3311# a_729_6305# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X249 a_389_5095# a_2839_7093# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X250 vss a_855_4399# a_1021_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X251 a_2051_2919# a_1849_6575# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X252 a_1171_8751# a_1223_8725# a_813_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X253 a_823_6163# a_3933_3855# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X254 vss a_855_1831# a_2839_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X255 vdd a_1321_6183# a_3150_2919# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X256 vdd a_1223_8725# a_2847_5461# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X257 a_903_7093# a_1025_1679# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X258 vss a_1551_1653# out_13_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X259 a_477_9071# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X260 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X261 a_903_7093# a_979_7637# a_3567_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X262 a_1209_3311# a_711_3631# vss vss sky130_fd_pr__nfet_01v8 ad=1.654e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X263 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X264 vss a_1551_1653# out_13_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X265 a_535_4917# a_1919_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X266 a_477_9071# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X267 out_0_ a_567_7093# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X268 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X269 a_2012_8181# a_2191_8181# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X270 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X271 a_4209_591# a_2965_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X272 vdd a_547_3575# a_2921_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X273 a_591_7663# a_979_7637# a_1009_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X274 vss a_547_3575# a_1919_2197# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X275 a_3463_2813# a_1321_6183# a_3359_2813# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X276 a_2101_5487# a_535_4917# a_1683_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.08e+12p pd=1.016e+07u as=0p ps=0u w=1e+06u l=150000u
+X277 a_4675_7882# a_1209_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X278 vss a_4351_5487# out_4_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X279 vdd a_389_5095# a_1683_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X280 a_3145_7983# a_3730_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X281 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X282 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X283 vss a_2921_1135# out_12_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X284 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X285 vdd a_1321_6183# a_4667_7165# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X286 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X287 vdd a_4719_1679# a_1321_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X288 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X289 a_591_7663# a_979_7637# a_1009_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X290 a_4219_6005# a_4618_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X291 vss a_1025_1679# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X292 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X293 a_477_9071# a_1559_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X294 vss a_3523_8207# out_2_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X295 a_4577_8207# a_477_9071# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X296 vss a_3667_6549# a_453_3433# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X297 a_2835_5095# a_3199_4917# a_3157_4943# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X298 vss a_3013_591# out_15_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X299 vdd a_535_4917# a_1367_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X300 a_1723_7165# a_641_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X301 vss a_3111_6183# a_2167_6164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X302 vdd a_389_5095# a_1683_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X303 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X304 vdd a_979_7637# a_1559_8725# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X305 a_1919_2197# a_547_3575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X306 a_1065_8207# a_897_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X307 vss a_4577_8207# out_1_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X308 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X309 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X310 vdd a_4301_4943# out_8_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X311 vdd a_4209_4399# out_9_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X312 vss a_4209_591# out_14_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X313 vss a_485_7663# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X314 out_14_ a_4209_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X315 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X316 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X317 vss a_485_7663# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X318 out_5_ a_4219_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X319 vss a_4301_4943# out_8_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X320 vss a_4301_4943# out_8_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X321 vdd a_1321_6183# a_1849_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X322 a_4299_1455# a_737_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X323 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X324 vdd a_535_4917# a_1367_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X325 a_979_7637# a_1487_565# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X326 a_535_4917# a_1919_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X327 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X328 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X329 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X330 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X331 vdd a_673_7663# a_4301_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X332 vdd a_2041_6031# a_1551_1653# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X333 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X334 vdd a_2042_8207# a_4209_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X335 vdd a_1551_1653# out_13_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X336 vdd a_3391_2197# a_1225_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X337 a_453_3433# a_3667_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X338 out_5_ a_4219_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X339 vss a_763_1135# a_855_1831# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X340 out_6_ a_3155_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X341 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X342 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X343 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X344 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X345 vss a_763_1135# a_855_1831# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X346 vss a_3391_2197# a_1225_6183# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X347 a_1009_7663# a_1223_8725# a_1367_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X348 a_535_4917# a_1919_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X349 vdd a_3523_8207# out_2_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X350 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X351 vss a_4588_2197# a_4618_2223# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X352 vdd a_535_4917# a_3730_7637# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X353 a_697_6575# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X354 a_389_5095# a_2839_7093# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X355 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X356 out_14_ a_4209_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X357 vdd a_1551_1653# out_13_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X358 vdd a_3391_2197# a_1225_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X359 a_389_5095# a_2839_7093# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X360 a_3433_6031# a_737_4917# a_3333_6031# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X361 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X362 out_13_ a_1551_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X363 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X364 a_1731_3543# a_1021_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X365 a_4939_7165# a_737_4917# a_4839_7165# vss sky130_fd_pr__nfet_01v8 ad=1.554e+11p pd=1.58e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X366 a_3475_6005# a_1225_6183# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X367 a_565_4943# a_535_4917# a_477_4943# vss sky130_fd_pr__nfet_01v8 ad=1.596e+11p pd=1.6e+06u as=0p ps=0u w=420000u l=150000u
+X368 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X369 a_3150_2919# a_3509_2919# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X370 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X371 a_979_7637# a_1487_565# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X372 a_3013_591# a_1125_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X373 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X374 a_729_6305# a_2921_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X375 vdd a_3523_8207# out_2_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X376 vss a_1827_4373# a_1223_8725# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X377 a_535_4917# a_1919_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X378 out_4_ a_4351_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X379 a_2787_1929# a_1025_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.35e+12p pd=1.27e+07u as=0p ps=0u w=1e+06u l=150000u
+X380 vss a_529_2767# a_547_3575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X381 a_813_8751# a_1223_8725# a_1171_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X382 a_763_1135# in_3_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=0p ps=0u w=1e+06u l=150000u
+X383 vdd a_3391_2197# a_1225_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X384 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X385 vss a_389_5095# a_3145_7983# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X386 a_2221_2767# a_2051_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X387 vss a_897_8207# a_1065_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X388 a_1125_5487# a_947_5487# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X389 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X390 vdd a_4627_7663# a_4127_8725# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X391 vdd a_1765_5807# a_3523_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X392 vss a_897_8207# a_1065_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X393 a_729_6305# a_2921_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X394 a_1603_7119# a_823_6163# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X395 a_3199_4917# a_823_6163# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X396 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X397 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X398 a_983_3631# a_641_4917# a_883_3631# vss sky130_fd_pr__nfet_01v8 ad=1.554e+11p pd=1.58e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X399 vss a_2847_5461# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X400 vss a_729_6305# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X401 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X402 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X403 vss a_535_4917# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X404 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X405 a_1487_565# a_1065_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X406 vdd a_591_3311# a_711_3631# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X407 vdd a_4127_8725# out_3_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X408 vss a_567_7093# out_0_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X409 out_15_ a_3013_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X410 a_1723_7165# a_1407_7119# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X411 a_4301_4943# a_673_7663# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X412 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X413 a_4618_2223# a_4588_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X414 vdd a_2221_7119# a_2971_3855# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X415 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X416 a_1367_7663# a_535_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X417 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X418 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X419 a_1827_4373# a_697_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X420 vss a_453_3433# a_1407_7119# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X421 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X422 a_395_4943# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X423 vdd a_4127_8725# out_3_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X424 vss a_3730_7637# a_3145_7983# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X425 vdd a_763_1135# a_855_1831# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.08e+12p ps=1.016e+07u w=1e+06u l=150000u
+X426 out_12_ a_2921_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X427 vss a_5043_4020# a_4631_3285# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X428 vss a_529_2767# a_547_3575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X429 out_12_ a_2921_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X430 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X431 a_4299_1455# a_3983_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X432 a_855_1831# a_763_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X433 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X434 a_1225_6183# a_3391_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X435 vss in_0_ a_897_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X436 vdd a_4219_6005# out_5_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X437 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X438 vss a_4577_8207# out_1_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X439 a_4219_6005# a_4618_2223# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X440 vdd a_2965_8207# a_4209_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X441 a_1223_8725# a_1827_4373# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X442 a_4179_1135# a_1225_6183# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X443 a_2921_3311# a_547_3575# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X444 out_2_ a_3523_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X445 a_1367_7663# a_1223_8725# a_1009_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X446 a_1765_5807# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X447 a_4588_2197# a_4767_2205# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X448 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X449 vss in_1_ a_529_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X450 vdd a_1919_2197# a_535_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X451 vss a_1321_6183# a_2125_6941# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X452 vss a_737_4917# a_671_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X453 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X454 vss a_535_4917# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X455 a_1021_4399# a_855_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X456 vdd a_4301_4943# out_8_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X457 vss a_2921_3311# a_729_6305# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X458 a_673_7663# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X459 vss a_855_1831# a_855_1679# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X460 a_3475_6005# a_1225_6183# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X461 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X462 out_8_ a_4301_4943# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X463 vdd a_2839_7093# a_389_5095# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X464 out_8_ a_4301_4943# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X465 vdd a_1065_8207# a_3933_3855# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X466 vdd a_855_1831# a_4719_1679# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X467 a_2221_2767# a_2051_2767# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X468 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X469 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X470 a_4571_1455# a_737_4917# a_4471_1455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X471 vdd a_529_2767# a_547_3575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X472 vdd a_567_7093# out_0_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X473 vss a_1775_4943# out_7_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X474 vdd in_3_ a_763_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X475 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X476 a_3063_7663# a_1223_8725# a_3313_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.9e+11p pd=7.58e+06u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X477 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X478 vdd a_4209_591# out_14_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X479 vdd a_1551_1653# out_13_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X480 vss a_729_6305# a_4351_7119# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X481 vss a_1776_5099# a_1775_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X482 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X483 a_3391_2197# a_697_6575# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X484 a_3667_6549# a_855_1831# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X485 a_1073_6077# a_671_6031# a_987_6077# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X486 a_3157_4943# a_641_4917# a_3057_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X487 a_1225_6183# a_3391_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X488 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X489 a_3333_6031# a_1321_6183# a_3245_6031# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X490 a_3199_4917# a_823_6163# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X491 vss a_855_4399# a_1021_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X492 vdd a_1487_565# a_979_7637# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X493 vss a_1225_6183# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X494 a_4839_7165# a_4547_7119# a_4753_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X495 vss a_1225_6183# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X496 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X497 vdd a_3199_4917# a_2835_5095# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X498 a_2965_8207# a_2787_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X499 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X500 a_4547_7119# a_697_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.48e+06u as=0p ps=0u w=420000u l=150000u
+X501 vss a_529_6575# a_697_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X502 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X503 vss a_2839_7093# a_389_5095# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X504 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X505 a_3063_7663# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X506 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X507 vss a_1551_1653# out_13_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X508 vdd a_4631_3285# a_4127_3285# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X509 vdd a_737_4917# a_395_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X510 a_477_9071# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X511 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X512 out_0_ a_567_7093# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X513 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X514 a_2835_5095# a_641_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X515 vdd a_4577_8207# out_1_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X516 vss a_979_7637# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X517 a_711_3631# a_641_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X518 a_4127_8725# a_4627_7663# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X519 vdd a_1021_4399# a_4299_1455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X520 vss a_1919_2197# a_535_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X521 a_729_6305# a_2921_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X522 vdd a_1776_5099# a_1775_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X523 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X524 a_1025_1679# a_855_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X525 a_3145_7983# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X526 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X527 a_813_8751# a_1223_8725# a_1171_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X528 a_1683_5487# a_535_4917# a_2101_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X529 vdd a_4127_3285# out_10_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X530 vss a_3013_591# out_15_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X531 vdd a_535_4917# a_395_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X532 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X533 vdd a_4577_8207# out_1_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X534 vss a_947_5487# a_1125_5487# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X535 vdd a_1683_3311# a_1775_3855# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X536 a_883_3631# a_591_3311# a_797_3631# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X537 out_15_ a_3013_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X538 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X539 vss a_4219_6005# out_5_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X540 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X541 vss a_3150_2919# a_3019_6557# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.654e+11p ps=1.82e+06u w=650000u l=150000u
+X542 a_3141_3855# a_2971_3855# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X543 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X544 vss a_4219_6005# out_5_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X545 a_4127_3285# a_4631_3285# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X546 vss a_1765_5807# a_3523_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X547 a_3510_7663# a_3730_7637# a_3145_7983# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.9e+11p pd=7.58e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X548 a_823_6163# a_3933_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X549 a_2921_3311# a_547_3575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X550 vss a_2847_5461# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X551 vdd a_4127_3285# out_10_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X552 a_1683_5487# a_535_4917# a_2101_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X553 a_903_7093# a_729_6305# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X554 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X555 a_1765_5807# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X556 out_6_ a_3155_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X557 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X558 out_3_ a_4127_8725# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X559 vdd a_529_6575# a_697_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X560 vdd a_763_1135# a_855_1831# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X561 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X562 vss a_673_7663# a_4301_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X563 a_1321_6183# a_4719_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X564 a_3510_7663# a_979_7637# a_3313_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X565 a_823_6163# a_3933_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X566 a_797_3631# a_395_3311# a_711_3631# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X567 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X568 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X569 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X570 a_3933_3855# a_1065_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X571 a_3150_2919# a_1021_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X572 a_2051_2919# a_1849_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X573 vss a_3051_8725# a_737_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X574 vss a_4209_591# out_14_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X575 a_935_3829# a_697_6575# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X576 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X577 a_2011_6005# a_2167_6164# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X578 a_1765_5807# a_2847_5461# a_2459_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=1.33e+12p ps=1.266e+07u w=1e+06u l=150000u
+X579 vss a_2921_1135# out_12_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X580 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X581 a_737_4917# a_3051_8725# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X582 a_641_4917# a_935_3829# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X583 vdd a_763_1135# a_855_1831# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X584 a_547_3575# a_529_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X585 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X586 a_3111_6183# a_737_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X587 vdd a_4351_5487# out_4_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X588 vss a_3391_2197# a_1225_6183# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X589 a_1603_7119# a_823_6163# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.48e+06u as=0p ps=0u w=420000u l=150000u
+X590 vss a_4618_2223# a_4219_6005# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X591 vdd a_4219_6005# out_5_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X592 vss a_389_5095# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X593 vss a_4209_4399# out_9_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X594 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X595 vss a_1223_8725# a_2847_5461# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X596 vss a_1775_4943# out_7_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X597 vss a_547_3575# a_2921_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X598 vss a_389_5095# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X599 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X600 a_1367_7663# a_1223_8725# a_1009_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X601 a_697_6575# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X602 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X603 a_2101_5487# a_979_7637# a_2459_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X604 vdd a_1919_2197# a_535_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X605 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X606 a_4301_4943# a_673_7663# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X607 a_1551_1653# a_2041_6031# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X608 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X609 vdd a_389_5095# a_3063_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X610 vss a_3013_591# out_15_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X611 vss a_4301_4943# out_8_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X612 a_4471_1455# a_4179_1135# a_4385_1455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X613 a_535_4917# a_1919_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X614 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X615 a_395_8751# a_535_4917# a_813_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.33e+12p pd=1.266e+07u as=0p ps=0u w=1e+06u l=150000u
+X616 a_3111_6183# a_729_6305# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X617 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X618 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X619 vss a_4127_8725# out_3_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X620 vdd a_2787_8207# a_2965_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X621 a_2191_8181# a_4667_7165# vss vss sky130_fd_pr__nfet_01v8 ad=1.654e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X622 a_987_6077# a_671_6031# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=0p ps=0u w=420000u l=150000u
+X623 vdd a_3111_6183# a_2167_6164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X624 a_4767_2205# a_4299_1455# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X625 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X626 a_3209_1929# a_729_6305# a_2787_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X627 vdd a_2921_3311# a_729_6305# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X628 vss a_2787_8207# a_2965_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X629 a_3057_4943# a_1021_4399# a_2969_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X630 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X631 a_2825_1373# a_2840_6549# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X632 a_1827_4373# a_697_6575# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X633 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X634 out_13_ a_1551_1653# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X635 a_711_3631# a_395_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X636 a_1021_4399# a_855_4399# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X637 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X638 vdd in_3_ a_763_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X639 vdd a_4577_2767# out_11_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X640 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X641 a_641_4917# a_935_3829# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X642 vss a_697_6575# a_3391_2197# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X643 vss a_979_7637# a_1559_8725# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X644 vss a_823_6163# a_983_3631# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X645 a_395_8751# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X646 vss in_3_ a_763_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X647 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X648 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X649 out_1_ a_4577_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X650 vdd a_729_6305# a_671_6031# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X651 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X652 a_1021_4399# a_855_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X653 vss in_3_ a_763_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X654 a_903_7093# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X655 a_3933_3855# a_1065_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X656 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X657 a_3209_1929# a_729_6305# a_2787_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X658 vdd a_2921_3311# a_729_6305# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X659 vss a_4127_3285# out_10_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X660 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X661 a_4385_1455# a_3983_1135# a_4299_1455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X662 out_15_ a_3013_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X663 a_3150_2919# a_3619_2741# a_3563_2813# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X664 a_1765_5807# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X665 vss a_1025_1679# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X666 vdd a_1025_1679# a_2787_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X667 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X668 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X669 out_13_ a_1551_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X670 a_1225_6183# a_3391_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X671 vdd a_3619_2741# a_3150_2919# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X672 vss a_389_5095# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X673 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X674 a_591_3311# a_547_3575# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X675 out_13_ a_1551_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X676 vdd a_4577_2767# out_11_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X677 a_485_7663# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X678 a_4577_8207# a_477_9071# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X679 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X680 a_4127_8725# a_4627_7663# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X681 vss a_4627_7663# a_4127_8725# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X682 vss a_2221_2767# a_4577_2767# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X683 a_1485_6031# a_987_6077# vss vss sky130_fd_pr__nfet_01v8 ad=1.654e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X684 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X685 a_737_4917# a_3051_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X686 vdd a_1775_4943# out_7_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X687 vdd a_4588_2197# a_4618_2223# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X688 vss a_979_7637# a_3145_7983# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X689 out_10_ a_4127_3285# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X690 vdd a_3141_3855# a_3155_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X691 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X692 vss a_855_1831# a_4719_1679# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X693 vss in_2_ a_529_2767# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X694 out_12_ a_2921_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X695 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X696 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X697 out_3_ a_4127_8725# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X698 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X699 a_855_1831# a_763_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X700 out_5_ a_4219_6005# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X701 vss a_453_3433# a_395_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X702 a_4767_2205# a_4299_1455# vss vss sky130_fd_pr__nfet_01v8 ad=1.654e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X703 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X704 out_2_ a_3523_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X705 vss a_3667_6549# a_453_3433# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X706 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X707 vss a_4631_3285# a_4127_3285# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X708 vdd a_2921_1135# out_12_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X709 out_14_ a_4209_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X710 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X711 vdd a_2835_5095# a_2787_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X712 a_1021_4399# a_855_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X713 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X714 vdd a_1321_6183# a_987_6077# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X715 a_1865_3677# a_823_6163# vss vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=0p ps=0u w=420000u l=150000u
+X716 vss a_1559_8725# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X717 vdd a_2840_6549# a_2825_1373# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X718 vss a_535_4917# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X719 vdd a_947_5487# a_1125_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X720 vss a_2921_3311# a_729_6305# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X721 vss a_1021_4399# a_1995_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.554e+11p ps=1.58e+06u w=420000u l=150000u
+X722 a_2041_6031# a_2011_6005# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X723 vdd a_3013_591# out_15_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X724 out_3_ a_4127_8725# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X725 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X726 vdd a_855_4399# a_1021_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X727 a_855_1831# a_763_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X728 a_1009_7663# a_979_7637# a_591_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X729 a_389_5095# a_2839_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X730 a_867_6031# a_823_6163# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X731 out_8_ a_4301_4943# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X732 a_933_4943# a_395_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X733 vdd a_2825_1373# a_2921_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X734 vss a_4675_7882# a_4627_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X735 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X736 vdd a_2012_8181# a_2042_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X737 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X738 a_855_1831# a_763_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X739 a_3051_8725# a_1065_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X740 vdd a_3667_6549# a_453_3433# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X741 vdd a_855_4399# a_1021_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X742 vss a_529_2767# a_547_3575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X743 vdd a_935_3829# a_641_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X744 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X745 a_567_7093# a_903_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X746 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X747 out_9_ a_4209_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X748 a_1225_6183# a_3391_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X749 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X750 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X751 out_9_ a_4209_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X752 vdd a_4209_591# out_14_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X753 vdd a_389_5095# a_395_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X754 a_4618_2223# a_4588_2197# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X755 vdd a_1021_4399# a_2835_5095# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X756 a_2012_8181# a_2191_8181# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X757 a_903_7093# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X758 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X759 a_673_7663# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X760 out_0_ a_567_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X761 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X762 a_547_3575# a_529_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X763 a_3313_7663# a_1223_8725# a_3063_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X764 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X765 a_5043_4020# a_1485_6031# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X766 out_11_ a_4577_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X767 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X768 vss a_979_7637# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X769 a_1223_8725# a_1827_4373# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X770 vss a_1321_6183# a_4939_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X771 vss a_1125_5487# a_3013_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X772 a_1551_1653# a_2041_6031# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X773 out_3_ a_4127_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X774 vdd a_389_5095# a_395_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X775 a_1223_8725# a_1827_4373# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X776 a_1487_565# a_1065_8207# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X777 a_671_4943# a_641_4917# a_565_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X778 a_3141_3855# a_2971_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X779 vdd a_5043_4020# a_4631_3285# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X780 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X781 vss a_2840_6549# a_2825_1373# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X782 vss a_697_6575# a_1827_4373# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X783 a_547_3575# a_529_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X784 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X785 vdd a_2787_4943# a_2787_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X786 out_0_ a_567_7093# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X787 out_7_ a_1775_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X788 a_4577_2767# a_2221_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X789 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X790 vdd a_4209_591# out_14_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X791 a_4127_3285# a_4631_3285# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X792 vdd a_1731_3543# a_1683_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X793 out_1_ a_4577_8207# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X794 a_3619_2741# a_1225_6183# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.68e+11p pd=1.64e+06u as=0p ps=0u w=420000u l=150000u
+X795 out_10_ a_4127_3285# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X796 vss a_979_7637# a_1765_5807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X797 out_7_ a_1775_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X798 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X799 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X800 vss a_1683_3311# a_1775_3855# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X801 a_903_7093# a_729_6305# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X802 a_529_2767# in_2_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X803 a_697_6575# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X804 a_3209_1929# a_1225_6183# a_3567_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X805 a_1995_7165# a_641_4917# a_1895_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X806 out_10_ a_4127_3285# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X807 a_591_3311# a_547_3575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.48e+06u as=0p ps=0u w=420000u l=150000u
+X808 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X809 vdd a_3155_4399# out_6_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X810 vss a_2965_8207# a_4209_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X811 vdd a_4127_8725# out_3_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X812 vdd a_453_3433# a_1407_7119# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X813 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X814 out_11_ a_4577_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X815 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X816 vdd a_1321_6183# a_3111_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X817 out_11_ a_4577_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X818 a_3619_2741# a_1225_6183# vss vss sky130_fd_pr__nfet_01v8 ad=1.68e+11p pd=1.64e+06u as=0p ps=0u w=420000u l=150000u
+X819 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X820 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X821 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X822 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X823 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X824 out_12_ a_2921_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X825 vss a_3051_8725# a_737_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X826 a_3145_7983# a_3730_7637# a_3510_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X827 vdd a_3933_3855# a_823_6163# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X828 a_2101_5487# a_535_4917# a_1683_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X829 out_10_ a_4127_3285# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X830 a_1321_6183# a_4719_1679# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X831 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X832 a_547_3575# a_529_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X833 a_2042_8207# a_2012_8181# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X834 vdd a_3155_4399# out_6_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X835 vdd a_453_3433# a_3983_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X836 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X837 a_591_7663# a_485_7663# a_673_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X838 a_2459_5487# a_2847_5461# a_1765_5807# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X839 vdd a_3051_8725# a_737_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X840 a_897_8207# in_0_ vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X841 a_697_6575# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X842 vss a_4219_6005# out_5_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X843 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X844 a_855_1831# a_763_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X845 vss a_2042_8207# a_4209_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X846 a_453_3433# a_3667_6549# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X847 out_12_ a_2921_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X848 a_535_4917# a_1919_2197# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X849 a_3313_7663# a_979_7637# a_3510_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X850 a_1849_6575# a_1683_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X851 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X852 vdd a_3933_3855# a_823_6163# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X853 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X854 a_529_6575# in_1_ vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X855 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X856 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X857 vss a_547_3575# a_855_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X858 a_1765_5807# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X859 a_2459_5487# a_2847_5461# a_1765_5807# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X860 vss a_1065_8207# a_3509_2919# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X861 a_729_6305# a_2921_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X862 vss a_1223_8725# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X863 a_729_6305# a_2921_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X864 vss a_1223_8725# a_673_7663# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X865 a_2459_5487# a_979_7637# a_2101_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X866 vss a_535_4917# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X867 vdd a_3051_8725# a_737_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X868 a_4667_7165# a_4351_7119# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X869 a_697_6575# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X870 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X871 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X872 out_4_ a_4351_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X873 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X874 a_1259_6077# a_1225_6183# a_1159_6077# vss sky130_fd_pr__nfet_01v8 ad=1.554e+11p pd=1.58e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X875 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X876 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X877 a_897_8207# in_0_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X878 a_2840_6549# a_3019_6557# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X879 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X880 a_813_8751# a_535_4917# a_395_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X881 a_1223_8725# a_1827_4373# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X882 a_2042_8207# a_2012_8181# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X883 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X884 a_2459_5487# a_979_7637# a_2101_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X885 a_2787_1929# a_729_6305# a_3209_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X886 a_529_6575# in_1_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X887 vss a_1487_565# a_979_7637# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X888 vdd a_935_3829# a_641_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X889 a_1776_5099# a_1775_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X890 out_4_ a_4351_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X891 vss a_4209_4399# out_9_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X892 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X893 a_903_7093# a_1225_6183# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X894 vdd a_4577_8207# out_1_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X895 a_933_4943# a_395_4943# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X896 a_3013_591# a_1125_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X897 a_903_7093# a_1225_6183# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X898 a_813_8751# a_535_4917# a_395_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X899 vdd a_1021_4399# a_1723_7165# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X900 vss a_2839_7093# a_389_5095# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X901 vss a_3933_3855# a_823_6163# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X902 a_2787_1929# a_729_6305# a_3209_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X903 vss a_3933_3855# a_823_6163# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X904 vss a_979_7637# a_903_7093# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X905 vss a_1321_6183# a_1259_6077# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X906 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X907 out_11_ a_4577_2767# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X908 a_673_7663# a_979_7637# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X909 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X910 vdd a_867_6031# a_987_6077# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X911 out_14_ a_4209_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X912 vss a_2041_6031# a_1551_1653# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X913 vdd a_2051_2919# a_2051_2767# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X914 vss a_4127_8725# out_3_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X915 vdd a_477_9071# a_4577_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X916 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X917 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X918 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X919 a_2787_1929# a_1025_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X920 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X921 out_11_ a_4577_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X922 out_14_ a_4209_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X923 a_903_7093# a_979_7637# a_3567_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X924 vdd a_4127_3285# out_10_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X925 a_867_6031# a_823_6163# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.48e+06u as=0p ps=0u w=420000u l=150000u
+X926 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X927 out_5_ a_4219_6005# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X928 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X929 vdd a_855_1831# a_2839_7093# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X930 a_1895_7165# a_1603_7119# a_1809_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X931 out_5_ a_4219_6005# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X932 a_1321_6183# a_4719_1679# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X933 vss a_4127_3285# out_10_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X934 vss a_729_6305# a_1683_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X935 a_1765_5807# a_2847_5461# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X936 vdd a_897_8207# a_1065_8207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X937 a_2025_6941# a_1223_8725# a_1953_6941# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X938 vss a_2051_2919# a_2051_2767# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X939 vss a_1559_8725# a_477_9071# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X940 vss a_477_9071# a_4577_8207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X941 a_3667_6549# a_855_1831# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X942 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X943 a_3209_1929# a_1225_6183# a_3567_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X944 a_453_3433# a_3667_6549# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X945 vdd a_2011_6005# a_2041_6031# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X946 vdd a_4301_4943# out_8_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X947 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X948 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X949 vdd a_4209_4399# out_9_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X950 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X951 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X952 a_1765_5807# a_2847_5461# a_2459_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X953 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X954 out_15_ a_3013_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X955 vdd a_4719_1679# a_1321_6183# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X956 vdd a_2839_7093# a_389_5095# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X957 vss a_4577_2767# out_11_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X958 a_1223_8725# a_1827_4373# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X959 a_673_7663# a_485_7663# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X960 vdd a_2839_7093# a_389_5095# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X961 a_3051_8725# a_1065_8207# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X962 a_395_8751# a_535_4917# a_813_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X963 a_737_4917# a_3051_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X964 vdd a_3933_3855# a_823_6163# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X965 out_12_ a_2921_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X966 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X967 a_1809_7165# a_1407_7119# a_1723_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X968 vss a_935_3829# a_641_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X969 vdd a_4675_7882# a_4627_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X970 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X971 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X972 vdd a_4209_4399# out_9_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X973 a_2825_1373# a_2840_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X974 a_1125_5487# a_947_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X975 vss a_935_3829# a_641_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X976 vss a_4719_1679# a_1321_6183# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X977 vss a_535_4917# a_3730_7637# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X978 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X979 vss a_453_3433# a_3983_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X980 vss a_1731_3543# a_1683_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X981 a_2101_5487# a_979_7637# a_2459_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X982 a_2969_4943# a_453_3433# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X983 vdd a_763_1135# a_855_1831# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X984 a_591_7663# a_485_7663# a_673_7663# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X985 a_641_4917# a_935_3829# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X986 a_855_1831# a_763_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X987 vdd a_3145_7983# a_4351_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X988 out_7_ a_1775_4943# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X989 vss a_3155_4399# out_6_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X990 out_9_ a_4209_4399# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X991 vss a_3667_6549# a_453_3433# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X992 a_855_1831# a_763_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X993 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X994 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X995 a_4547_7119# a_697_6575# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X996 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X997 a_2921_1135# a_2825_1373# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X998 a_477_9071# a_1559_8725# a_1171_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X999 a_1159_6077# a_867_6031# a_1073_6077# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1000 a_979_7637# a_1487_565# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1001 vdd a_697_6575# a_3391_2197# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1002 vss a_2921_3311# a_729_6305# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1003 vdd a_1223_8725# a_1849_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1004 a_823_6163# a_3933_3855# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1005 a_673_7663# a_535_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1006 vdd a_529_2767# a_547_3575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1007 out_14_ a_4209_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1008 a_453_3433# a_3667_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1009 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1010 vdd a_4577_2767# out_11_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1011 out_3_ a_4127_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1012 vdd a_1065_8207# a_3509_2919# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.344e+11p ps=1.48e+06u w=420000u l=150000u
+X1013 out_3_ a_4127_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1014 a_987_6077# a_1225_6183# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1015 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1016 a_395_8751# a_389_5095# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1017 a_477_9071# a_1559_8725# a_1171_8751# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1018 a_485_7663# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1019 vss a_1827_4373# a_1223_8725# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1020 out_15_ a_3013_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1021 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1022 vss a_4351_5487# out_4_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1023 vdd a_1025_1679# a_2787_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1024 vss a_3145_7983# a_4351_5487# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1025 vdd a_453_3433# a_395_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.68e+11p ps=1.64e+06u w=420000u l=150000u
+X1026 a_453_3433# a_3667_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1027 a_3567_1929# a_979_7637# a_903_7093# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1028 a_1953_3677# a_641_4917# a_1865_3677# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1029 a_3145_7983# a_1223_8725# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1030 a_4753_7165# a_4351_7119# a_4667_7165# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1031 a_547_3575# a_529_2767# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1032 vdd a_529_2767# a_547_3575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1033 vdd a_2095_3632# a_1731_3543# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1034 vdd a_567_7093# out_0_ vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1035 a_1025_1679# a_855_1679# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1036 a_1065_8207# a_897_8207# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1037 a_3145_7983# a_389_5095# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1038 a_763_1135# in_3_ vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1039 vdd a_2221_2767# a_4577_2767# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
diff --git a/xschem/sub/bandgap/decoder4to16.sym b/xschem/sub/bandgap/decoder4to16.sym
new file mode 100644
index 0000000..3c13c79
--- /dev/null
+++ b/xschem/sub/bandgap/decoder4to16.sym
@@ -0,0 +1,34 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=primitive
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 17.5 -212.5 22.5 -207.5 {name=vdd dir=inout }
+B 5 -2.5 -212.5 2.5 -207.5 {name=vss dir=inout }
+B 5 77.5 -142.5 82.5 -137.5 {name=out[15:0] dir=out }
+B 5 -52.5 -112.5 -47.5 -107.5 {name=in[0] dir=in}
+B 5 -52.5 -132.5 -47.5 -127.5 {name=in[1] dir=in}
+B 5 -52.5 -152.5 -47.5 -147.5 {name=in[2] dir=in}
+B 5 -52.5 -172.5 -47.5 -167.5 {name=in[3] dir=in}
+P 4 2 80 -140 60 -140 {}
+P 4 2 -50 -130 -30 -130 {}
+P 4 2 -50 -150 -30 -150 {}
+P 4 2 0 -210 0 -190 {}
+P 4 2 20 -210 20 -190 {}
+P 4 2 -50 -170 -30 -170 {}
+P 4 2 -50 -110 -30 -110 {}
+P 4 2 -30 -190 60 -190 {}
+P 4 2 -30 -90 60 -90 {}
+P 4 2 -30 -190 -30 -90 {}
+P 4 2 60 -190 60 -90 {}
+T {@symname} 53.5 -91 2 0 0.2 0.2 {}
+T {@name} 31 -205 0 0 0.2 0.2 {}
+T {vdd} 11 -165 3 0 0.2 0.2 {}
+T {vss} 5 -186 1 0 0.2 0.2 {}
+T {out[15:0]} 5 -145 0 0 0.2 0.2 {}
+T {0} -25 -115 0 0 0.2 0.2 {}
+T {3} -25 -175 0 0 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias.pex.sp b/xschem/sub/bias/bias.pex.sp
new file mode 100644
index 0000000..b2ced26
--- /dev/null
+++ b/xschem/sub/bias/bias.pex.sp
@@ -0,0 +1,228 @@
+* NGSPICE file created from TOP.ext - technology: sky130A
+
+
+* Top level circuit TOP
+
+.subckt bias iamp vbg ibp_3_ ibp_2_ ibp_1_ ibp_0_ ibn_1_ ibn_0_ vdd vss vb_6_ vb_5_ vb_4_ vb_3_ vb_2_ vb_1_ vb_0_
+X0 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=1.044e+13p pd=1.1376e+08u as=1.16e+12p ps=1.264e+07u w=500000u l=2e+06u
+X1 a_11293_7431 out ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=5.8e+11p ps=6.32e+06u w=500000u l=2e+06u
+X2 diff fb mirr vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.264e+07u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X3 vss gate_n a_9882_4930 vss sky130_fd_pr__nfet_01v8_lvt ad=7.54e+12p pd=7.056e+07u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X4 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X5 a_11293_7655 out ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X6 a_9529_7431 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X7 ibp_1_ out a_9529_6983 vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X8 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X9 a_11293_7879 out ibp_2_ vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X10 a_9529_7655 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X11 iamp iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.264e+07u as=0p ps=0u w=500000u l=4e+06u
+X12 a_10798_4930 gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X13 a_9529_7879 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X14 a_3932_1058 iamp diff vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=4e+06u
+X15 vb_3_ a_19853_5523 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X16 diff fb mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X17 mirr mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X18 vdd out a_11293_8103 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X19 vb_5_ a_19853_4679 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X20 out mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X21 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X22 ibn_0_ gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X23 ibn_1_ gate_cas a_10798_4930 vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X24 a_9882_3170 gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X25 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X26 vss iamp a_3932_1058 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X27 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X28 diff vbg out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X29 vb_6_ a_19853_3835 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X30 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X31 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X32 vss gate_n a_9882_3170 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X33 vb_0_ a_19853_8055 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X34 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X35 a_10798_3170 gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X36 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X37 a_11293_6983 out ibp_1_ vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X38 a_9529_6983 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X39 diff vbg out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X40 a_9882_3522 gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X41 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X42 vss gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X43 vb_1_ a_19853_7211 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X44 vdd out a_11293_7431 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X45 vss gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X46 ibn_1_ gate_cas a_10798_3170 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X47 vdd out a_11293_7655 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X48 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X49 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X50 vdd out a_11293_7879 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X51 vb_3_ a_19853_6367 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X52 vss gate_n a_9882_3522 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X53 gate_cas gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X54 vss iamp iamp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X55 a_10798_3522 gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X56 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X57 gate_cas out a_9529_7207 vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X58 a_9882_4578 gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X59 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X60 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X61 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X62 iamp iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X63 out vbg diff vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X64 vb_4_ a_19853_5523 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X65 ibn_0_ gate_cas a_10798_3522 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X66 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X67 vb_5_ a_19853_3835 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X68 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X69 vss gate_n a_9882_4578 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X70 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X71 out vbg diff vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X72 a_10798_4578 gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=2e+06u
+X73 gate_cas gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X74 vdd out a_11293_6983 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X75 diff iamp a_3932_1058 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X76 vss gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X77 fb a_19853_8899 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X78 iamp iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X79 vb_6_ a_19853_2991 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X80 vss gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X81 vb_1_ a_19853_8055 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X82 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X83 ibn_0_ gate_cas a_10798_4578 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X84 a_11293_7207 out gate_cas vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X85 a_9529_7207 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X86 mirr fb diff vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X87 gate_cas gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X88 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X89 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X90 a_3932_1058 iamp diff vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X91 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X92 diff iamp a_3932_1058 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X93 vb_2_ a_19853_7211 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X94 mirr fb diff vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X95 ibn_1_ gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X96 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X97 vss iamp iamp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X98 gate_cas gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X99 vb_4_ a_19853_4679 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X100 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X101 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X102 iamp iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X103 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X104 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X105 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X106 vss iamp iamp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X107 vdd out a_11293_7207 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X108 vss a_19853_2991 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X109 ibn_1_ gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X110 vdd mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X111 vss iamp a_3932_1058 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X112 gate_n gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X113 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X114 ibp_3_ out a_9529_8103 vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X115 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X116 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X117 ibn_1_ gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X118 vss gate_n gate_n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X119 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X120 gate_n gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X121 a_3932_1058 iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X122 ibn_0_ gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X123 out mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X124 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X125 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X126 vdd mirr out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X127 gate_n gate_cas gate_cas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X128 gate_cas gate_cas gate_n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X129 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X130 vss iamp iamp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X131 out fb sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X132 vdd out fb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X133 a_11293_8103 out ibp_3_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X134 a_3932_1058 iamp vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X135 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X136 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X137 a_9529_8103 out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X138 ibp_0_ out a_9529_7431 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X139 ibn_1_ gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X140 vss gate_n gate_n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X141 ibn_0_ gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X142 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X143 ibp_0_ out a_9529_7655 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X144 vb_0_ a_19853_8899 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X145 ibp_2_ out a_9529_7879 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X146 gate_n gate_n vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X147 mirr mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X148 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X149 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X150 vss gate_cas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X151 fb out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X152 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X153 gate_cas gate_cas gate_n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X154 a_9882_4930 gate_cas ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X155 vdd out vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X156 vdd mirr out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X157 ibn_0_ gate_cas ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X158 vb_2_ a_19853_6367 vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X159 vdd mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+C0 vb_0_ vb_2_ 3.88fF
+C1 ibn_0_ ibp_0_ 2.83fF
+C2 ibp_2_ ibp_0_ 4.31fF
+C3 vb_1_ ibp_2_ 4.23fF
+C4 vb_3_ vb_2_ 11.91fF
+C5 vb_0_ vb_3_ 2.07fF
+C6 vb_6_ vb_5_ 8.37fF
+C7 vb_4_ vb_2_ 3.17fF
+C8 ibp_2_ ibp_3_ 19.59fF
+C9 ibp_1_ ibp_0_ 16.49fF
+C10 vb_1_ vb_2_ 13.09fF
+C11 vb_3_ vb_4_ 10.73fF
+C12 ibn_0_ ibn_1_ 14.17fF
+C13 vb_0_ ibp_0_ 2.19fF
+C14 vb_0_ vb_1_ 14.27fF
+C15 mirr out 5.63fF
+C16 ibp_1_ ibp_3_ 3.85fF
+C17 vb_3_ vb_1_ 3.52fF
+C18 mirr vdd 4.04fF
+C19 vb_0_ ibp_3_ 4.59fF
+C20 ibn_0_ ibp_1_ 9.73fF
+C21 vbg fb 7.44fF
+C22 ibp_1_ ibp_2_ 2.21fF
+C23 ibp_1_ ibn_1_ 2.88fF
+C24 out fb 31.97fF
+C25 ibp_2_ vb_2_ 2.28fF
+C26 vdd fb 9.10fF
+C27 vb_0_ ibp_2_ 15.29fF
+C28 ibn_0_ gate_cas 8.22fF
+C29 ibn_1_ gate_cas 4.55fF
+C30 vb_6_ vb_4_ 2.46fF
+C31 vb_5_ vb_3_ 2.82fF
+C32 gate_n gate_cas 4.30fF
+C33 vb_5_ vb_4_ 9.55fF
+C34 vdd out 14.01fF
+C35 ibp_3_ ibp_0_ 13.10fF
+C36 vb_1_ ibp_3_ 2.49fF
+C37 iamp vss 19.62fF
+C38 diff vss 3.34fF
+C39 vbg vss 11.28fF
+C40 vb_6_ vss 5.14fF
+C41 vb_5_ vss 5.53fF
+C42 ibn_0_ vss 8.88fF
+C43 ibn_1_ vss 12.26fF
+C44 mirr vss 14.35fF
+C45 vb_4_ vss 6.09fF
+C46 gate_n vss 11.83fF
+C47 vb_3_ vss 6.64fF
+C48 ibp_1_ vss 6.76fF
+C49 vb_2_ vss 7.20fF
+C50 gate_cas vss 22.23fF
+C51 ibp_0_ vss 6.75fF
+C52 ibp_2_ vss 7.96fF
+C53 vb_1_ vss 7.75fF
+C54 ibp_3_ vss 7.86fF
+C55 out vss 31.45fF
+C56 vb_0_ vss 8.31fF
+C57 fb vss 34.89fF
+C58 vdd vss 111.37fF
+.ends
+.end
+
diff --git a/xschem/sub/bias/bias.sch b/xschem/sub/bias/bias.sch
new file mode 100644
index 0000000..0e8f822
--- /dev/null
+++ b/xschem/sub/bias/bias.sch
@@ -0,0 +1,720 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 1760 0 1760 -570 2320 -570 2320 0 1760 0 {}
+T {125nA} 740 -1070 0 0 0.2 0.2 {}
+T {250nA} 1000 -1070 0 0 0.2 0.2 {}
+T {1uA
+} 400 -1070 0 0 0.2 0.2 {}
+T {125nA} 1230 -1070 0 0 0.2 0.2 {}
+T {125nA} 1460 -1070 0 0 0.2 0.2 {}
+T {125nA} 1690 -1070 0 0 0.2 0.2 {}
+T {150mV} 245 -150 1 0 0.2 0.2 {}
+T {300mV} 65 -300 3 0 0.2 0.2 {}
+T {450mV} 225 -300 3 0 0.2 0.2 {}
+T {600mV} 425 -520 1 0 0.2 0.2 {}
+T {750mV} 245 -520 1 0 0.2 0.2 {}
+T {900mV} 70 -655 3 0 0.2 0.2 {}
+T {1.05V} 240 -685 1 0 0.2 0.2 {}
+T {125nA} 735 -60 0 0 0.2 0.2 {}
+T {125nA} 1005 -60 0 0 0.2 0.2 {}
+T {125nA} 1235 -65 0 0 0.2 0.2 {}
+T {Dummies} 1790 -40 0 0 0.4 0.4 {}
+N 230 -990 230 -960 {lab=vdd}
+N 230 -880 230 -850 {lab=vss}
+N 280 -920 370 -920 {lab=gate}
+N 750 -920 780 -920 {lab=vdd}
+N 210 -1020 210 -970 {lab=iamp}
+N 410 -920 440 -920 {lab=vdd}
+N 140 -890 160 -890 {lab=vbg}
+N 410 -1050 950 -1050 {lab=vdd}
+N 950 -1050 1020 -1050 {lab=vdd}
+N 70 -950 160 -950 {lab=fb}
+N 1020 -1050 1250 -1050 {lab=vdd}
+N 1250 -1050 1480 -1050 {lab=vdd}
+N 1480 -1050 1710 -1050 {lab=vdd}
+N 410 -1050 410 -1030 {lab=vdd}
+N 410 -1030 410 -950 {lab=vdd}
+N 750 -1000 780 -1000 {lab=vdd}
+N 670 -1000 710 -1000 {lab=gate}
+N 750 -1050 750 -1030 {lab=vdd}
+N 750 -970 750 -950 {lab=#net1}
+N 1250 -920 1280 -920 {lab=vdd}
+N 1250 -1000 1280 -1000 {lab=vdd}
+N 1250 -1050 1250 -1030 {lab=vdd}
+N 1250 -970 1250 -950 {lab=#net2}
+N 1020 -1050 1020 -1030 {lab=vdd}
+N 1490 -920 1520 -920 {lab=vdd}
+N 1490 -1000 1520 -1000 {lab=vdd}
+N 1490 -970 1490 -950 {lab=#net3}
+N 1490 -1050 1490 -1030 {lab=vdd}
+N 1710 -920 1740 -920 {lab=vdd}
+N 1710 -1000 1740 -1000 {lab=vdd}
+N 1710 -970 1710 -950 {lab=#net4}
+N 1710 -1050 1710 -1030 {lab=vdd}
+N 1020 -920 1050 -920 {lab=vdd}
+N 1020 -1000 1050 -1000 {lab=vdd}
+N 1020 -970 1020 -950 {lab=#net5}
+N 750 -890 750 -850 {lab=gate_cas}
+N 670 -1000 670 -920 {lab=gate}
+N 630 -920 710 -920 {lab=gate}
+N 940 -1000 980 -1000 {lab=gate}
+N 940 -1000 940 -920 {lab=gate}
+N 900 -920 980 -920 {lab=gate}
+N 1170 -1000 1210 -1000 {lab=gate}
+N 1170 -1000 1170 -920 {lab=gate}
+N 1130 -920 1210 -920 {lab=gate}
+N 1410 -1000 1450 -1000 {lab=gate}
+N 1410 -1000 1410 -920 {lab=gate}
+N 1370 -920 1450 -920 {lab=gate}
+N 1630 -1000 1670 -1000 {lab=gate}
+N 1630 -1000 1630 -920 {lab=gate}
+N 1590 -920 1670 -920 {lab=gate}
+N 70 -950 70 -760 {lab=fb}
+N 70 -760 410 -760 {lab=fb}
+N 370 -1050 410 -1050 {lab=vdd}
+N 750 -130 750 -70 {lab=vss}
+N 750 -70 1250 -70 {lab=vss}
+N 720 -160 750 -160 {lab=vss}
+N 1020 -160 1050 -160 {lab=vss}
+N 1020 -130 1020 -70 {lab=vss}
+N 790 -160 980 -160 {lab=gate_n}
+N 750 -220 840 -220 {lab=gate_n}
+N 840 -220 840 -160 {lab=gate_n}
+N 1250 -130 1250 -70 {lab=vss}
+N 1250 -160 1280 -160 {lab=vss}
+N 1170 -160 1210 -160 {lab=gate_n}
+N 750 -220 750 -190 {lab=gate_n}
+N 720 -310 750 -310 {lab=vss}
+N 1020 -310 1050 -310 {lab=vss}
+N 790 -310 980 -310 {lab=gate_cas}
+N 750 -370 840 -370 {lab=gate_cas}
+N 840 -370 840 -310 {lab=gate_cas}
+N 750 -370 750 -340 {lab=gate_cas}
+N 1020 -280 1020 -190 {lab=#net6}
+N 750 -280 750 -220 {lab=gate_n}
+N 750 -790 750 -370 {lab=gate_cas}
+N 1250 -310 1280 -310 {lab=vss}
+N 1250 -280 1250 -190 {lab=#net7}
+N 1150 -310 1210 -310 {lab=gate_cas}
+N 330 -920 330 -850 {lab=gate}
+N 330 -790 330 -760 {lab=fb}
+N 360 -580 360 -550 {lab=vss}
+N 310 -600 330 -600 {lab=#net8}
+N 280 -580 280 -550 {lab=vss}
+N 230 -600 250 -600 {lab=vb[0]}
+N 390 -600 410 -600 {lab=fb}
+N 200 -580 200 -550 {lab=vss}
+N 150 -600 170 -600 {lab=#net9}
+N 120 -580 120 -550 {lab=vss}
+N 70 -600 90 -600 {lab=vb[1]}
+N 230 -600 250 -600 {lab=vb[0]}
+N 360 -410 360 -380 {lab=vss}
+N 310 -430 330 -430 {lab=#net10}
+N 280 -410 280 -380 {lab=vss}
+N 230 -430 250 -430 {lab=vb[2]}
+N 390 -430 410 -430 {lab=vb[3]}
+N 200 -410 200 -380 {lab=vss}
+N 150 -430 170 -430 {lab=#net11}
+N 120 -410 120 -380 {lab=vss}
+N 70 -430 90 -430 {lab=vb[1]}
+N 230 -430 250 -430 {lab=vb[2]}
+N 360 -230 360 -200 {lab=vss}
+N 310 -250 330 -250 {lab=#net12}
+N 280 -230 280 -200 {lab=vss}
+N 230 -250 250 -250 {lab=vb[4]}
+N 390 -250 410 -250 {lab=vb[3]}
+N 200 -230 200 -200 {lab=vss}
+N 150 -250 170 -250 {lab=#net13}
+N 120 -230 120 -200 {lab=vss}
+N 70 -250 90 -250 {lab=vb[5]}
+N 230 -250 250 -250 {lab=vb[4]}
+N 360 -50 360 -20 {lab=vss}
+N 310 -70 330 -70 {lab=#net14}
+N 280 -50 280 -20 {lab=vss}
+N 230 -70 250 -70 {lab=vb[6]}
+N 390 -70 410 -70 {lab=vss}
+N 200 -50 200 -20 {lab=vss}
+N 150 -70 170 -70 {lab=#net15}
+N 120 -50 120 -20 {lab=vss}
+N 70 -70 90 -70 {lab=vb[5]}
+N 230 -70 250 -70 {lab=vb[6]}
+N 70 -600 70 -430 {lab=vb[1]}
+N 410 -430 410 -250 {lab=vb[3]}
+N 70 -250 70 -70 {lab=vb[5]}
+N 390 -70 410 -70 {lab=vss}
+N 410 -760 410 -600 {lab=fb}
+N 230 -640 230 -600 {lab=vb[0]}
+N 70 -640 70 -600 {lab=vb[1]}
+N 230 -470 230 -430 {lab=vb[2]}
+N 410 -470 410 -430 {lab=vb[3]}
+N 70 -290 70 -250 {lab=vb[5]}
+N 230 -290 230 -250 {lab=vb[4]}
+N 2200 -450 2230 -450 {lab=vdd}
+N 2200 -520 2200 -480 {lab=vdd}
+N 2200 -520 2260 -520 {lab=vdd}
+N 2230 -450 2260 -450 {lab=vdd}
+N 2200 -420 2200 -390 {lab=vdd}
+N 2200 -390 2260 -390 {lab=vdd}
+N 2210 -80 2240 -80 { lab=vss}
+N 2130 -80 2170 -80 { lab=gate_cas}
+N 2210 -50 2210 -30 { lab=vss}
+N 2210 -30 2260 -30 { lab=vss}
+N 2260 -130 2260 -30 { lab=vss}
+N 2210 -130 2260 -130 { lab=vss}
+N 2210 -130 2210 -110 { lab=vss}
+N 2240 -80 2260 -80 { lab=vss}
+N 1900 -80 1940 -80 { lab=gate_n}
+N 1980 -80 2010 -80 { lab=vss}
+N 1980 -130 1980 -110 { lab=vss}
+N 1980 -130 2010 -130 { lab=vss}
+N 2010 -130 2010 -80 { lab=vss}
+N 1960 -250 1990 -250 { lab=vss}
+N 1880 -250 1920 -250 { lab=gate_cas}
+N 1960 -220 1960 -200 { lab=ibn[0]}
+N 1960 -300 1960 -280 { lab=ibn[0]}
+N 2210 -250 2240 -250 { lab=vss}
+N 2130 -250 2170 -250 { lab=gate_cas}
+N 2210 -220 2210 -200 { lab=ibn[1]}
+N 2210 -300 2210 -280 { lab=ibn[1]}
+N 1960 -310 1960 -300 { lab=ibn[0]}
+N 1960 -310 2010 -310 { lab=ibn[0]}
+N 2010 -310 2010 -210 { lab=ibn[0]}
+N 2010 -210 2010 -200 { lab=ibn[0]}
+N 1960 -200 2010 -200 { lab=ibn[0]}
+N 2210 -310 2210 -300 { lab=ibn[1]}
+N 2210 -310 2260 -310 { lab=ibn[1]}
+N 2260 -310 2260 -200 { lab=ibn[1]}
+N 2210 -200 2260 -200 { lab=ibn[1]}
+N 2010 -440 2040 -440 { lab=vss}
+N 1930 -440 1970 -440 { lab=gate_cas}
+N 2010 -410 2010 -390 { lab=gate_cas}
+N 2010 -490 2010 -470 { lab=gate_cas}
+N 2010 -500 2010 -490 { lab=gate_cas}
+N 1930 -390 2010 -390 { lab=gate_cas}
+N 1930 -440 1930 -390 { lab=gate_cas}
+N 1930 -500 2010 -500 { lab=gate_cas}
+N 1930 -500 1930 -440 { lab=gate_cas}
+N 2010 -80 2010 -40 { lab=vss}
+N 1980 -40 2010 -40 { lab=vss}
+N 1980 -50 1980 -40 { lab=vss}
+N 2120 -450 2160 -450 { lab=gate}
+N 2260 -520 2260 -390 { lab=vdd}
+N 1820 -360 1820 -330 {lab=vss}
+N 1770 -380 1790 -380 {lab=vss}
+N 1850 -380 1870 -380 {lab=vss}
+N 1870 -380 1870 -330 { lab=vss}
+N 1770 -330 1870 -330 { lab=vss}
+N 1770 -380 1770 -330 { lab=vss}
+N 750 -850 750 -790 { lab=gate_cas}
+N 410 -890 410 -760 { lab=fb}
+N 1020 -890 1020 -820 { lab=ibp[0]}
+N 1250 -890 1250 -820 { lab=ibp[1]}
+N 1490 -890 1490 -820 { lab=ibp[2]}
+N 1710 -890 1710 -820 { lab=ibp[3]}
+N 1020 -450 1020 -340 { lab=ibn[0]}
+N 1250 -450 1250 -340 { lab=ibn[1]}
+C {devices/lab_wire.sym} 230 -960 3 1 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 230 -880 3 0 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -920 0 1 {name=l13 sig_type=std_logic lab=gate}
+C {devices/lab_wire.sym} 750 -920 0 1 {name=l15 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 160 -950 0 0 {name=l17 sig_type=std_logic lab=fb}
+C {devices/ipin.sym} 140 -890 0 0 {name=p1 lab=vbg}
+C {devices/ipin.sym} 210 -1020 0 0 {name=p2 lab=iamp}
+C {devices/iopin.sym} 370 -1050 2 0 {name=p3 lab=vdd}
+C {devices/lab_wire.sym} 410 -920 0 1 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/iopin.sym} 1480 -140 0 0 {name=p8 lab=ibp[3:0]}
+C {devices/iopin.sym} 1480 -100 0 0 {name=p9 lab=ibn[1:0]}
+C {devices/lab_wire.sym} 1020 -450 3 0 {name=l25 sig_type=std_logic lab=ibn[0]}
+C {devices/lab_wire.sym} 1020 -820 3 0 {name=l31 sig_type=std_logic lab=ibp[0]}
+C {devices/iopin.sym} 1480 -180 0 0 {name=p6 lab=vb[6:0]}
+C {devices/lab_wire.sym} 410 -430 1 0 {name=l26 sig_type=std_logic lab=vb[3]}
+C {devices/lab_wire.sym} 230 -250 1 0 {name=l33 sig_type=std_logic lab=vb[4]}
+C {devices/lab_wire.sym} 1250 -820 3 0 {name=l32 sig_type=std_logic lab=ibp[1]}
+C {devices/lab_wire.sym} 1490 -820 3 0 {name=l36 sig_type=std_logic lab=ibp[2]}
+C {devices/lab_wire.sym} 1250 -450 3 0 {name=l28 sig_type=std_logic lab=ibn[1]}
+C {devices/lab_wire.sym} 1710 -820 3 0 {name=l39 sig_type=std_logic lab=ibp[3]}
+C {devices/lab_wire.sym} 230 -600 1 0 {name=l40 sig_type=std_logic lab=vb[0]}
+C {devices/lab_wire.sym} 230 -430 1 0 {name=l42 sig_type=std_logic lab=vb[2]}
+C {devices/lab_wire.sym} 70 -250 1 0 {name=l43 sig_type=std_logic lab=vb[5]}
+C {devices/lab_wire.sym} 230 -70 1 0 {name=l44 sig_type=std_logic lab=vb[6]}
+C {bias/bias_amp.sym} 310 -920 0 0 {name=x1}
+C {devices/lab_wire.sym} 670 -920 0 0 {name=l1 sig_type=std_logic lab=gate}
+C {sky130_primitives/pfet_01v8_lvt.sym} 730 -920 0 0 {name=MP2[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 390 -920 0 0 {name=M1
+L=2
+W=0.5
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 750 -1000 0 1 {name=l47 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 730 -1000 0 0 {name=MPS2[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1250 -920 0 1 {name=l23 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1230 -920 0 0 {name=MP4[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1250 -1000 0 1 {name=l52 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1230 -1000 0 0 {name=MPS4[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1490 -920 0 1 {name=l34 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1470 -920 0 0 {name=MP5[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1490 -1000 0 1 {name=l61 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1470 -1000 0 0 {name=MPS5[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1710 -920 0 1 {name=l63 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1690 -920 0 0 {name=MP6[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1710 -1000 0 1 {name=l68 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1690 -1000 0 0 {name=MPS6[1:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1020 -920 0 1 {name=l2 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1000 -920 0 0 {name=MP3[3:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1020 -1000 0 1 {name=l50 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1000 -1000 0 0 {name=MPS3[3:0]
+L=2
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 940 -920 0 0 {name=l3 sig_type=std_logic lab=gate}
+C {devices/lab_wire.sym} 1170 -920 0 0 {name=l24 sig_type=std_logic lab=gate}
+C {devices/lab_wire.sym} 1410 -920 0 0 {name=l37 sig_type=std_logic lab=gate}
+C {devices/lab_wire.sym} 1630 -920 0 0 {name=l46 sig_type=std_logic lab=gate}
+C {sky130_primitives/nfet_01v8_lvt.sym} 770 -160 0 1 {name=MNS2[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1000 -160 0 0 {name=MNS3[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 890 -70 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 750 -160 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1020 -160 0 1 {name=l7 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1230 -160 0 0 {name=MNS4[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1250 -160 0 1 {name=l10 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 770 -310 0 1 {name=MN2[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1000 -310 0 0 {name=MN3[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 750 -310 0 0 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1020 -310 0 1 {name=l27 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 930 -160 0 0 {name=l29 sig_type=std_logic lab=gate_n}
+C {devices/lab_wire.sym} 1210 -160 0 0 {name=l30 sig_type=std_logic lab=gate_n}
+C {devices/lab_wire.sym} 930 -310 0 0 {name=l35 sig_type=std_logic lab=gate_cas}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1230 -310 0 0 {name=MN4[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1250 -310 0 1 {name=l38 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1210 -310 0 0 {name=l45 sig_type=std_logic lab=gate_cas}
+C {sky130_primitives/cap_mim_m3_1.sym} 330 -820 0 1 {name=C1 model=cap_mim_m3_1 W=5 L=5 MF=8 spiceprefix=X}
+C {devices/lab_wire.sym} 360 -580 1 1 {name=l49 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -580 1 1 {name=l48 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 200 -580 1 1 {name=l51 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 120 -580 1 1 {name=l53 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 360 -410 1 1 {name=l54 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -410 1 1 {name=l55 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 200 -410 1 1 {name=l56 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 120 -410 1 1 {name=l57 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 360 -230 1 1 {name=l58 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -230 1 1 {name=l59 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 200 -230 1 1 {name=l60 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 120 -230 1 1 {name=l62 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 360 -50 1 1 {name=l64 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -50 1 1 {name=l65 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 200 -50 1 1 {name=l66 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 120 -50 1 1 {name=l67 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} 410 -70 2 1 {name=p5 lab=vss}
+C {devices/lab_wire.sym} 70 -600 1 0 {name=l69 sig_type=std_logic lab=vb[1]}
+C {sky130_primitives/res_xhigh_po.sym} 360 -600 3 0 {name=R1
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 280 -600 3 0 {name=R2
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 200 -600 3 0 {name=R3
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 120 -600 3 0 {name=R4
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 200 -430 3 0 {name=R5
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 120 -430 3 0 {name=R6
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 360 -430 3 0 {name=R7
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 280 -430 3 0 {name=R8
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 360 -250 3 0 {name=R9
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 280 -250 3 0 {name=R10
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 200 -250 3 0 {name=R11
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 120 -250 3 0 {name=R12
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 200 -70 3 0 {name=R13
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 120 -70 3 0 {name=R14
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 360 -70 3 0 {name=R15
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_primitives/res_xhigh_po.sym} 280 -70 3 0 {name=R16
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {devices/lab_wire.sym} 2200 -450 0 1 {name=l12 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2160 -450 0 0 {name=l14 sig_type=std_logic lab=gate}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2180 -450 0 0 {name=MP1
+L=2
+W=0.5
+nf=1
+mult=24
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2190 -80 0 0 {name=MDUM[7:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2210 -80 0 1 {name=l21 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 2170 -80 0 0 {name=l22 sig_type=std_logic lab=gate_cas
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1960 -80 0 0 {name=MDUM_1[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1940 -80 0 0 {name=l41 sig_type=std_logic lab=gate_n}
+C {devices/lab_wire.sym} 1980 -80 0 1 {name=l70 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1940 -250 0 0 {name=MDUM1[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1960 -250 0 1 {name=l71 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1920 -250 0 0 {name=l72 sig_type=std_logic lab=gate_cas
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2190 -250 0 0 {name=MDUM2[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2210 -250 0 1 {name=l73 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 2170 -250 0 0 {name=l74 sig_type=std_logic lab=gate_cas
+}
+C {devices/lab_wire.sym} 2010 -310 0 0 {name=l75 sig_type=std_logic lab=ibn[0]}
+C {devices/lab_wire.sym} 2260 -310 0 0 {name=l76 sig_type=std_logic lab=ibn[1]}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1990 -440 0 0 {name=MDUM3[3:0]
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2010 -440 0 1 {name=l77 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 2000 -500 0 0 {name=l78 sig_type=std_logic lab=gate_cas
+}
+C {devices/lab_wire.sym} 1820 -360 1 1 {name=l16 sig_type=std_logic lab=vss}
+C {sky130_primitives/res_xhigh_po.sym} 1820 -380 3 0 {name=R17[1:0]
+W=0.69
+L=25.8
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
diff --git a/xschem/sub/bias/bias.sym b/xschem/sub/bias/bias.sym
new file mode 100644
index 0000000..27ded9d
--- /dev/null
+++ b/xschem/sub/bias/bias.sym
@@ -0,0 +1,86 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 -122.5 -172.5 -117.5 -167.5 {name=iamp dir=in }
+B 5 -122.5 -142.5 -117.5 -137.5 {name=vbg dir=in }
+B 5 87.5 -122.5 92.5 -117.5 {name=ibp[3:0] dir=inout }
+B 5 87.5 -102.5 92.5 -97.5 {name=ibn[1:0] dir=inout }
+B 5 -22.5 -212.5 -17.5 -207.5 {name=vdd dir=inout }
+B 5 -22.5 -72.5 -17.5 -67.5 {name=vss dir=inout }
+B 5 87.5 -172.5 92.5 -167.5 {name=vb[6:0]
+ dir=inout }
+A 4 13.5 -162.8333333333333 1.900292375165224 142.125016348902 360 {}
+A 4 19.5 -162.8333333333333 1.900292375165224 142.125016348902 360 {}
+A 4 25.5 -162.8333333333333 1.900292375165224 142.125016348902 360 {}
+A 4 27.5 -84.83333333333329 1.900292375165224 142.125016348902 360 {}
+A 4 33.5 -84.83333333333329 1.900292375165224 142.125016348902 360 {}
+A 4 39.5 -84.83333333333329 1.900292375165224 142.125016348902 360 {}
+P 4 4 -65 -145 -65 -115 -40 -130 -65 -145 {}
+P 4 2 -65 -140 -85 -140 {}
+P 4 10 -20 -100 -20 -94 -22 -93 -18 -92 -22 -91 -18 -90 -22 -89 -18 -88 -20 -87 -20 -81 {}
+P 4 6 -20 -162 -20 -157 -23 -157 -23 -149 -20 -149 -20 -143 {}
+P 4 2 -24 -156 -24 -150 {}
+P 4 4 -65 -120 -75 -120 -75 -105 -20 -105 {}
+P 4 2 -20 -120 -20 -105 {}
+P 4 6 0 -144 0 -149 -3 -149 -3 -157 0 -157 0 -163 {}
+P 4 2 -4 -150 -4 -156 {}
+P 4 2 -10 -153 -4 -153 {}
+P 4 6 9 -144 9 -149 6 -149 6 -157 9 -157 9 -163 {}
+P 4 2 5 -150 5 -156 {}
+P 4 2 -4 -153 5 -153 {}
+P 4 6 30 -144 30 -149 27 -149 27 -157 30 -157 30 -163 {}
+P 4 2 26 -150 26 -156 {}
+P 4 2 50 -120 10 -120 {}
+P 4 2 9 -120 11 -120 {}
+P 4 3 -20 -180 -20 -170 30 -170 {}
+P 4 2 9 -170 9 -163 {}
+P 4 2 0 -169 0 -162 {}
+P 4 2 30 -170 30 -163 {}
+P 4 2 50 -120 90 -120 {}
+P 4 2 -20 -100 -20 -105 {}
+P 4 2 -20 -162 -20 -167 {}
+P 4 2 -20 -80 -20 -70 {}
+P 4 2 -20 -83 -20 -77 {}
+P 4 3 -80 -170 -50 -170 -50 -136 {}
+P 4 2 -80 -170 -120 -170 {}
+P 4 2 -80 -140 -120 -140 {}
+P 4 5 -100 -190 -100 -80 70 -80 70 -190 -100 -190 {}
+P 4 2 -20 -210 -20 -180 {}
+P 4 2 -20 -167 -20 -170 {}
+P 4 4 -10 -153 -27 -153 -27 -130 -40 -130 {}
+P 4 2 -20 -120 -20 -143 {}
+P 4 2 9 -120 9 -144 {}
+P 4 6 0 -83 0 -88 3 -88 3 -96 0 -96 0 -102 {}
+P 4 2 4 -89 4 -95 {}
+P 4 2 16 -89 16 -95 {}
+P 4 2 -0 -144 -0 -102 {}
+P 4 2 4 -92 16 -92 {}
+P 4 3 10 -92 10 -102 -0 -102 {}
+P 4 2 90 -100 20 -100 {}
+P 4 4 17 -96 17 -88 20 -88 20 -83 {}
+P 4 3 17 -96 20 -96 20 -100 {}
+P 4 2 90 -170 70 -170 {}
+P 4 2 44 -89 44 -95 {}
+P 4 4 45 -96 45 -88 48 -88 48 -83 {}
+P 4 3 45 -96 48 -96 48 -100 {}
+P 4 2 -0 -83 -0 -80 {}
+P 4 2 20 -83 20 -80 {}
+P 4 2 48 -83 48 -80 {}
+P 4 2 16 -92 44 -92 {}
+P 4 2 5 -153 26 -153 {}
+P 4 2 30 -144 30 -134 {}
+T {@symname} -100 -204 0 0 0.2 0.2 {}
+T {iamp} -90 -184 0 0 0.2 0.2 {}
+T {vbg} -90 -154 0 0 0.2 0.2 {}
+T {ibp[3:0]} 65 -133 0 1 0.2 0.2 {}
+T {ibn[1:0]} 65 -113 0 1 0.2 0.2 {}
+T {@name} 49 -204 0 0 0.2 0.2 {}
+T {vdd} 5 -184 0 1 0.2 0.2 {}
+T {vss} -24 -94 0 1 0.2 0.2 {}
+T {vb[6:0]} 69 -176 0 1 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias_amp.sch b/xschem/sub/bias/bias_amp.sch
new file mode 100644
index 0000000..1300ff4
--- /dev/null
+++ b/xschem/sub/bias/bias_amp.sch
@@ -0,0 +1,150 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+T {~400nA} 470 10 0 0 0.2 0.2 {}
+T {~100nA} 720 10 0 0 0.2 0.2 {}
+N 620 -370 650 -370 {lab=vdd}
+N 830 -370 860 -370 {lab=vdd}
+N 800 -250 830 -250 {lab=vss}
+N 650 -250 680 -250 {lab=vss}
+N 600 -250 610 -250 {lab=inp}
+N 870 -250 880 -250 {lab=inn}
+N 650 -430 650 -400 {lab=vdd}
+N 830 -430 830 -400 {lab=vdd}
+N 600 -430 650 -430 {lab=vdd}
+N 650 -430 830 -430 {lab=vdd}
+N 690 -370 790 -370 {lab=mirr}
+N 650 -220 650 -200 {lab=diff}
+N 650 -200 830 -200 {lab=diff}
+N 830 -220 830 -200 {lab=diff}
+N 740 -60 770 -60 {lab=vss}
+N 650 -340 650 -280 {lab=mirr}
+N 650 -310 740 -310 {lab=mirr}
+N 740 -370 740 -310 {lab=mirr}
+N 830 -340 830 -280 {lab=out}
+N 830 -300 940 -300 {lab=out}
+N 460 -60 490 -60 {lab=vss}
+N 490 -30 490 0 {lab=vss}
+N 740 -30 740 0 {lab=vss}
+N 460 0 490 0 {lab=vss}
+N 490 -100 570 -100 {lab=bias}
+N 570 -100 570 -60 {lab=bias}
+N 490 -210 490 -90 {lab=bias}
+N 530 -60 570 -60 {lab=bias}
+N 570 -60 700 -60 {lab=bias}
+N 490 0 740 0 {lab=vss}
+N 740 -140 770 -140 {lab=vss}
+N 670 -140 700 -140 {lab=bias}
+N 670 -140 670 -60 {lab=bias}
+N 740 -190 740 -170 {lab=diff}
+N 740 -200 740 -190 {lab=diff}
+N 740 -110 740 -90 {lab=#net1}
+C {devices/iopin.sym} 600 -430 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} 490 -210 3 0 {name=p2 lab=bias}
+C {sky130_primitives/pfet_01v8_lvt.sym} 670 -370 0 1 {name=M3
+L=10
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 810 -370 0 0 {name=M4
+L=10
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 630 -250 0 0 {name=M1
+L=8
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 850 -250 0 1 {name=M2
+L=8
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 650 -370 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 830 -370 0 1 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 830 -250 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 650 -250 0 1 {name=l9 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 720 -60 0 0 {name=M5
+L=4
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/ipin.sym} 600 -250 0 0 {name=p7 lab=inp}
+C {devices/ipin.sym} 880 -250 2 0 {name=p8 lab=inn}
+C {devices/lab_wire.sym} 740 -60 0 1 {name=l10 sig_type=std_logic lab=vss}
+C {devices/opin.sym} 940 -300 0 0 {name=p9 lab=out}
+C {sky130_primitives/nfet_01v8_lvt.sym} 510 -60 0 1 {name=M6
+L=4
+W=0.5
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 490 -60 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} 460 0 2 0 {name=p10 lab=vss}
+C {devices/lab_wire.sym} 710 -200 0 1 {name=l1 sig_type=std_logic lab=diff}
+C {devices/lab_wire.sym} 700 -370 0 1 {name=l2 sig_type=std_logic lab=mirr}
+C {sky130_primitives/nfet_01v8_lvt.sym} 720 -140 0 0 {name=M5s
+L=4
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 740 -140 0 1 {name=l3 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/bias/bias_amp.sym b/xschem/sub/bias/bias_amp.sym
new file mode 100644
index 0000000..c81a47d
--- /dev/null
+++ b/xschem/sub/bias/bias_amp.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -50 0 -30 0 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 30 -130 30 {}
+L 4 -130 -50 -130 50 {}
+L 4 -130 50 -50 -0 {}
+L 4 -130 -50 -50 -0 {}
+L 4 -80 -40 -80 -20 {}
+L 4 -80 20 -80 40 {}
+L 4 -100 -50 -100 -30 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=vdd dir=inout }
+B 5 -32.5 -2.5 -27.5 2.5 {name=out dir=out }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=inp dir=in }
+B 5 -152.5 27.5 -147.5 32.5 {name=inn dir=in }
+B 5 -82.5 37.5 -77.5 42.5 {name=vss dir=inout }
+B 5 -102.5 -52.5 -97.5 -47.5 {name=bias dir=inout }
+T {@symname} -70.5 14 0 0 0.3 0.3 {}
+T {@name} -65 -32 0 0 0.2 0.2 {}
+T {out} -65 -4 0 1 0.2 0.2 {}
+T {inp} -125 -34 0 0 0.2 0.2 {}
+T {inn} -125 26 0 0 0.2 0.2 {}
+T {bias} -95 -24 1 0 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias_base_n.sch b/xschem/sub/bias/bias_base_n.sch
new file mode 100644
index 0000000..501933b
--- /dev/null
+++ b/xschem/sub/bias/bias_base_n.sch
@@ -0,0 +1,227 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -550 -310 -550 -280 { lab=vss}
+N -660 -470 -590 -470 { lab=vbn}
+N -700 -280 -550 -280 { lab=vss}
+N -760 -280 -700 -280 { lab=vss}
+N -720 -340 -700 -340 { lab=vss}
+N -700 -340 -700 -280 { lab=vss}
+N -760 -310 -760 -280 { lab=vss}
+N -720 -470 -660 -470 { lab=vbn}
+N -660 -660 -660 -590 { lab=vb}
+N -660 -590 -550 -590 { lab=vb}
+N -760 -540 -660 -540 { lab=vbn}
+N -660 -540 -660 -470 { lab=vbn}
+N -720 -660 -590 -660 { lab=vb}
+N -760 -730 -760 -690 { lab=vdd}
+N -760 -730 -560 -730 { lab=vdd}
+N -560 -730 -550 -730 { lab=vdd}
+N -550 -730 -550 -690 { lab=vdd}
+N -550 -470 -520 -470 { lab=vss}
+N -790 -470 -760 -470 { lab=vss}
+N -550 -660 -510 -660 { lab=vdd}
+N -800 -660 -760 -660 { lab=vdd}
+N -820 -280 -760 -280 { lab=vss}
+N -820 -340 -820 -280 { lab=vss}
+N -820 -340 -760 -340 { lab=vss}
+N -550 -630 -550 -580 { lab=vb}
+N -550 -520 -550 -500 { lab=vb}
+N -760 -630 -760 -610 { lab=vbn}
+N -210 -660 -170 -660 { lab=vdd}
+N -210 -730 -210 -690 { lab=vdd}
+N -760 -440 -760 -370 { lab=vbe}
+N -550 -440 -550 -370 { lab=vres}
+N -760 -550 -760 -500 { lab=vbn}
+N -550 -580 -550 -520 { lab=vb}
+N -760 -610 -760 -550 { lab=vbn}
+N -310 -660 -250 -660 { lab=vb}
+N -550 -730 -210 -730 { lab=vdd}
+N -40 -460 -40 -440 { lab=ibias_bg}
+N -210 -630 -210 -600 { lab=#net1}
+N -240 -330 -210 -330 { lab=vss}
+N -210 -380 -130 -380 { lab=#net2}
+N -130 -380 -130 -330 { lab=#net2}
+N -170 -330 -130 -330 { lab=#net2}
+N -550 -280 -210 -280 { lab=vss}
+N -210 -540 -210 -360 { lab=#net2}
+N -210 -300 -210 -280 { lab=vss}
+N -130 -330 -80 -330 { lab=#net2}
+N -40 -300 -40 -280 { lab=vss}
+N -210 -280 -40 -280 { lab=vss}
+N -40 -440 -40 -360 { lab=ibias_bg}
+N 160 -660 200 -660 { lab=vdd}
+N 160 -730 160 -690 { lab=vdd}
+N 60 -660 120 -660 { lab=vb}
+N 330 -460 330 -440 { lab=ibias_curr}
+N 160 -630 160 -600 { lab=#net3}
+N 130 -330 160 -330 { lab=vss}
+N 160 -380 240 -380 { lab=#net4}
+N 240 -380 240 -330 { lab=#net4}
+N 200 -330 240 -330 { lab=#net4}
+N 160 -540 160 -360 { lab=#net4}
+N 160 -300 160 -280 { lab=#net5}
+N 240 -330 290 -330 { lab=#net4}
+N 330 -300 330 -280 { lab=#net5}
+N 160 -280 330 -280 { lab=#net5}
+N 330 -440 330 -360 { lab=ibias_curr}
+N -210 -730 160 -730 { lab=vdd}
+C {devices/res.sym} -550 -340 0 0 {name=r0 m=1 value=500k footprint=res10 device=resistor}
+C {sky130_primitives/pnp_05v5.sym} -740 -340 0 1 {name=Q1
+model=pnp_05v5_W0p68L0p68
+spiceprefix=X}
+C {devices/lab_wire.sym} -640 -730 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -620 -660 0 0 {name=l4 sig_type=std_logic lab=vb}
+C {devices/lab_wire.sym} -520 -470 0 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -760 -470 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -510 -660 0 0 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -760 -660 0 0 {name=l14 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -620 -470 0 0 {name=l16 sig_type=std_logic lab=vbn}
+C {devices/lab_wire.sym} -760 -420 3 0 {name=l17 sig_type=std_logic lab=vbe}
+C {sky130_primitives/nfet_01v8_lvt.sym} -740 -470 0 1 {name=M10
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} -570 -470 0 0 {name=M6
+L=1
+W=2
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -550 -420 3 0 {name=l18 sig_type=std_logic lab=vres}
+C {sky130_primitives/pfet_01v8_lvt.sym} -570 -660 0 0 {name=M7
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} -740 -660 0 1 {name=M8
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -170 -660 0 0 {name=l19 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} -230 -660 0 0 {name=M4
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} -40 -460 3 0 {name=p2 lab=ibias_bg}
+C {devices/lab_wire.sym} -250 -660 0 0 {name=l1 sig_type=std_logic lab=vb}
+C {devices/iopin.sym} 330 -460 3 0 {name=p1 lab=ibias_curr}
+C {devices/iopin.sym} -910 -730 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} -910 -690 2 0 {name=p6 lab=vss}
+C {devices/lab_wire.sym} -650 -280 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} -210 -570 0 0 {name=vibg}
+C {devices/ammeter.sym} 160 -570 0 0 {name=viamp}
+C {sky130_primitives/nfet_01v8_lvt.sym} -190 -330 0 1 {name=M3
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -210 -330 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} -60 -330 0 0 {name=M2
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 200 -660 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 140 -660 0 0 {name=M1
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 120 -660 0 0 {name=l3 sig_type=std_logic lab=vb}
+C {sky130_primitives/nfet_01v8_lvt.sym} 180 -330 0 1 {name=M5
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 160 -330 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 310 -330 0 0 {name=M9
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
diff --git a/xschem/sub/bias/bias_base_n.sym b/xschem/sub/bias/bias_base_n.sym
new file mode 100644
index 0000000..2239148
--- /dev/null
+++ b/xschem/sub/bias/bias_base_n.sym
@@ -0,0 +1,74 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -80 0 0 {}
+L 4 150 -80 150 0 {}
+L 4 0 0 150 0 {}
+L 4 -20 -70 0 -70 {}
+L 4 -20 -10 0 -10 {}
+L 4 150 -70 170 -70 {}
+L 4 150 -50 170 -50 {}
+B 5 -22.5 -72.5 -17.5 -67.5 {name=vdd dir=inout }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=vss dir=inout }
+B 5 167.5 -72.5 172.5 -67.5 {name=ibias_curr dir=inout }
+B 5 167.5 -52.5 172.5 -47.5 {name=ibias_bg dir=inout }
+P 4 2 68 -61 57 -61 {}
+P 4 6 73 -70 73 -65 69 -65 69 -57 73 -57 73 -52 {}
+P 4 2 68 -64 68 -58 {}
+P 4 6 82 -70 82 -65 78 -65 78 -57 82 -57 82 -52 {}
+P 4 2 77 -64 77 -58 {}
+P 4 2 56 -61 45 -61 {}
+P 4 6 61 -70 61 -65 57 -65 57 -57 61 -57 61 -52 {}
+P 4 2 56 -64 56 -58 {}
+P 4 2 44 -61 55 -61 {}
+P 4 6 39 -70 39 -65 43 -65 43 -57 39 -57 39 -52 {}
+P 4 2 44 -64 44 -58 {}
+P 4 4 50 -61 50 -49 61 -49 61 -52 {}
+P 4 2 44 -33 55 -33 {}
+P 4 6 39 -24 39 -29 43 -29 43 -37 39 -37 39 -42 {}
+P 4 2 44 -30 44 -36 {}
+P 4 2 56 -33 45 -33 {}
+P 4 6 61 -24 61 -29 57 -29 57 -37 61 -37 61 -42 {}
+P 4 2 56 -30 56 -36 {}
+P 4 4 50 -33 50 -45 39 -45 39 -42 {}
+P 4 2 39 -52 39 -45 {}
+P 4 2 61 -42 61 -49 {}
+P 4 10 61 -24 61 -23 59 -22 63 -21 59 -20 63 -19 59 -18 63 -17 61 -16 61 -12 {}
+P 4 2 41 -20 45 -20 {}
+P 4 2 41 -23 41 -17 {}
+P 4 3 0 -10 61 -10 61 -12 {}
+P 4 5 39 -24 41 -22 41 -18 39 -16 39 -10 {}
+P 4 2 45 -20 45 -10 {}
+P 4 3 82 -52 82 -50 87 -50 {}
+P 4 6 73 -10 73 -15 77 -15 77 -23 73 -23 73 -28 {}
+P 4 6 88 -10 88 -15 84 -15 84 -23 88 -23 88 -28 {}
+P 4 2 73 -52 73 -28 {}
+P 4 2 78 -16 78 -22 {}
+P 4 2 83 -16 83 -22 {}
+P 4 3 78 -19 82 -19 83 -19 {}
+P 4 3 80 -19 80 -27 73 -27 {}
+P 4 6 101 -10 101 -15 105 -15 105 -23 101 -23 101 -28 {}
+P 4 6 116 -10 116 -15 112 -15 112 -23 116 -23 116 -28 {}
+P 4 2 106 -16 106 -22 {}
+P 4 2 111 -16 111 -22 {}
+P 4 3 106 -19 110 -19 111 -19 {}
+P 4 3 108 -19 108 -27 101 -27 {}
+P 4 2 61 -10 116 -10 {}
+P 4 2 77 -61 68 -61 {}
+P 4 3 87 -50 101 -50 101 -28 {}
+P 4 7 88 -28 88 -48 89 -49 89 -51 88 -52 88 -70 150 -70 {}
+P 4 2 82 -70 -0 -70 {}
+P 4 3 116 -28 116 -50 150 -50 {}
+P 4 4 0 -79 0 -86 150 -86 150 -80 {}
+T {@symname} 0.5 -99 0 0 0.2 0.2 {}
+T {@name} 129 -99 0 0 0.2 0.2 {}
+T {vdd} 5 -69 0 0 0.2 0.2 {}
+T {vss} 5 -24 0 0 0.2 0.2 {}
+T {ibias_curr} 148 -83 0 1 0.2 0.2 {}
+T {ibias_bg} 149 -63 0 1 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias_base_p.sch b/xschem/sub/bias/bias_base_p.sch
new file mode 100644
index 0000000..0e00ce3
--- /dev/null
+++ b/xschem/sub/bias/bias_base_p.sch
@@ -0,0 +1,152 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -550 -310 -550 -280 { lab=vss}
+N -660 -470 -590 -470 { lab=vbn}
+N -700 -280 -550 -280 { lab=vss}
+N -760 -280 -700 -280 { lab=vss}
+N -720 -340 -700 -340 { lab=vss}
+N -700 -340 -700 -280 { lab=vss}
+N -760 -310 -760 -280 { lab=vss}
+N -720 -470 -660 -470 { lab=vbn}
+N -660 -660 -660 -590 { lab=vb}
+N -660 -590 -550 -590 { lab=vb}
+N -760 -540 -660 -540 { lab=vbn}
+N -660 -540 -660 -470 { lab=vbn}
+N -720 -660 -590 -660 { lab=vb}
+N -760 -730 -760 -690 { lab=vdd}
+N -760 -730 -560 -730 { lab=vdd}
+N -560 -730 -550 -730 { lab=vdd}
+N -550 -730 -550 -690 { lab=vdd}
+N -550 -470 -520 -470 { lab=vss}
+N -790 -470 -760 -470 { lab=vss}
+N -550 -660 -510 -660 { lab=vdd}
+N -800 -660 -760 -660 { lab=vdd}
+N -820 -280 -760 -280 { lab=vss}
+N -820 -340 -820 -280 { lab=vss}
+N -820 -340 -760 -340 { lab=vss}
+N -550 -630 -550 -580 { lab=vb}
+N -550 -520 -550 -500 { lab=vb}
+N -760 -630 -760 -610 { lab=vbn}
+N -210 -660 -170 -660 { lab=vdd}
+N -210 -730 -210 -690 { lab=vdd}
+N -760 -440 -760 -370 { lab=vbe}
+N -550 -440 -550 -370 { lab=vres}
+N -760 -550 -760 -500 { lab=vbn}
+N -550 -580 -550 -520 { lab=vb}
+N -760 -610 -760 -550 { lab=vbn}
+N -310 -660 -250 -660 { lab=vb}
+N -550 -730 -210 -730 { lab=vdd}
+N 20 -660 60 -660 { lab=vdd}
+N 20 -730 20 -690 { lab=vdd}
+N -80 -660 -20 -660 { lab=vb}
+N -210 -730 20 -730 { lab=vdd}
+N -210 -540 -210 -520 { lab=ibias_bg}
+N -210 -630 -210 -600 { lab=#net1}
+N 20 -630 20 -600 { lab=#net2}
+N 20 -540 20 -520 { lab=ibias_curr}
+C {devices/res.sym} -550 -340 0 0 {name=r0 m=1 value=500k footprint=res10 device=resistor}
+C {sky130_primitives/pnp_05v5.sym} -740 -340 0 1 {name=Q1
+model=pnp_05v5_W0p68L0p68
+spiceprefix=X}
+C {devices/lab_wire.sym} -640 -730 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -620 -660 0 0 {name=l4 sig_type=std_logic lab=vb}
+C {devices/lab_wire.sym} -520 -470 0 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -760 -470 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -510 -660 0 0 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -760 -660 0 0 {name=l14 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -620 -470 0 0 {name=l16 sig_type=std_logic lab=vbn}
+C {devices/lab_wire.sym} -760 -420 3 0 {name=l17 sig_type=std_logic lab=vbe}
+C {sky130_primitives/nfet_01v8_lvt.sym} -740 -470 0 1 {name=M10
+L=1
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} -570 -470 0 0 {name=M6
+L=1
+W=2
+nf=1
+mult=32
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -550 -420 3 0 {name=l18 sig_type=std_logic lab=vres}
+C {sky130_primitives/pfet_01v8_lvt.sym} -570 -660 0 0 {name=M7
+L=1
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} -740 -660 0 1 {name=M8
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -170 -660 0 0 {name=l19 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} -230 -660 0 0 {name=M4
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} -210 -520 1 0 {name=p2 lab=ibias_bg}
+C {devices/lab_wire.sym} -250 -660 0 0 {name=l1 sig_type=std_logic lab=vb}
+C {devices/lab_wire.sym} 60 -660 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 0 -660 0 0 {name=M1
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} 20 -520 1 0 {name=p1 lab=ibias_curr}
+C {devices/lab_wire.sym} -20 -660 0 0 {name=l3 sig_type=std_logic lab=vb}
+C {devices/iopin.sym} -910 -730 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} -910 -690 2 0 {name=p6 lab=vss}
+C {devices/lab_wire.sym} -650 -280 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} -210 -570 0 0 {name=vibg}
+C {devices/ammeter.sym} 20 -570 0 0 {name=viamp}
diff --git a/xschem/sub/bias/bias_base_p.sym b/xschem/sub/bias/bias_base_p.sym
new file mode 100644
index 0000000..3998714
--- /dev/null
+++ b/xschem/sub/bias/bias_base_p.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -80 0 0 {}
+L 4 150 -80 150 0 {}
+L 4 0 -80 150 -80 {}
+L 4 0 0 150 0 {}
+L 4 -20 -70 0 -70 {}
+L 4 -20 -10 0 -10 {}
+L 4 150 -50 170 -50 {}
+L 4 150 -20 170 -20 {}
+B 5 -22.5 -72.5 -17.5 -67.5 {name=vdd dir=inout }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=vss dir=inout }
+B 5 167.5 -52.5 172.5 -47.5 {name=ibias_curr dir=inout }
+B 5 167.5 -22.5 172.5 -17.5 {name=ibias_bg dir=inout }
+P 4 2 68 -61 57 -61 {}
+P 4 6 73 -70 73 -65 69 -65 69 -57 73 -57 73 -52 {}
+P 4 2 68 -64 68 -58 {}
+P 4 2 80 -61 69 -61 {}
+P 4 6 85 -70 85 -65 81 -65 81 -57 85 -57 85 -52 {}
+P 4 2 80 -64 80 -58 {}
+P 4 2 56 -61 45 -61 {}
+P 4 6 61 -70 61 -65 57 -65 57 -57 61 -57 61 -52 {}
+P 4 2 56 -64 56 -58 {}
+P 4 2 44 -61 55 -61 {}
+P 4 6 39 -70 39 -65 43 -65 43 -57 39 -57 39 -52 {}
+P 4 2 44 -64 44 -58 {}
+P 4 4 50 -61 50 -49 61 -49 61 -52 {}
+P 4 2 44 -33 55 -33 {}
+P 4 6 39 -24 39 -29 43 -29 43 -37 39 -37 39 -42 {}
+P 4 2 44 -30 44 -36 {}
+P 4 2 56 -33 45 -33 {}
+P 4 6 61 -24 61 -29 57 -29 57 -37 61 -37 61 -42 {}
+P 4 2 56 -30 56 -36 {}
+P 4 4 50 -33 50 -45 39 -45 39 -42 {}
+P 4 2 39 -52 39 -45 {}
+P 4 2 61 -42 61 -49 {}
+P 4 10 61 -24 61 -23 59 -22 63 -21 59 -20 63 -19 59 -18 63 -17 61 -16 61 -12 {}
+P 4 2 41 -20 45 -20 {}
+P 4 2 41 -23 41 -17 {}
+P 4 2 -0 -70 85 -70 {}
+P 4 3 0 -10 61 -10 61 -12 {}
+P 4 5 39 -24 41 -22 41 -18 39 -16 39 -10 {}
+P 4 2 45 -20 45 -10 {}
+P 4 2 150 -20 80 -20 {}
+P 4 2 150 -50 90 -50 {}
+P 4 3 85 -52 85 -50 90 -50 {}
+P 4 3 73 -52 73 -20 80 -20 {}
+T {@symname} 0.5 -93 0 0 0.2 0.2 {}
+T {@name} 125 -93 0 0 0.2 0.2 {}
+T {vdd} 5 -69 0 0 0.2 0.2 {}
+T {vss} 5 -24 0 0 0.2 0.2 {}
+T {ibias_curr} 145 -64 0 1 0.2 0.2 {}
+T {ibias_bg} 145 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias_basis_current.sch b/xschem/sub/bias/bias_basis_current.sch
new file mode 100644
index 0000000..ca84066
--- /dev/null
+++ b/xschem/sub/bias/bias_basis_current.sch
@@ -0,0 +1,252 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 90 -580 90 0 520 0 520 -580 90 -580 {}
+P 4 6 560 -580 1240 -580 1240 0 550 0 550 -580 560 -580 {}
+T {Current Source} 560 -570 0 0 0.4 0.4 {}
+T {Startup} 100 -570 0 0 0.4 0.4 {}
+N 920 -60 920 -30 {lab=vss}
+N 770 -30 920 -30 {lab=vss}
+N 810 -440 810 -370 {lab=vbp}
+N 810 -370 920 -370 {lab=vbp}
+N 750 -440 880 -440 {lab=vbp}
+N 710 -530 710 -470 {lab=vdd}
+N 710 -530 910 -530 {lab=vdd}
+N 910 -530 920 -530 {lab=vdd}
+N 920 -530 920 -470 {lab=vdd}
+N 920 -410 920 -360 {lab=vbp}
+N 920 -230 920 -160 {lab=vres}
+N 710 -30 770 -30 {lab=vss}
+N 810 -260 880 -260 {lab=vbn}
+N 750 -260 810 -260 {lab=vbn}
+N 710 -330 810 -330 {lab=vbn}
+N 810 -330 810 -260 {lab=vbn}
+N 920 -260 950 -260 {lab=vss}
+N 680 -260 710 -260 {lab=vss}
+N 920 -360 920 -290 {lab=vbp}
+N 70 -30 710 -30 {lab=vss}
+N 870 -40 870 -30 {lab=vss}
+N 710 -410 710 -290 {lab=vbn}
+N 1030 -440 1070 -440 {lab=vbp}
+N 920 -530 1110 -530 {lab=vdd}
+N 1110 -530 1110 -470 {lab=vdd}
+N 1110 -410 1110 -380 {lab=ibp}
+N 1110 -380 1260 -380 {lab=ibp}
+N 1110 -320 1110 -290 {lab=ibn}
+N 1110 -320 1260 -320 {lab=ibn}
+N 1020 -260 1070 -260 {lab=vbn}
+N 1110 -140 1110 -30 {lab=vss}
+N 920 -30 1110 -30 {lab=vss}
+N 1110 -260 1140 -260 {lab=vss}
+N 180 -130 210 -130 {lab=vss}
+N 250 -130 280 -130 {lab=vsu}
+N 370 -130 400 -130 {lab=vss}
+N 210 -180 280 -180 {lab=vsu}
+N 370 -530 370 -160 {lab=vdd}
+N 250 -260 280 -260 {lab=vsu}
+N 280 -260 280 -220 {lab=vsu}
+N 210 -220 280 -220 {lab=vsu}
+N 210 -230 210 -220 {lab=vsu}
+N 250 -350 280 -350 {lab=#net1}
+N 280 -350 280 -310 {lab=#net1}
+N 210 -310 280 -310 {lab=#net1}
+N 210 -320 210 -310 {lab=#net1}
+N 210 -310 210 -290 {lab=#net1}
+N 70 -530 210 -530 {lab=vdd}
+N 380 -530 710 -530 {lab=vdd}
+N 370 -530 380 -530 {lab=vdd}
+N 210 -180 210 -160 {lab=vsu}
+N 210 -220 210 -180 {lab=vsu}
+N 920 -100 920 -70 {lab=vss}
+N 870 -130 900 -130 {lab=vss}
+N 870 -130 870 -40 {lab=vss}
+N 1110 -230 1110 -140 {lab=vss}
+N 160 -430 190 -430 {lab=vss}
+N 210 -530 210 -460 {lab=vdd}
+N 920 -70 920 -60 {lab=vss}
+N 710 -230 710 -30 { lab=vss}
+N 210 -100 210 -30 { lab=vss}
+N 280 -180 280 -130 { lab=vsu}
+N 610 -330 710 -330 { lab=vbn}
+N 280 -130 330 -130 { lab=vsu}
+N 370 -100 370 -80 { lab=vbn}
+N 370 -80 610 -80 { lab=vbn}
+N 610 -330 610 -80 { lab=vbn}
+N 210 -400 210 -380 { lab=#net2}
+N 210 -530 370 -530 { lab=vdd}
+N 1110 -440 1140 -440 { lab=vdd}
+N 910 -440 950 -440 { lab=vdd}
+N 680 -440 710 -440 { lab=vdd}
+N 180 -350 210 -350 { lab=vdd}
+N 180 -260 210 -260 { lab=vdd}
+C {devices/lab_wire.sym} 850 -440 0 0 {name=l4 sig_type=std_logic lab=vbp}
+C {devices/lab_wire.sym} 920 -440 0 1 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 710 -440 0 0 {name=l14 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 920 -210 3 0 {name=l18 sig_type=std_logic lab=vres}
+C {sky130_primitives/pfet_01v8_lvt.sym} 900 -440 0 0 {name=M5
+L=1
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 730 -440 0 1 {name=M4
+L=1
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/iopin.sym} 1260 -320 0 0 {name=p1 lab=ibn}
+C {devices/iopin.sym} 70 -530 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} 70 -30 2 0 {name=p6 lab=vss}
+C {devices/lab_wire.sym} 920 -260 0 1 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 710 -260 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 850 -260 0 0 {name=l9 sig_type=std_logic lab=vbn}
+C {sky130_primitives/nfet_01v8_lvt.sym} 730 -260 0 1 {name=M1
+L=0.5
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 900 -260 0 0 {name=M2
+L=0.5
+W=1
+nf=1
+mult=32
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} 1260 -380 0 0 {name=p2 lab=ibp}
+C {devices/lab_wire.sym} 1110 -440 0 1 {name=l1 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1090 -440 0 0 {name=M6
+L=1
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1070 -440 0 0 {name=l2 sig_type=std_logic lab=vbp}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1090 -260 0 0 {name=M3
+L=0.5
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1070 -260 0 0 {name=l3 sig_type=std_logic lab=vbn}
+C {devices/lab_wire.sym} 1110 -260 0 1 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 210 -130 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 230 -130 0 1 {name=M7
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 370 -130 0 1 {name=l11 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 350 -130 0 0 {name=M8
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 260 -180 0 0 {name=l12 sig_type=std_logic lab=vsu}
+C {devices/lab_wire.sym} 210 -260 0 0 {name=l15 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 230 -260 0 1 {name=M9
+L=1
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 210 -350 0 0 {name=l16 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 230 -350 0 1 {name=M10
+L=1
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/res_xhigh_po.sym} 920 -130 0 0 {name=R2
+W=0.35
+L=14
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {sky130_primitives/res_xhigh_po.sym} 210 -430 0 0 {name=R1
+W=0.35
+L=17
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {devices/lab_wire.sym} 190 -430 0 0 {name=l20 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/bias/bias_basis_current.spice b/xschem/sub/bias/bias_basis_current.spice
new file mode 100644
index 0000000..d28cda4
--- /dev/null
+++ b/xschem/sub/bias/bias_basis_current.spice
@@ -0,0 +1,84 @@
+* NGSPICE file created from bias_basis_current.ext - technology: sky130A
+
+
+* Top level circuit bias_basis_current
+.subckt bias_basis_current vdd vss ibp ibn 
+X0 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=9.28e+12p pd=8.256e+07u as=9.28e+12p ps=8.256e+07u w=1e+06u l=500000u
+X1 vdd a_1909_5064# a_1909_5064# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.61e+12p pd=2.322e+07u as=2.32e+12p ps=2.064e+07u w=1e+06u l=1e+06u
+X2 vdd a_1909_5064# a_705_3979# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=2.064e+07u w=1e+06u l=1e+06u
+X3 vdd a_1909_5064# a_705_3979# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X4 vdd a_1909_5064# ibp vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=1e+06u
+X5 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X6 a_376_5001# a_376_5001# a_312_2971# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=1.45e+11p ps=1.58e+06u w=500000u l=1e+06u
+X7 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X8 a_1909_5064# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 vsu vsu vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=3.19e+12p ps=2.838e+07u w=1e+06u l=300000u
+X10 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X11 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X12 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X13 vdd vsu a_705_3979# vss sky130_fd_pr__nfet_01v8_lvt ad=9.5346e+12p pd=1.1134e+08u as=2.61e+12p ps=2.322e+07u w=1e+06u l=300000u
+X14 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X15 ibn a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=500000u
+X16 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X17 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X18 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X19 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X20 a_1909_5064# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X21 a_705_3979# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X22 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X23 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X24 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X25 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X26 vdd a_1909_5064# a_1909_5064# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 a_1743_861# vss vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X28 a_705_3979# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X29 a_1909_5064# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X30 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X31 ibn a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X32 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X33 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X34 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X35 vdd a_1909_5064# a_1909_5064# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X36 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X37 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X38 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X39 a_705_3979# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X40 a_1909_5064# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 ibp a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X42 vdd a_1909_5064# a_705_3979# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X43 a_705_3979# a_1909_5064# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 a_312_839# a_312_2971# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X45 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X46 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X47 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X48 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X49 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X50 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X51 a_312_839# vdd vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X52 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X53 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X54 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X55 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X56 a_705_3979# a_705_3979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X57 vdd a_1909_5064# a_1909_5064# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X58 vdd a_1909_5064# a_705_3979# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X59 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X60 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X61 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X62 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X63 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X64 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X65 a_1909_5064# a_705_3979# a_1851_1905# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X66 a_1743_861# a_1851_1905# vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X67 vsu vsu a_376_5001# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=0p ps=0u w=500000u l=1e+06u
+C0 a_1851_1905# a_1909_5064# 18.76fF
+C1 a_1851_1905# a_705_3979# 8.74fF
+C2 vdd a_1909_5064# 4.77fF
+C3 a_705_3979# a_1909_5064# 16.71fF
+C4 a_705_3979# vdd 6.85fF
+C5 a_1743_861# vss 2.02fF
+C6 a_1851_1905# vss 15.13fF
+C7 a_705_3979# vss 28.04fF
+C8 a_1909_5064# vss 25.50fF
+C9 vdd vss 38.52fF
+.ends
diff --git a/xschem/sub/bias/bias_basis_current.sym b/xschem/sub/bias/bias_basis_current.sym
new file mode 100644
index 0000000..c0ee49f
--- /dev/null
+++ b/xschem/sub/bias/bias_basis_current.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -80 0 0 {}
+L 4 -20 -70 0 -70 {}
+L 4 -20 -10 0 -10 {}
+L 4 120 -60 140 -60 {}
+L 4 120 -30 140 -30 {}
+B 5 -22.5 -72.5 -17.5 -67.5 {name=vdd dir=inout }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=vss dir=inout }
+B 5 137.5 -62.5 142.5 -57.5 {name=ibp dir=inout }
+B 5 137.5 -32.5 142.5 -27.5 {name=ibn
+ dir=inout }
+P 4 2 56 -61 45 -61 {}
+P 4 6 61 -70 61 -65 57 -65 57 -57 61 -57 61 -52 {}
+P 4 2 56 -64 56 -58 {}
+P 4 2 44 -61 55 -61 {}
+P 4 6 39 -70 39 -65 43 -65 43 -57 39 -57 39 -52 {}
+P 4 2 44 -64 44 -58 {}
+P 4 2 44 -31 55 -31 {}
+P 4 6 39 -22 39 -27 43 -27 43 -35 39 -35 39 -40 {}
+P 4 2 44 -28 44 -34 {}
+P 4 2 56 -31 45 -31 {}
+P 4 6 61 -22 61 -27 57 -27 57 -35 61 -35 61 -40 {}
+P 4 2 56 -28 56 -34 {}
+P 4 10 39 -22 39 -21 37 -20 41 -19 37 -18 41 -17 37 -16 41 -15 39 -14 39 -10 {}
+P 4 2 -0 -10 61 -10 {}
+P 4 2 61 -22 61 -10 {}
+P 4 2 -0 -70 61 -70 {}
+P 4 4 -0 -80 120 -80 120 0 -0 -0 {}
+P 4 2 61 -52 61 -40 {}
+P 4 2 39 -52 39 -40 {}
+P 4 2 50 -54 50 -61 {}
+P 4 3 50 -50 40 -50 39 -50 {}
+P 4 2 50 -54 50 -50 {}
+P 4 2 54 -42 61 -42 {}
+P 4 3 50 -42 50 -32 50 -31 {}
+P 4 2 54 -42 50 -42 {}
+P 4 6 82 -70 82 -65 78 -65 78 -57 82 -57 82 -52 {}
+P 4 2 77 -64 77 -58 {}
+P 4 6 82 -22 82 -27 78 -27 78 -35 82 -35 82 -40 {}
+P 4 2 77 -28 77 -34 {}
+P 4 2 56 -31 77 -31 {}
+P 4 2 56 -61 77 -61 {}
+P 4 2 61 -70 82 -70 {}
+P 4 3 82 -22 82 -10 61 -10 {}
+P 4 4 82 -40 104 -40 104 -30 120 -30 {}
+P 4 4 82 -52 104 -52 104 -60 120 -60 {}
+T {@symname} 0.5 -93 0 0 0.2 0.2 {}
+T {@name} 102 -93 0 0 0.2 0.2 {}
+T {vdd} 5 -69 0 0 0.2 0.2 {}
+T {vss} 5 -24 0 0 0.2 0.2 {}
+T {ibp} 119 -73 0 1 0.2 0.2 {}
+T {ibn} 119 -29 0 1 0.2 0.2 {}
diff --git a/xschem/sub/bias/bias_const_gm.sch b/xschem/sub/bias/bias_const_gm.sch
new file mode 100644
index 0000000..624490f
--- /dev/null
+++ b/xschem/sub/bias/bias_const_gm.sch
@@ -0,0 +1,144 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -550 -180 -550 -150 { lab=vss}
+N -660 -470 -590 -470 { lab=vbn2}
+N -700 -150 -550 -150 { lab=vss}
+N -720 -470 -660 -470 { lab=vbn2}
+N -660 -660 -660 -590 { lab=vbp}
+N -660 -590 -550 -590 { lab=vbp}
+N -760 -540 -660 -540 { lab=vbn2}
+N -660 -540 -660 -470 { lab=vbn2}
+N -720 -660 -590 -660 { lab=vbp}
+N -760 -730 -760 -690 { lab=vdd}
+N -760 -730 -560 -730 { lab=vdd}
+N -560 -730 -550 -730 { lab=vdd}
+N -550 -730 -550 -690 { lab=vdd}
+N -550 -470 -520 -470 { lab=vss}
+N -790 -470 -760 -470 { lab=vss}
+N -550 -660 -510 -660 { lab=vdd}
+N -800 -660 -760 -660 { lab=vdd}
+N -550 -630 -550 -580 { lab=vbp}
+N -550 -520 -550 -500 { lab=vbp}
+N -760 -630 -760 -610 { lab=vbn2}
+N -550 -310 -550 -240 { lab=vres}
+N -760 -550 -760 -500 { lab=vbn2}
+N -550 -580 -550 -520 { lab=vbp}
+N -760 -610 -760 -550 { lab=vbn2}
+N -660 -540 -640 -540 { lab=vbn2}
+N -760 -310 -760 -150 { lab=vss}
+N -760 -150 -700 -150 { lab=vss}
+N -660 -340 -590 -340 { lab=vbn1}
+N -720 -340 -660 -340 { lab=vbn1}
+N -760 -410 -660 -410 { lab=vbn1}
+N -660 -410 -660 -340 { lab=vbn1}
+N -550 -340 -520 -340 { lab=vss}
+N -790 -340 -760 -340 { lab=vss}
+N -760 -440 -760 -370 { lab=vbn1}
+N -550 -440 -550 -370 { lab=#net1}
+N -660 -410 -640 -410 { lab=vbn1}
+N -790 -730 -760 -730 { lab=vdd}
+N -790 -150 -760 -150 { lab=vss}
+N -600 -210 -570 -210 { lab=vss}
+N -600 -210 -600 -150 { lab=vss}
+C {devices/lab_wire.sym} -620 -660 0 0 {name=l4 sig_type=std_logic lab=vbp}
+C {devices/lab_wire.sym} -520 -470 0 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -760 -470 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -510 -660 0 0 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -760 -660 0 0 {name=l14 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -620 -470 0 0 {name=l16 sig_type=std_logic lab=vbn2}
+C {sky130_primitives/nfet_01v8_lvt.sym} -740 -470 0 1 {name=M3
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} -570 -470 0 0 {name=M4
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} -550 -290 3 0 {name=l18 sig_type=std_logic lab=vres}
+C {sky130_primitives/pfet_01v8_lvt.sym} -570 -660 0 0 {name=M6
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} -740 -660 0 1 {name=M5
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} -640 -540 0 0 {name=p2 lab=vbn2}
+C {devices/iopin.sym} -640 -410 0 0 {name=p1 lab=vbn1}
+C {devices/iopin.sym} -790 -730 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} -790 -150 2 0 {name=p6 lab=vss}
+C {devices/lab_wire.sym} -520 -340 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -760 -340 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} -620 -340 0 0 {name=l9 sig_type=std_logic lab=vbn1}
+C {sky130_primitives/nfet_01v8_lvt.sym} -740 -340 0 1 {name=M1
+L=1
+W=2
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} -570 -340 0 0 {name=M2
+L=1
+W=2
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/res_xhigh_po_0p35.sym} -550 -210 0 0 {name=R1
+W=0.35
+L=17.5
+model=res_xhigh_po_0p35
+spiceprefix=X
+mult=1}
diff --git a/xschem/sub/bias/bias_const_gm.sym b/xschem/sub/bias/bias_const_gm.sym
new file mode 100644
index 0000000..10baab1
--- /dev/null
+++ b/xschem/sub/bias/bias_const_gm.sym
@@ -0,0 +1,52 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -80 0 0 {}
+L 4 -20 -70 0 -70 {}
+L 4 -20 -10 0 -10 {}
+L 4 120 -50 140 -50 {}
+L 4 120 -20 140 -20 {}
+B 5 -22.5 -72.5 -17.5 -67.5 {name=vdd dir=inout }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=vss dir=inout }
+B 5 137.5 -52.5 142.5 -47.5 {name=vbn2 dir=inout }
+B 5 137.5 -22.5 142.5 -17.5 {name=vbn1 dir=inout }
+P 4 2 56 -61 45 -61 {}
+P 4 6 61 -70 61 -65 57 -65 57 -57 61 -57 61 -52 {}
+P 4 2 56 -64 56 -58 {}
+P 4 2 44 -61 55 -61 {}
+P 4 6 39 -70 39 -65 43 -65 43 -57 39 -57 39 -52 {}
+P 4 2 44 -64 44 -58 {}
+P 4 2 44 -31 55 -31 {}
+P 4 6 39 -22 39 -27 43 -27 43 -35 39 -35 39 -40 {}
+P 4 2 44 -28 44 -34 {}
+P 4 2 56 -31 45 -31 {}
+P 4 6 61 -22 61 -27 57 -27 57 -35 61 -35 61 -40 {}
+P 4 2 56 -28 56 -34 {}
+P 4 10 39 -22 39 -21 37 -20 41 -19 37 -18 41 -17 37 -16 41 -15 39 -14 39 -10 {}
+P 4 2 -0 -10 61 -10 {}
+P 4 2 44 -46 55 -46 {}
+P 4 6 39 -37 39 -42 43 -42 43 -50 39 -50 39 -55 {}
+P 4 2 44 -43 44 -49 {}
+P 4 2 56 -46 45 -46 {}
+P 4 6 61 -37 61 -42 57 -42 57 -50 61 -50 61 -55 {}
+P 4 2 56 -43 56 -49 {}
+P 4 2 61 -22 61 -10 {}
+P 4 2 -0 -70 61 -70 {}
+P 4 3 61 -38 50 -38 50 -31 {}
+P 4 3 61 -53 50 -53 50 -46 {}
+P 4 3 39 -54 50 -54 50 -61 {}
+P 4 4 -0 -80 120 -80 120 0 -0 -0 {}
+P 4 4 61 -38 83 -38 83 -20 120 -20 {}
+P 4 4 61 -53 79 -53 79 -50 120 -50 {}
+T {@symname} 0.5 -93 0 0 0.2 0.2 {}
+T {@name} 102 -93 0 0 0.2 0.2 {}
+T {vdd} 5 -69 0 0 0.2 0.2 {}
+T {vss} 5 -24 0 0 0.2 0.2 {}
+T {vbn2} 115 -64 0 1 0.2 0.2 {}
+T {vbn1} 115 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/cadrc b/xschem/sub/cadrc
new file mode 100644
index 0000000..f2631af
--- /dev/null
+++ b/xschem/sub/cadrc
@@ -0,0 +1,14 @@
+#------------------------------------------------------------
+# CADRC
+#
+# Source this file before starting any of the tools 
+# in the project.
+#
+# Also specify the location of the pdk.
+#------------------------------------------------------------
+
+export PRJ="$(realpath "$(pwd)/../")"
+export XSCHEMRC="$PRJ/design/xschemrc"
+export SIM="$PRJ/sim"
+export PDKPATH="$(realpath "$(pwd)/../pdk")"
+export SKY130_PDK_PATH="$PDKPATH/libraries"
diff --git a/xschem/sub/clkdiv/clkdiv.sch b/xschem/sub/clkdiv/clkdiv.sch
new file mode 100644
index 0000000..b0faead
--- /dev/null
+++ b/xschem/sub/clkdiv/clkdiv.sch
@@ -0,0 +1,79 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 430 -170 430 -90 {lab=div2}
+N 340 -170 430 -170 {lab=div2}
+N 190 -170 260 -170 {lab=#net1}
+N 180 -50 220 -50 {lab=rst_b}
+N 190 -70 220 -70 {lab=#net1}
+N 150 -70 190 -70 {lab=#net1}
+N 150 -140 150 -70 {lab=#net1}
+N 150 -170 150 -140 {lab=#net1}
+N 150 -170 190 -170 {lab=#net1}
+N 400 -90 470 -90 {lab=div2}
+N 80 -90 220 -90 {lab=in}
+N 820 -170 820 -90 {lab=div4}
+N 730 -170 820 -170 {lab=div4}
+N 580 -170 650 -170 {lab=#net2}
+N 570 -50 610 -50 {lab=rst_b}
+N 580 -70 610 -70 {lab=#net2}
+N 540 -70 580 -70 {lab=#net2}
+N 540 -140 540 -70 {lab=#net2}
+N 540 -170 540 -140 {lab=#net2}
+N 540 -170 580 -170 {lab=#net2}
+N 790 -90 860 -90 {lab=div4}
+N 470 -90 610 -90 {lab=div2}
+N 1210 -170 1210 -90 {lab=div8}
+N 1120 -170 1210 -170 {lab=div8}
+N 970 -170 1040 -170 {lab=#net3}
+N 960 -50 1000 -50 {lab=rst_b}
+N 970 -70 1000 -70 {lab=#net3}
+N 930 -70 970 -70 {lab=#net3}
+N 930 -140 930 -70 {lab=#net3}
+N 930 -170 930 -140 {lab=#net3}
+N 930 -170 970 -170 {lab=#net3}
+N 1180 -90 1250 -90 {lab=div8}
+N 860 -90 1000 -90 {lab=div4}
+N 1600 -170 1600 -90 {lab=out}
+N 1510 -170 1600 -170 {lab=out}
+N 1360 -170 1430 -170 {lab=#net4}
+N 1350 -50 1390 -50 {lab=rst_b}
+N 1360 -70 1390 -70 {lab=#net4}
+N 1320 -70 1360 -70 {lab=#net4}
+N 1320 -140 1320 -70 {lab=#net4}
+N 1320 -170 1320 -140 {lab=#net4}
+N 1320 -170 1360 -170 {lab=#net4}
+N 1570 -90 1640 -90 {lab=out}
+N 1250 -90 1390 -90 {lab=div8}
+N 1640 -90 1680 -90 {lab=out}
+C {sky130_stdcells/dfrbp_1.sym} 310 -70 0 0 {name=x6 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} 300 -170 2 0 {name=x8 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {devices/noconn.sym} 400 -70 2 0 {name=l1}
+C {devices/lab_wire.sym} 220 -50 0 0 {name=l9 sig_type=std_logic lab=rst_b}
+C {devices/ipin.sym} 80 -90 0 0 {name=p1 lab=in}
+C {devices/ipin.sym} 80 -40 0 0 {name=p2 lab=rst_b}
+C {devices/opin.sym} 1680 -90 0 0 {name=p3 lab=out}
+C {devices/lab_wire.sym} 490 -90 0 0 {name=l2 sig_type=std_logic lab=div2}
+C {sky130_stdcells/dfrbp_1.sym} 700 -70 0 0 {name=x1 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} 690 -170 2 0 {name=x2 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {devices/noconn.sym} 790 -70 2 0 {name=l3}
+C {devices/lab_wire.sym} 610 -50 0 0 {name=l4 sig_type=std_logic lab=rst_b}
+C {devices/lab_wire.sym} 880 -90 0 0 {name=l5 sig_type=std_logic lab=div4}
+C {sky130_stdcells/dfrbp_1.sym} 1090 -70 0 0 {name=x3 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} 1080 -170 2 0 {name=x4 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {devices/noconn.sym} 1180 -70 2 0 {name=l6}
+C {devices/lab_wire.sym} 1000 -50 0 0 {name=l7 sig_type=std_logic lab=rst_b}
+C {devices/lab_wire.sym} 1270 -90 0 0 {name=l8 sig_type=std_logic lab=div8}
+C {sky130_stdcells/dfrbp_1.sym} 1480 -70 0 0 {name=x5 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} 1470 -170 2 0 {name=x7 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {devices/noconn.sym} 1570 -70 2 0 {name=l10}
+C {devices/lab_wire.sym} 1390 -50 0 0 {name=l11 sig_type=std_logic lab=rst_b}
+C {devices/iopin.sym} 40 -180 0 0 {name=p5 lab=dvdd}
+C {devices/iopin.sym} 40 -140 0 0 {name=p4 lab=dvss}
+C {xschem/symbols/sky130_stdcells/decap_4.sym} 110 -260 0 0 {name=xdec[7:0] VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/tapvpwrvgnd_1.sym} 110 -320 0 0 {name=xtap VGND=dvss VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
diff --git a/xschem/sub/clkdiv/clkdiv.sym b/xschem/sub/clkdiv/clkdiv.sym
new file mode 100644
index 0000000..c425a82
--- /dev/null
+++ b/xschem/sub/clkdiv/clkdiv.sym
@@ -0,0 +1,57 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -60 20 -60 {}
+L 4 200 -60 220 -60 {}
+L 4 0 -30 20 -30 {}
+L 4 130 -120 130 -100 {}
+L 4 130 -20 130 0 {}
+B 5 127.5 -2.5 132.5 2.5 {name=dvss dir=inout }
+B 5 -2.5 -62.5 2.5 -57.5 {name=in dir=in }
+B 5 217.5 -62.5 222.5 -57.5 {name=out dir=out }
+B 5 -2.5 -32.5 2.5 -27.5 {name=rst_b dir=in }
+B 5 127.5 -122.5 132.5 -117.5 {name=dvdd dir=inout }
+A 4 49 -74 1.414213562373095 225 360 {}
+A 4 86 -74 1.414213562373095 225 360 {}
+A 4 123 -74 1.414213562373095 225 360 {}
+A 4 160 -74 1.414213562373095 225 360 {}
+P 4 5 47 -65 60 -65 60 -47 47 -47 47 -65 {}
+P 4 3 47 -52 48 -51 47 -50 {}
+P 4 4 51 -74 60 -79 60 -69 51 -74 {}
+P 4 4 60 -74 68 -74 68 -60 60 -60 {}
+P 4 5 47 -74 41 -74 41 -61 41 -60 47 -60 {}
+P 4 5 84 -65 97 -65 97 -47 84 -47 84 -65 {}
+P 4 3 84 -52 85 -51 84 -50 {}
+P 4 4 88 -74 97 -79 97 -69 88 -74 {}
+P 4 4 97 -74 105 -74 105 -60 97 -60 {}
+P 4 5 84 -74 78 -74 78 -61 78 -60 84 -60 {}
+P 4 5 121 -65 134 -65 134 -47 121 -47 121 -65 {}
+P 4 3 121 -52 122 -51 121 -50 {}
+P 4 4 125 -74 134 -79 134 -69 125 -74 {}
+P 4 4 134 -74 142 -74 142 -60 134 -60 {}
+P 4 5 121 -74 115 -74 115 -61 115 -60 121 -60 {}
+P 4 5 158 -65 171 -65 171 -47 158 -47 158 -65 {}
+P 4 3 158 -52 159 -51 158 -50 {}
+P 4 4 162 -74 171 -79 171 -69 162 -74 {}
+P 4 4 171 -74 179 -74 179 -60 171 -60 {}
+P 4 5 158 -74 152 -74 152 -61 152 -60 158 -60 {}
+P 4 3 68 -60 68 -51 84 -51 {}
+P 4 3 105 -60 105 -51 121 -51 {}
+P 4 3 142 -60 142 -51 158 -51 {}
+P 4 5 20 -100 20 -20 200 -20 200 -100 20 -100 {}
+P 4 4 47 -51 30 -51 30 -60 20 -60 {}
+P 4 2 179 -60 200 -60 {}
+T {dvss} 143 -32 0 1 0.2 0.2 {}
+T {@name} 175 -113 0 0 0.2 0.2 {}
+T {in} 22 -73 0 0 0.2 0.2 {}
+T {out} 198 -72 0 1 0.2 0.2 {}
+T {rst_b} 23 -36 0 0 0.2 0.2 {}
+T {dvdd} 144 -99 0 1 0.2 0.2 {}
+T {@symname
+} 21 -113 0 0 0.2 0.2 {}
diff --git a/xschem/sub/clksel/clksel.pex.sp b/xschem/sub/clksel/clksel.pex.sp
new file mode 100644
index 0000000..52f3ac8
--- /dev/null
+++ b/xschem/sub/clksel/clksel.pex.sp
@@ -0,0 +1,225 @@
+* NGSPICE file created from clksel.ext - technology: sky130A
+
+
+* Top level circuit clksel
+
+.subckt clksel vdd vss rst_b clk_int clk_out clk_ext en_div clk_sel
+X0 a_1325_3847# clk_sel vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=1.4948e+13p ps=1.43e+08u w=640000u l=150000u
+X1 vss clk_sel a_1646_3695# vss sky130_fd_pr__nfet_01v8 ad=1.00739e+13p pd=1.0389e+08u as=1.155e+11p ps=1.39e+06u w=420000u l=150000u
+X2 clk_out a_2834_3695# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.12e+12p pd=1.024e+07u as=0p ps=0u w=1e+06u l=150000u
+X3 a_1630_1353# a_1114_981# a_1535_1341# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X4 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X5 vss rst_b a_1892_2429# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X6 a_1848_2037# a_1630_2441# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X7 vss a_1109_2295# a_1114_2069# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X8 a_2195_2441# a_1280_2069# a_1848_2037# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X9 a_1109_1671# a_2370_1279# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X10 a_2357_975# a_1280_981# a_2195_1353# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X11 clk_out a_2834_3695# vss vss sky130_fd_pr__nfet_01v8 ad=4.704e+11p pd=5.6e+06u as=0p ps=0u w=420000u l=150000u
+X12 a_1630_2607# a_1114_2607# a_1535_2607# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X13 a_2195_1519# a_1114_1519# a_1848_1761# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X14 a_2195_1353# a_1114_981# a_1848_949# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X15 a_2304_1519# a_1114_1519# a_2195_1519# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X16 a_2370_2367# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X17 a_1975_3669# a_1418_2820# a_2202_4017# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.624e+11p pd=2.1e+06u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X18 vdd a_2834_3695# clk_out vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X19 vdd a_2195_1519# a_2370_1493# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X20 a_1848_1761# a_1630_1519# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X21 vdd clk_sel a_1659_4017# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.344e+11p ps=1.7e+06u w=640000u l=150000u
+X22 vss en_div a_2474_3695# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.155e+11p ps=1.39e+06u w=420000u l=150000u
+X23 a_1280_981# a_1114_981# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X24 a_1630_2607# a_1280_2607# a_1535_2607# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X25 a_2357_2973# a_1280_2607# a_2195_2607# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X26 a_2797_2857# a_2370_2581# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X27 a_1418_2820# a_2797_2857# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X28 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X29 vss a_2005_3695# a_2834_3695# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X30 a_1535_2429# a_1418_2234# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X31 a_1109_2759# a_2370_2367# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X32 a_1418_1146# a_1109_1671# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X33 a_2153_3847# en_div vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=0p ps=0u w=640000u l=150000u
+X34 a_1630_1353# a_1280_981# a_1535_1341# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X35 a_1848_2849# a_1630_2607# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X36 a_3113_2607# a_2934_2607# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X37 a_1280_2069# a_1114_2069# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X38 vdd a_2370_1279# a_2357_975# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X39 vss a_2370_1279# a_2304_1353# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X40 vss rst_b a_1892_1341# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X41 a_2202_4017# a_2153_3847# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X42 a_1738_2973# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X43 a_2797_2857# a_2370_2581# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X44 vss a_1109_1207# a_1114_981# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X45 vss a_2370_1493# a_2304_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X46 a_1418_1732# a_1109_2295# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X47 vss a_1147_3669# a_1109_1207# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X48 a_1726_1519# a_1280_1519# a_1630_1519# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X49 a_2195_2441# a_1114_2069# a_1848_2037# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X50 vdd a_2005_3695# a_2834_3695# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X51 vss rst_b a_1892_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X52 vdd a_1109_2759# a_1114_2607# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X53 vss a_1109_1671# a_1114_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X54 a_2474_3695# a_1418_2820# a_1975_3669# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X55 a_1356_3695# a_1325_3847# vss vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X56 a_2370_2581# a_2195_2607# a_2549_2607# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X57 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X58 vdd a_2195_2441# a_2370_2367# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X59 a_1848_949# a_1630_1353# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X60 a_2834_3695# a_2005_3695# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X61 a_2195_1353# a_1280_981# a_1848_949# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X62 a_1418_1732# a_1109_2295# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X63 a_1109_2295# a_2370_1493# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X64 a_2357_1885# a_1280_1519# a_2195_1519# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X65 a_1630_1519# a_1280_1519# a_1535_1519# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X66 a_2370_2367# a_2195_2441# a_2549_2429# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X67 a_1738_975# a_1114_981# a_1630_1353# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X68 a_1630_1519# a_1114_1519# a_1535_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X69 clk_out a_2834_3695# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X70 vdd a_2370_2581# a_2357_2973# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X71 a_2195_2607# a_1280_2607# a_1848_2849# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X72 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X73 a_1325_3847# clk_sel vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X74 vss a_1975_3669# a_2005_3695# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X75 vss a_2834_3695# clk_out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X76 a_1892_2607# a_1848_2849# a_1726_2607# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X77 a_3113_1519# a_2934_1519# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X78 a_2005_3695# a_1975_3669# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X79 clk_out a_2834_3695# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X80 vss a_2370_2581# a_2934_2607# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X81 a_1109_1671# a_2370_1279# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X82 a_1892_2429# a_1848_2037# a_1726_2441# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X83 a_2304_2441# a_1114_2069# a_2195_2441# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X84 a_1109_1207# a_1147_3669# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X85 a_1738_2973# a_1114_2607# a_1630_2607# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X86 vdd a_1848_2849# a_1738_2973# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X87 a_1738_1885# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X88 a_1848_1761# a_1630_1519# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X89 vss a_2370_2367# a_2934_2063# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X90 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X91 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X92 a_2549_2607# rst_b vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X93 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X94 vdd a_1975_3669# a_2005_3695# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X95 vdd a_1109_1671# a_1114_1519# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X96 a_3113_2063# a_2934_2063# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X97 a_1280_2607# a_1114_2607# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X98 a_2549_2429# rst_b vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X99 vss a_2834_3695# clk_out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X100 a_1535_2607# a_1418_2820# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X101 a_1109_2295# a_2370_1493# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X102 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X103 a_2370_1279# a_2195_1353# a_2549_1341# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X104 a_2357_2063# a_1280_2069# a_2195_2441# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X105 a_1630_2441# a_1280_2069# a_1535_2429# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X106 clk_out a_2834_3695# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X107 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X108 a_1535_2429# a_1418_2234# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X109 vdd a_2370_1493# a_2357_1885# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X110 clk_out a_2834_3695# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X111 vdd a_2370_1493# a_2934_1519# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X112 a_2370_1493# a_2195_1519# a_2549_1519# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X113 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X114 a_1280_2607# a_1114_2607# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X115 a_3113_2607# a_2934_2607# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X116 a_1535_1341# a_1418_1146# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X117 a_1659_4017# clk_int a_1147_3669# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.624e+11p ps=2.1e+06u w=640000u l=150000u
+X118 clk_out a_2834_3695# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X119 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X120 a_2370_2581# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X121 a_1726_2441# a_1280_2069# a_1630_2441# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X122 a_1892_1341# a_1848_949# a_1726_1353# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X123 a_1109_1207# a_1147_3669# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X124 a_1280_2069# a_1114_2069# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X125 vdd a_1848_949# a_1738_975# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X126 a_2195_1519# a_1280_1519# a_1848_1761# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X127 a_1738_1885# a_1114_1519# a_1630_1519# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X128 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X129 vdd a_1848_1761# a_1738_1885# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X130 a_1738_2063# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X131 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X132 vss a_2370_1279# a_2934_975# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X133 vdd a_1147_3669# a_1109_1207# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X134 a_1535_2607# a_1418_2820# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X135 a_1892_1519# a_1848_1761# a_1726_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X136 a_1646_3695# clk_ext a_1147_3669# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X137 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X138 a_1630_2441# a_1114_2069# a_1535_2429# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X139 vdd a_2834_3695# clk_out vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X140 vss a_2370_1493# a_2934_1519# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X141 a_2153_3847# en_div vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X142 a_2304_1353# a_1114_981# a_2195_1353# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X143 a_1738_975# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X144 a_2549_1341# rst_b vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X145 a_1280_1519# a_1114_1519# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X146 vdd a_2370_2367# a_2934_2063# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X147 a_1147_3669# clk_ext a_1374_4017# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X148 a_2304_2607# a_1114_2607# a_2195_2607# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X149 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X150 a_1535_1341# a_1418_1146# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X151 a_2549_1519# rst_b vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X152 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X153 vdd a_2834_3695# clk_out vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X154 vdd a_2370_2367# a_2357_2063# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X155 a_1147_3669# clk_int a_1356_3695# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X156 a_3113_975# a_2934_975# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X157 clk_out a_2834_3695# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X158 a_2370_1279# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X159 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X160 vdd a_2370_2581# a_2934_2607# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X161 a_2195_2607# a_1114_2607# a_1848_2849# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X162 a_1535_1519# a_1418_1732# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X163 a_1848_2849# a_1630_2607# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X164 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X165 vss a_2834_3695# clk_out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X166 a_2370_1493# rst_b vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X167 a_1418_2234# a_1109_2759# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X168 a_1418_2234# a_1109_2759# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X169 vdd a_2834_3695# clk_out vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X170 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X171 a_1280_981# a_1114_981# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X172 a_1109_2759# a_2370_2367# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X173 vdd a_2195_2607# a_2370_2581# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X174 a_1848_949# a_1630_1353# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X175 a_1738_2063# a_1114_2069# a_1630_2441# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X176 a_2184_3695# a_2153_3847# vss vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X177 a_3113_975# a_2934_975# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X178 vdd a_1848_2037# a_1738_2063# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X179 a_2005_3695# a_1975_3669# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X180 a_1374_4017# a_1325_3847# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X181 a_1280_1519# a_1114_1519# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X182 a_1535_1519# a_1418_1732# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X183 a_3113_2063# a_2934_2063# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X184 vdd en_div a_2487_4017# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.344e+11p ps=1.7e+06u w=640000u l=150000u
+X185 a_1975_3669# a_1109_1207# a_2184_3695# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X186 a_3113_1519# a_2934_1519# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X187 a_2834_3695# a_2005_3695# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X188 vss a_2834_3695# clk_out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X189 a_1726_1353# a_1280_981# a_1630_1353# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X190 a_1418_1146# a_1109_1671# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X191 vdd a_1109_1207# a_1114_981# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X192 a_1848_2037# a_1630_2441# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X193 a_2487_4017# a_1109_1207# a_1975_3669# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X194 vss a_2370_2581# a_2304_2607# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X195 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X196 vdd a_1109_2295# a_1114_2069# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X197 a_1418_2820# a_2797_2857# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X198 a_1726_2607# a_1280_2607# a_1630_2607# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X199 vss rst_b a_1892_2607# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X200 vdd a_2370_1279# a_2934_975# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X201 vdd a_2195_1353# a_2370_1279# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X202 vss a_1109_2759# a_1114_2607# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X203 vss a_2370_2367# a_2304_2441# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_1109_1207# vdd 2.62fF
+C1 a_1109_2295# vdd 3.23fF
+C2 clk_out vdd 2.32fF
+C3 a_1418_1146# vss 3.93fF
+C4 a_1109_1671# vss 3.28fF
+C5 a_1418_2234# vss 4.09fF
+C6 rst_b vss 5.84fF
+C7 a_1109_2759# vss 3.18fF
+C8 clk_out vss 2.30fF
+C9 a_1109_1207# vss 3.18fF
+C10 a_1418_2820# vss 2.56fF
+C11 clk_ext vss 2.18fF
+.ends
+.end
+
diff --git a/xschem/sub/clksel/clksel.sch b/xschem/sub/clksel/clksel.sch
new file mode 100644
index 0000000..2496937
--- /dev/null
+++ b/xschem/sub/clksel/clksel.sch
@@ -0,0 +1,47 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 120 -170 170 -170 { lab=clk_int}
+N 120 -130 170 -130 { lab=clk_ext}
+N 120 -90 170 -90 { lab=clk_sel}
+N 880 -170 930 -170 { lab=#net1}
+N 530 -410 530 -400 { lab=dvdd}
+N 500 -410 530 -410 { lab=dvdd}
+N 500 -270 530 -270 { lab=dvss}
+N 530 -280 530 -270 { lab=dvss}
+N 370 -310 400 -310 { lab=rst_b}
+N 250 -150 800 -150 { lab=#net2}
+N 620 -340 670 -340 { lab=#net3}
+N 670 -340 670 -190 { lab=#net3}
+N 670 -190 800 -190 { lab=#net3}
+N 270 -340 270 -150 { lab=#net2}
+N 270 -340 400 -340 { lab=#net2}
+N 1010 -170 1040 -170 { lab=clk_out}
+N 780 -230 800 -230 { lab=en_div}
+C {devices/ipin.sym} 120 -170 0 0 {name=p1 lab=clk_int
+}
+C {devices/ipin.sym} 370 -310 0 0 {name=p2 lab=rst_b}
+C {devices/opin.sym} 1040 -170 0 0 {name=p3 lab=clk_out
+}
+C {devices/iopin.sym} 100 -350 0 0 {name=p5 lab=dvdd}
+C {devices/iopin.sym} 100 -310 0 0 {name=p4 lab=dvss}
+C {devices/ipin.sym} 120 -130 0 0 {name=p6 lab=clk_ext
+}
+C {devices/ipin.sym} 780 -230 0 0 {name=p7 lab=en_div
+}
+C {clkdiv/clkdiv.sym} 400 -280 0 0 {name=x1}
+C {devices/ipin.sym} 120 -90 0 0 {name=p8 lab=clk_sel
+}
+C {devices/lab_wire.sym} 530 -410 0 0 {name=l1 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 530 -270 0 0 {name=l2 sig_type=std_logic lab=dvss
+}
+C {xschem/symbols/sky130_stdcells/mux2_2.sym} 210 -150 0 0 {name=x2 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/clkbuf_8.sym} 970 -170 0 0 {name=x3 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/mux2_2.sym} 840 -170 2 1 {name=x4 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
diff --git a/xschem/sub/clksel/clksel.sym b/xschem/sub/clksel/clksel.sym
new file mode 100644
index 0000000..664f118
--- /dev/null
+++ b/xschem/sub/clksel/clksel.sym
@@ -0,0 +1,67 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -30 20 -30 {}
+B 5 -192.5 -112.5 -187.5 -107.5 {name=dvdd dir=inout }
+B 5 -192.5 -92.5 -187.5 -87.5 {name=dvss dir=inout }
+B 5 -192.5 -72.5 -187.5 -67.5 {name=rst_b dir=in }
+B 5 -192.5 -42.5 -187.5 -37.5 {name=clk_int dir=in }
+B 5 17.5 -32.5 22.5 -27.5 {name=clk_out dir=out }
+B 5 -192.5 -22.5 -187.5 -17.5 {name=clk_ext dir=in }
+B 5 -32.5 -2.5 -27.5 2.5 {name=en_div dir=in }
+B 5 -162.5 -2.5 -157.5 2.5 {name=clk_sel dir=in }
+A 4 -132 -83 1.414213562373095 225 360 {}
+A 4 -78 -83 1.414213562373095 225 360 {}
+A 4 -106 -73 1.414213562373095 225 360 {}
+A 4 -106 -73 1.414213562373095 225 360 {}
+A 4 -101 -73 1.414213562373095 225 360 {}
+A 4 -96 -73 1.414213562373095 225 360 {}
+P 4 3 -134 -61 -133 -60 -134 -59 {}
+P 4 4 -121 -83 -113 -83 -113 -69 -121 -69 {}
+P 4 5 -134 -83 -140 -83 -140 -70 -140 -69 -134 -69 {}
+P 4 5 -80 -74 -67 -74 -67 -56 -80 -56 -80 -74 {}
+P 4 3 -80 -61 -79 -60 -80 -59 {}
+P 4 4 -76 -83 -67 -88 -67 -78 -76 -83 {}
+P 4 4 -67 -83 -59 -83 -59 -69 -67 -69 {}
+P 4 5 -80 -83 -86 -83 -86 -70 -86 -69 -80 -69 {}
+P 4 5 -170 -45 -170 -15 -155 -25 -155 -35 -170 -45 {}
+P 4 2 -170 -20 -185 -20 {}
+P 4 2 -170 -40 -185 -40 {}
+P 4 2 -134 -60 -140 -60 {}
+P 4 5 -134 -74 -121 -74 -121 -56 -134 -56 -134 -74 {}
+P 4 4 -130 -83 -121 -88 -121 -78 -130 -83 {}
+P 4 5 -40 -45 -40 -15 -25 -25 -25 -35 -40 -45 {}
+P 4 2 -80 -60 -86 -60 {}
+P 4 2 -113 -69 -113 -60 {}
+P 4 2 -160 -20 -160 -21 {}
+P 4 2 -30 -20 -30 -21 {}
+P 4 4 -3 -30 -12 -25 -12 -35 -3 -30 {}
+P 4 4 -145 -30 -59 -30 -59 -20 -45 -20 {}
+P 4 3 -45 -40 -59 -40 -59 -69 {}
+P 4 2 -86 -60 -113 -60 {dash=4}
+P 4 2 -12 -30 -25 -30 {}
+P 4 3 -140 -60 -140 -31 -140 -30 {}
+P 4 2 -185 -40 -190 -40 {}
+P 4 2 -185 -20 -190 -20 {}
+P 4 1 -160 0 {}
+P 4 2 -145 -30 -155 -30 {}
+P 4 2 -30 -20 -30 0 {}
+P 4 2 -160 -20 -160 0 {}
+P 4 2 -40 -40 -45 -40 {}
+P 4 2 -40 -20 -45 -20 {}
+P 4 2 -0 -30 -3 -30 {}
+P 4 5 -190 -120 -190 0 20 0 20 -120 -190 -120 {}
+T {@symname} -190 -134 0 0 0.2 0.2 {}
+T {@name} 0 -133 0 0 0.2 0.2 {}
+T {dvdd} -156 -116 0 1 0.2 0.2 {}
+T {dvss} -158 -96 0 1 0.2 0.2 {}
+T {rst_b} -182 -76 0 0 0.2 0.2 {}
+T {clk_out} 19 -50 0 1 0.2 0.2 {}
+T {en_div} -71 -13 0 0 0.2 0.2 {}
+T {clk_sel} -155 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/inv_lvt.sch b/xschem/sub/inv_lvt.sch
new file mode 100644
index 0000000..9f33d91
--- /dev/null
+++ b/xschem/sub/inv_lvt.sch
@@ -0,0 +1,48 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 410 -240 410 -200 {}
+N 410 -360 410 -300 {}
+N 360 -360 410 -360 {}
+N 410 -140 410 -80 {}
+N 360 -80 410 -80 {}
+N 320 -170 370 -170 {}
+N 320 -270 320 -170 {}
+N 320 -270 370 -270 {}
+N 410 -220 520 -220 {}
+N 240 -220 320 -220 {}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 390 -170 0 0 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 390 -270 0 0 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/opin.sym} 520 -220 0 0 {name=p4 lab=out}
+C {devices/ipin.sym} 240 -220 0 0 {name=p3 lab=in}
+C {devices/iopin.sym} 360 -80 2 0 {name=p2 lab=vss}
+C {devices/iopin.sym} 360 -360 2 0 {name=p1 lab=vdd}
diff --git a/xschem/sub/logic/buffer_lvt.sch b/xschem/sub/logic/buffer_lvt.sch
new file mode 100644
index 0000000..55d52ec
--- /dev/null
+++ b/xschem/sub/logic/buffer_lvt.sch
@@ -0,0 +1,93 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 170 -170 170 -110 {lab=#net1}
+N 170 -50 170 -20 {lab=vss}
+N 170 -20 170 -10 {lab=vss}
+N 80 -200 130 -200 {lab=in}
+N 80 -200 80 -80 {lab=in}
+N 80 -80 130 -80 {lab=in}
+N 170 -140 260 -140 {lab=#net1}
+N 170 -200 200 -200 {lab=vdd}
+N 170 -80 200 -80 {lab=vss}
+N 40 -140 80 -140 {lab=in}
+N 120 -10 170 -10 {lab=vss}
+N 120 -270 170 -270 {lab=vdd}
+N 170 -270 170 -230 {lab=vdd}
+N 350 -170 350 -110 {lab=out}
+N 350 -50 350 -20 {lab=vss}
+N 350 -20 350 -10 {lab=vss}
+N 260 -200 310 -200 {lab=#net1}
+N 260 -200 260 -80 {lab=#net1}
+N 260 -80 310 -80 {lab=#net1}
+N 350 -140 440 -140 {lab=out}
+N 350 -200 380 -200 {lab=vdd}
+N 350 -80 380 -80 {lab=vss}
+N 350 -270 350 -230 {lab=vdd}
+N 170 -270 350 -270 { lab=vdd}
+N 170 -10 350 -10 { lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 150 -80 0 0 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 150 -200 0 0 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 200 -200 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -80 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} 120 -270 2 0 {name=p1 lab=vdd}
+C {devices/iopin.sym} 120 -10 2 0 {name=p2 lab=vss}
+C {devices/ipin.sym} 40 -140 0 0 {name=p3 lab=in}
+C {sky130_primitives/nfet_01v8_lvt.sym} 330 -80 0 0 {name=M3
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 330 -200 0 0 {name=M4
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 380 -200 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 380 -80 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/opin.sym} 440 -140 0 0 {name=p8 lab=out}
diff --git a/xschem/sub/logic/buffer_lvt.sym b/xschem/sub/logic/buffer_lvt.sym
new file mode 100644
index 0000000..c2e381d
--- /dev/null
+++ b/xschem/sub/logic/buffer_lvt.sym
@@ -0,0 +1,20 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -150 -20 -130 -20 {}
+B 5 -112.5 -42.5 -107.5 -37.5 {name=vdd dir=inout }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=in dir=in }
+B 5 -72.5 -22.5 -67.5 -17.5 {name=out dir=out }
+B 5 -112.5 -2.5 -107.5 2.5 {name=vss dir=inout }
+P 4 4 -130 -40 -130 0 -90 -20 -130 -40 {}
+P 4 2 -110 -40 -110 -30 {}
+P 4 2 -110 -0 -110 -10 {}
+P 4 2 -70 -20 -90 -20 {}
+T {@symname} -91.5 -6 0 0 0.3 0.3 {}
+T {@name} -95 -52 0 0 0.2 0.2 {}
diff --git a/xschem/sub/logic/inv_lvt.sch b/xschem/sub/logic/inv_lvt.sch
new file mode 100644
index 0000000..9a8695a
--- /dev/null
+++ b/xschem/sub/logic/inv_lvt.sch
@@ -0,0 +1,51 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 170 -170 170 -110 {lab=out}
+N 170 -50 170 -20 {lab=vss}
+N 170 -20 170 -10 {lab=vss}
+N 80 -200 130 -200 {lab=in}
+N 80 -200 80 -80 {lab=in}
+N 80 -80 130 -80 {lab=in}
+N 170 -140 260 -140 {lab=out}
+N 170 -200 200 -200 {lab=vdd}
+N 170 -80 200 -80 {lab=vss}
+N 40 -140 80 -140 {lab=in}
+N 120 -10 170 -10 {lab=vss}
+N 120 -270 170 -270 {lab=vdd}
+N 170 -270 170 -230 {lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 150 -80 0 0 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 150 -200 0 0 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 200 -200 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -80 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} 120 -270 2 0 {name=p1 lab=vdd}
+C {devices/iopin.sym} 120 -10 2 0 {name=p2 lab=vss}
+C {devices/ipin.sym} 40 -140 0 0 {name=p3 lab=in}
+C {devices/opin.sym} 260 -140 0 0 {name=p4 lab=out}
diff --git a/xschem/sub/logic/inv_lvt.sym b/xschem/sub/logic/inv_lvt.sym
new file mode 100644
index 0000000..9010398
--- /dev/null
+++ b/xschem/sub/logic/inv_lvt.sym
@@ -0,0 +1,21 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -150 -20 -130 -20 {}
+B 5 -112.5 -42.5 -107.5 -37.5 {name=vdd dir=inout }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=in dir=in }
+B 5 -72.5 -22.5 -67.5 -17.5 {name=out dir=out }
+B 5 -112.5 -2.5 -107.5 2.5 {name=vss dir=inout }
+A 4 -87.5 -20 2.549509756796392 258.6900675259798 360 {}
+P 4 4 -130 -40 -130 0 -90 -20 -130 -40 {}
+P 4 2 -110 -40 -110 -30 {}
+P 4 2 -110 -0 -110 -10 {}
+P 4 2 -70 -20 -85 -20 {}
+T {@symname} -91.5 -6 0 0 0.3 0.3 {}
+T {@name} -95 -52 0 0 0.2 0.2 {}
diff --git a/xschem/sub/logic/nand.sch b/xschem/sub/logic/nand.sch
new file mode 100644
index 0000000..4b14765
--- /dev/null
+++ b/xschem/sub/logic/nand.sch
@@ -0,0 +1,100 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 450 -40 450 -10 {lab=vss}
+N 450 -10 450 0 {lab=vss}
+N 290 0 450 0 {lab=vss}
+N 290 -330 340 -330 {lab=vdd}
+N 360 -150 410 -150 { lab=A}
+N 360 -70 410 -70 { lab=B}
+N 370 -220 370 -200 { lab=Y}
+N 370 -200 450 -200 { lab=Y}
+N 450 -200 450 -180 { lab=Y}
+N 450 -200 530 -200 { lab=Y}
+N 530 -220 530 -200 { lab=Y}
+N 310 -250 330 -250 { lab=A}
+N 470 -250 490 -250 { lab=B}
+N 340 -330 530 -330 { lab=vdd}
+N 530 -330 530 -280 { lab=vdd}
+N 370 -330 370 -280 { lab=vdd}
+N 450 -150 480 -150 { lab=vss}
+N 450 -70 480 -70 { lab=vss}
+N 530 -200 580 -200 { lab=Y}
+N 370 -250 400 -250 { lab=vdd}
+N 530 -250 560 -250 { lab=vdd}
+N 450 -120 450 -100 { lab=#net1}
+C {sky130_primitives/nfet_01v8_lvt.sym} 430 -70 0 0 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 350 -250 0 0 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 370 -250 0 1 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/iopin.sym} 290 -330 2 0 {name=p1 lab=vdd}
+C {devices/iopin.sym} 290 0 2 0 {name=p2 lab=vss}
+C {devices/ipin.sym} 360 -150 0 0 {name=p3 lab=A
+}
+C {devices/opin.sym} 580 -200 0 0 {name=p4 lab=Y
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 430 -150 0 0 {name=M3
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/ipin.sym} 360 -70 0 0 {name=p5 lab=B
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 510 -250 0 0 {name=M4
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 530 -250 0 1 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 410 -150 0 0 {name=l4 sig_type=std_logic lab=A
+}
+C {devices/lab_wire.sym} 410 -70 0 0 {name=l5 sig_type=std_logic lab=B
+}
+C {devices/lab_wire.sym} 330 -250 0 0 {name=l6 sig_type=std_logic lab=A
+}
+C {devices/lab_wire.sym} 490 -250 0 0 {name=l7 sig_type=std_logic lab=B
+}
+C {devices/lab_wire.sym} 450 -70 0 1 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 450 -150 0 1 {name=l9 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/logic/nand.sym b/xschem/sub/logic/nand.sym
new file mode 100644
index 0000000..1fe4dd3
--- /dev/null
+++ b/xschem/sub/logic/nand.sym
@@ -0,0 +1,26 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -160 -50 -140 -50 {}
+L 4 -160 -10 -140 -10 {}
+L 4 -140 -60 -140 0 {}
+L 4 -140 -60 -100 -60 {}
+L 4 -140 0 -100 0 {}
+L 4 -63 -30 -40 -30 {}
+B 5 -112.5 -72.5 -107.5 -67.5 {name=vdd dir=inout }
+B 5 -162.5 -52.5 -157.5 -47.5 {name=A dir=in }
+B 5 -42.5 -32.5 -37.5 -27.5 {name=Y dir=out }
+B 5 -112.5 7.5 -107.5 12.5 {name=vss dir=inout }
+B 5 -162.5 -12.5 -157.5 -7.5 {name=B dir=in }
+A 4 -65.5 -30 2.549509756796392 258.6900675259798 360 {}
+A 4 -98.33333333333333 -30 30.04626062886658 266.8201698801357 186.3596602397285 {}
+P 4 2 -110 -70 -110 -60 {}
+P 4 2 -110 10 -110 0 {}
+T {@symname} -91.5 -6 0 0 0.3 0.3 {}
+T {@name} -85 -72 0 0 0.2 0.2 {}
diff --git a/xschem/sub/main/main.ext.spice b/xschem/sub/main/main.ext.spice
new file mode 100644
index 0000000..6f4d1b9
--- /dev/null
+++ b/xschem/sub/main/main.ext.spice
@@ -0,0 +1,2002 @@
+* SPICE3 file created from main.ext - technology: sky130A
+
+
+.subckt main dvdd en_ldo_dig avdd rstn vdd vss en_ldo_ana clksys en_clk_int clkext ibp_3_ ibp_2_
++ ibp_1_ ibp_0_ ibn_1_ ibn_0_ en_clkdiv clksel refsel vbg_ext avss dvss tbout bgtrim_15_ bgtrim_14_
++ bgtrim_13_ bgtrim_12_ bgtrim_11_ bgtrim_10_ bgtrim_9_ bgtrim_8_ bgtrim_7_ bgtrim_6_ bgtrim_5_ bgtrim_4_
++ bgtrim_3_ bgtrim_2_ bgtrim_1_ bgtrim_0_ tbctl_2_ tbctl_1_ tbctl_0_
+
+Rconn1 avss vss 0.01
+Rconn2 dvss vss 0.01
+
+X0 a_29435_30536# a_30910_30664# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=9.28e+12p pd=8.256e+07u as=9.28e+12p ps=8.256e+07u w=1e+06u l=500000u
+X2 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=4.64e+12p ps=4.128e+07u w=1e+06u l=4e+06u
+X3 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.42759e+14p pd=2.04143e+09u as=0p ps=0u w=870000u l=1.05e+06u
+X4 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.66245e+14p pd=1.47076e+09u as=0p ps=0u w=2e+06u l=4e+06u
+X5 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X6 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=6.38e+12p pd=5.676e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X7 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=2.32e+12p pd=2.064e+07u as=4.582e+13p ps=3.3862e+08u w=1e+06u l=1e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 vss ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.61e+12p ps=2.322e+07u w=1e+06u l=1e+06u
+X10 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.61e+12p pd=2.322e+07u as=0p ps=0u w=1e+06u l=500000u
+X11 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X12 a_39286_5164# a_38770_5164# a_39191_5164# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X13 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X14 a_17509_48421# a_17233_48421# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X15 vss ibpbas a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=4e+06u
+X16 vdd a_14147_17413# a_23557_19518# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X17 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X18 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X19 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X20 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.624e+13p ps=1.352e+08u w=1e+06u l=1e+06u
+X21 a_21272_47107# ibp_0_ ibp_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.406e+07u as=1.16e+12p ps=9.16e+06u w=2e+06u l=4e+06u
+X22 a_9727_51399# a_9697_51123# a_9473_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X23 a_46660_5972# a_46036_5606# a_46552_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X26 a_47409_7016# a_46031_4206# a_46897_6668# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.7e+06u as=2.624e+11p ps=2.1e+06u w=640000u l=150000u
+X27 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=6.38e+12p ps=5.676e+07u w=1e+06u l=4e+06u
+X28 dvdd a_46031_5294# a_46036_5068# dvdd sky130_fd_pr__pfet_01v8_hvt ad=5.7007e+13p pd=4.5023e+08u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X29 a_29435_33716# bgtrim_8_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=9.28e+12p ps=7.328e+07u w=2e+06u l=500000u
+X30 vdd en_ldo_dig a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.74e+12p ps=1.548e+07u w=1e+06u l=400000u
+X31 dvdd a_46031_4206# a_46036_3980# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X32 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 vss a_9183_51693# a_10895_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X34 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X35 dvdd a_46770_5848# a_46660_5972# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X36 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X37 a_29435_36896# a_30910_37024# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X38 vbg a_7028_39565# vbg_ext vdd sky130_fd_pr__pfet_01v8 ad=8.7e+11p pd=7.74e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X39 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X40 ibp_2_ ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 a_16019_27456# ibnbas a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X42 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.827e+13p pd=1.3818e+08u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X43 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 a_14147_17413# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X45 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X46 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X47 vbg a_8742_39565# vbg_int vss sky130_fd_pr__nfet_01v8 ad=8.7e+11p pd=7.74e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X48 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X49 a_36712_33396# a_36326_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X50 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.74e+12p ps=1.548e+07u w=1e+06u l=1e+06u
+X51 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.58e+07u as=0p ps=0u w=1e+06u l=4e+06u
+X52 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X53 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X54 vdd a_8735_54512# a_10773_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X55 a_10533_55475# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X56 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X57 a_9340_13770# vss vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X58 a_9033_7909# en_ldo_ana vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=0p ps=0u w=1e+06u l=400000u
+X59 a_16019_26544# ibnbas a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X60 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.624e+13p pd=1.352e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X61 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X62 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X63 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X64 a_39504_5406# a_39286_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X65 vss a_10117_54413# a_10283_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X66 a_29277_29476# bgtrim_13_ a_30910_35964# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X67 a_46770_4760# a_46552_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X68 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X69 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X70 vss ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.61e+12p ps=2.322e+07u w=1e+06u l=1e+06u
+X71 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X72 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=3.19e+12p pd=2.838e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X73 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X75 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X76 a_9371_53985# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X77 vss a_21272_47107# a_21088_54984# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.032e+07u w=1e+06u l=1e+06u
+X78 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X79 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X80 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X81 vdd a_10287_50605# a_11129_51149# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X82 a_21030_55081# a_21088_54984# a_21088_54984# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=5.8e+11p ps=5.16e+06u w=1e+06u l=4e+06u
+X83 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X84 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X85 a_16534_48421# a_16071_51406# dvdd vss sky130_fd_pr__nfet_01v8 ad=2.32e+12p pd=2.064e+07u as=1.04237e+13p ps=1.2588e+08u w=1e+06u l=500000u
+X86 a_21230_16137# a_21230_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=1.16e+12p ps=1.032e+07u w=1e+06u l=2e+06u
+X87 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X88 vdd a_21030_55081# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X89 tbout a_28184_48339# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=3.48e+12p pd=2.574e+07u as=0p ps=0u w=4e+06u l=4e+06u
+X90 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=0p ps=0u w=1e+06u l=4e+06u
+X91 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X92 a_9033_6762# en_ldo_ana vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X93 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=2.03e+12p ps=1.806e+07u w=1e+06u l=1e+06u
+X94 a_22146_16137# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X95 a_11221_50213# a_11129_51149# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X96 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X97 a_46247_6846# clksel vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X98 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X99 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X100 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X101 vdd a_11221_50061# a_11398_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X102 a_16534_48421# a_16071_57376# avdd vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.75807e+13p ps=2.06e+08u w=1e+06u l=500000u
+X103 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X104 a_16071_54391# a_15795_54391# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X105 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X106 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X107 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X108 a_47279_3974# a_46202_3980# a_47117_4352# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X109 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X110 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.044e+13p pd=8.476e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X111 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=0p ps=0u w=2e+06u l=1e+06u
+X112 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X113 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X114 vdd a_9091_54413# a_9473_54965# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X115 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.856e+13p pd=1.4076e+08u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X116 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X117 vb_6_ a_32117_14563# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X118 a_7973_17910# a_7973_17910# a_7909_15880# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=1.45e+11p ps=1.58e+06u w=500000u l=1e+06u
+X119 a_29435_33716# a_30910_32784# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X120 a_17146_5558# a_16724_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X121 vss a_9697_51123# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X122 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X123 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.264e+07u w=500000u l=2e+06u
+R0 vss vss sky130_fd_pr__res_generic_m4 w=9.6e+06u l=4e+06u
+X124 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X125 a_48035_5606# a_47856_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X126 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X127 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=8.12e+12p ps=6.412e+07u w=2e+06u l=4e+06u
+X128 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X129 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X130 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X131 a_7057_34366# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.432e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X132 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X133 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X134 a_46340_4145# a_46031_4670# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X135 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X136 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X137 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X138 a_8819_54413# a_8735_54512# a_8737_54413# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X139 w_42506_30499# w_42506_30499# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X140 a_16534_48421# a_17509_51406# vb_4_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X141 a_17509_54391# a_17233_54391# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X142 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X143 a_21793_20190# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X144 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X145 vss ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X146 vss a_10197_55475# a_10227_55501# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X147 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X148 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X149 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X150 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 a_9567_53869# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X153 a_33084_6373# a_33004_6347# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X154 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X155 a_33004_6347# a_35079_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X156 a_16534_48421# a_17509_57376# vdd vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.61908e+14p ps=3.08131e+09u w=1e+06u l=500000u
+X157 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X159 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=2.03e+12p pd=1.806e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X160 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X161 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X162 vdd a_9105_50837# a_9105_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X163 vss a_9013_51925# a_9013_51693# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X164 a_9091_54413# a_8737_54413# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X165 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X166 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X167 a_10073_52389# a_10346_52217# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X168 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X169 a_47292_4278# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X170 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X171 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X172 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X173 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X174 ibn_1_ a_21230_16137# a_23062_17193# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X175 dvdd a_47292_4278# a_47856_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X176 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X177 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X178 ibpbas a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X179 a_23062_17193# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X180 a_15161_30727# ibnbas a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X181 a_9936_52053# a_9039_52781# a_9855_52053# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.071e+11p ps=1.35e+06u w=420000u l=150000u
+X182 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X183 vb_0_ a_32117_20471# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X184 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X185 a_16196_13967# ibpbas a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.264e+07u w=500000u l=4e+06u
+X186 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X187 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X188 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X189 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X190 ibnbas a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=500000u
+X191 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X192 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X193 a_10383_52897# a_9697_51123# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X194 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X195 a_10018_50965# a_9831_50605# a_9931_50721# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X196 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X197 a_15161_31718# ibnbas a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X198 vss a_10117_54413# a_10283_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X199 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X200 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X201 vss a_47292_5580# a_47856_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X202 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X203 a_10073_52389# a_10346_52217# a_10304_52243# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X204 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X205 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X206 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X207 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X208 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X210 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X211 a_47117_4518# a_46036_4518# a_46770_4760# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X212 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X213 a_10533_56019# a_9697_51123# a_10593_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=7.9e+11p ps=7.58e+06u w=1e+06u l=150000u
+X214 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X215 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X216 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X217 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X218 vss a_10143_50061# a_17233_48421# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X219 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X220 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X221 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X222 a_46552_5440# a_46202_5068# a_46457_5428# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X223 vss a_47292_4278# a_47226_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X224 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X225 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X226 a_11398_50061# a_11221_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X227 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X228 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X229 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X230 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X231 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X232 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X233 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X234 a_37846_16790# a_37846_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X235 a_39548_5164# a_39504_5406# a_39382_5164# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X236 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X237 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X238 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X239 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X240 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X241 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X242 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X243 a_34723_6373# a_34367_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X244 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X246 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X247 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X248 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X249 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X250 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X251 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X252 a_40013_15754# a_40013_15754# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X253 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X254 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X255 a_10287_50605# a_9931_50721# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X256 vss a_16302_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X257 a_29435_31596# bgtrim_4_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X258 a_47471_4340# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X259 ibnbas ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X260 ibpbas ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.264e+07u as=0p ps=0u w=500000u l=4e+06u
+X261 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X262 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X263 ibp_1_ a_14147_17413# a_21793_19294# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X264 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X265 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X266 vss a_9977_51123# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X267 a_16534_48421# a_15795_57376# avdd vdd sky130_fd_pr__pfet_01v8 ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=500000u
+X268 a_33084_7058# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X269 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X270 a_9033_6762# en_ldo_ana vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X271 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X272 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X273 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X274 a_46897_6668# a_47267_6832# a_47124_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X275 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X276 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X277 vss rstn a_46814_4340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X278 ibnbas ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X279 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X280 vdd a_9506_17973# ibpbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X281 a_16196_13967# ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X282 vb_2_ a_32117_18783# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X283 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X284 a_9521_52211# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X285 vss a_47292_5366# a_47856_5062# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X286 a_9033_7909# a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X287 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X288 a_46770_4760# a_46552_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X289 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X290 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 a_14147_17185# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X292 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X293 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X294 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X295 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X296 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X297 vdd a_9697_51123# a_10073_52389# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X298 a_37098_33396# a_35940_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X299 dvdd a_47117_4518# a_47292_4492# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X300 a_9785_4482# ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X301 vss a_46031_4206# a_46036_3980# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X302 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X303 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X304 dvdd clksel a_46581_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.344e+11p ps=1.7e+06u w=640000u l=150000u
+X305 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=4e+06u
+X306 vdd a_11398_50061# a_15795_48421# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X307 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X308 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X309 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X310 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X311 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X312 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X313 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X314 vss tbctl_2_ a_8737_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X315 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X316 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X317 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X318 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X319 vss a_47292_4492# a_47226_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X320 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X321 vss a_47886_16107# ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X322 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 a_16534_48421# a_17233_57376# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X324 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X325 a_10865_53869# a_8861_54387# a_10593_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X326 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X327 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X328 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X329 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X330 vdd a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=1e+06u
+X331 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X332 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=3.6992e+12p
+X333 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X334 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X335 a_29435_34776# bgtrim_10_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X336 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X337 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X338 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X339 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X340 a_10533_56019# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X341 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X342 a_39851_5164# a_38770_5164# a_39504_5406# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X343 a_46340_5819# a_47267_6832# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X344 a_47279_5972# a_46202_5606# a_47117_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X345 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X346 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X347 dvdd a_46927_6694# a_47756_6694# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X348 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X350 a_39504_5406# a_39286_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X351 a_47267_6832# a_47292_5580# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X352 a_11926_4549# a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X353 a_46340_4731# a_46031_5294# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X354 a_47471_4518# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X355 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X356 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X357 a_46660_3974# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X358 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X359 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X360 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X361 vss a_11398_53325# a_17233_54391# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X362 a_6880_26619# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X363 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X364 a_39382_5164# a_38936_5164# a_39286_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X365 vdd a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X367 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X368 vss a_46069_6668# a_46031_4206# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X369 a_11926_4549# a_11926_4549# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X370 a_48035_5062# a_47856_5062# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X371 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X372 vss a_28184_48339# tbout vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.48e+12p ps=2.574e+07u w=4e+06u l=4e+06u
+X373 a_29277_29476# bgtrim_15_ a_30910_37024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X374 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X375 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X376 a_10593_53869# a_8861_54387# a_10865_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X377 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X378 vss rstn a_46814_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X379 a_21272_47107# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X380 a_46457_5428# a_46340_5233# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X381 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X382 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X383 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X384 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X385 a_23557_19518# a_14147_17413# a_21230_16137# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X386 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X387 a_46581_7016# a_40581_5164# a_46069_6668# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.624e+11p ps=2.1e+06u w=640000u l=150000u
+X388 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X389 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X390 a_35079_6373# a_34723_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X391 vdd a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X392 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X393 vss ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X394 a_29277_29476# bgtrim_1_ a_30910_29604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X395 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X396 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X397 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X398 vss vss a_6949_30834# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=4.624e+11p
+X399 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X400 vdd a_14147_17185# a_14147_17185# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X401 ibp_0_ a_14147_17413# a_21793_19742# vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X402 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X403 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X404 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X405 vss a_46031_4670# a_46036_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X406 vdd a_6416_39560# a_7028_39565# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X407 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X408 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X409 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X410 a_15161_31718# ibnbas a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X411 a_9705_51925# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X412 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X413 a_47292_5580# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X414 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X415 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.03e+12p ps=1.806e+07u w=1e+06u l=1e+06u
+X416 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X417 vss a_22146_16137# a_22146_15433# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X418 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X419 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X420 a_47396_6694# a_47267_6832# a_46897_6668# vss sky130_fd_pr__nfet_01v8 ad=1.155e+11p pd=1.39e+06u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X421 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X422 a_22146_15433# a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X423 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X424 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=2.03e+12p pd=1.806e+07u as=0p ps=0u w=1e+06u l=1e+06u
+R1 vss vss sky130_fd_pr__res_generic_m4 w=9.6e+06u l=4e+06u
+X425 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X426 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X427 a_8871_56045# tbctl_0_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X428 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X429 a_10593_53869# a_9697_51123# a_10533_56019# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X430 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X431 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X432 a_15161_30727# ibnbas a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X433 a_46278_6694# a_46247_6846# vss vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X434 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X435 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X436 vdd a_10227_55501# a_15795_57376# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X437 a_47292_5580# a_47117_5606# a_47471_5606# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X438 a_33655_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X439 a_16071_48421# a_15795_48421# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X440 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X441 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X442 ibp_0_ a_14147_17413# a_21793_19966# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X443 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X444 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X445 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X446 vss a_9105_50837# a_9105_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X447 a_34723_6373# a_34367_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X448 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X449 a_40205_5164# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X450 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X451 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X452 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X453 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X454 a_38936_5164# a_38770_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X455 vss a_8861_54387# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X456 a_47075_6846# en_clkdiv dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=0p ps=0u w=640000u l=150000u
+X457 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X458 vss a_9039_52781# a_9065_53299# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X459 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X460 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X461 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X462 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X463 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X464 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X465 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X466 a_46660_4884# a_46036_4518# a_46552_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X467 a_40572_33396# a_40958_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X468 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X469 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X470 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X471 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X472 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X473 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X474 ibn_0_ ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X475 vss a_8735_54512# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X476 a_39851_5164# a_38936_5164# a_39504_5406# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X477 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X478 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X479 vdd a_16019_27456# a_39053_30692# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.16e+12p ps=8.58e+06u w=4e+06u l=1e+06u
+X480 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X481 a_29435_33716# a_30910_33844# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X482 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X483 vdd tbctl_2_ a_8737_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X484 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X485 a_7257_35054# a_7257_35054# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X486 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X487 dvdd a_46770_4760# a_46660_4884# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X488 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X489 a_47117_4352# a_46202_3980# a_46770_3948# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X490 vb_4_ a_32117_16251# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X491 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X492 a_38690_16790# a_39956_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X493 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X494 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X495 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X496 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X497 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X498 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X499 a_7909_13748# a_7909_15880# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X500 vss a_28184_48339# tbout vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X501 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X502 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X503 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X504 a_37098_33396# a_37484_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X505 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X506 a_10304_52243# a_9697_51123# a_10223_52243# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.071e+11p ps=1.35e+06u w=420000u l=150000u
+X507 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X508 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X509 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X510 vss ibpbas ibpbas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X511 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X512 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X513 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X514 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X515 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X516 a_46552_4518# a_46036_4518# a_46457_4518# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X517 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X518 a_47292_5366# a_47117_5440# a_47471_5428# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X519 a_48035_3974# a_47856_3974# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X520 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X521 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X522 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X523 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X524 a_46202_5068# a_46036_5068# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X525 a_7304_39565# a_7028_39565# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X526 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X527 a_46202_3980# a_46036_3980# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X528 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X529 a_12242_4494# a_17990_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X530 a_9727_51399# a_9977_51123# a_9521_52211# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X531 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X532 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X533 a_9215_52237# a_9185_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X534 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X535 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X536 a_34011_6373# a_33655_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X537 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X538 vdd a_9039_52781# a_9065_53299# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X539 a_8121_17110# a_8121_17110# a_7973_17910# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=0p ps=0u w=500000u l=1e+06u
+X540 vdd a_21030_55081# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X541 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X542 a_6880_26619# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X543 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=4.704e+11p pd=5.6e+06u as=0p ps=0u w=420000u l=150000u
+X544 vdd a_14147_17413# a_23557_20190# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X545 a_9650_54957# a_9473_54965# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X546 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X547 vss a_9697_51123# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X548 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X549 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X550 a_14605_15614# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X551 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X552 a_47117_5606# a_46202_5606# a_46770_5848# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X553 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X554 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X555 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X556 a_7257_35054# a_7257_35054# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X557 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X558 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X559 a_48035_4518# a_47856_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X560 vss refsel a_8466_39565# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X561 a_16071_54391# a_15795_54391# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X562 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X563 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X564 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X565 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X566 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X567 a_35079_6373# a_34723_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X568 a_46031_5758# a_47292_5366# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X569 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X570 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X571 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X572 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X573 a_21272_47107# ibp_0_ ibp_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X574 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X575 a_9033_7909# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X576 a_16019_26544# ibnbas a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X577 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X578 a_15338_13967# ibpbas a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X579 a_9473_51399# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X580 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X581 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X582 a_9215_52237# a_9185_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X583 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X584 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X585 a_40581_5164# a_40026_5138# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.1e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X586 a_48035_5606# a_47856_5606# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X587 a_8742_39565# a_8466_39565# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X588 a_46660_5972# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X589 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X590 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X591 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X592 a_39394_5530# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X593 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X594 a_17509_48421# a_17233_48421# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X595 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X596 dvdd a_47292_4278# a_47279_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X597 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X598 a_16019_27456# ibnbas a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X599 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X600 vss ibpbas ibpbas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X601 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X602 a_6949_29442# a_30910_37024# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X603 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X604 a_47124_7016# a_47075_6846# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X605 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X606 a_46814_5606# a_46770_5848# a_46648_5606# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X607 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X608 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X609 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X610 a_9065_53299# a_9039_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X611 a_10533_55475# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X612 vdd tbctl_0_ a_8871_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X613 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X614 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X615 a_46897_6668# a_46031_4206# a_47106_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X616 a_10773_52781# a_8861_54387# a_10493_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X617 vdd a_7057_34366# a_7257_35054# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=1e+06u
+X618 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X619 a_34723_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X620 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X621 vdd a_8735_54512# a_9977_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X622 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X623 vss a_22146_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X624 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X625 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X626 a_9215_52237# a_9185_52211# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X627 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X628 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X629 a_22146_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X630 a_46648_5440# a_46202_5068# a_46552_5440# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X631 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X632 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X633 a_6416_39560# refsel vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X634 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X635 a_14147_17185# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X636 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X637 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X638 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X639 a_21793_19294# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X640 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X641 a_40013_15426# a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X642 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X643 vdd a_8915_50061# a_9978_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X644 a_16534_48421# a_15795_54391# vb_2_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X645 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X646 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X647 vdd a_14147_17185# a_14147_17413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X648 a_9091_54413# a_8737_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X649 a_10493_52781# a_10383_52897# a_10533_55475# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X650 a_43124_15781# ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X651 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X652 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X653 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X654 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X655 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X656 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X657 vss a_8735_54512# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X658 a_9185_52211# a_9521_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X659 a_47117_5440# a_46036_5068# a_46770_5036# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X660 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X661 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X662 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X663 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X664 a_9065_53299# a_9039_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X665 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X666 vss a_21272_47107# a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X667 a_17146_5558# a_18412_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X668 vss a_32117_14563# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X669 a_15338_13967# a_14605_15614# a_14147_17185# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X670 a_14147_17413# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X671 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X672 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X673 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X674 a_8871_56045# tbctl_0_ vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X675 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X676 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X677 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X678 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X679 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X680 a_36712_33396# a_37870_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X681 a_39286_5164# a_38936_5164# a_39191_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X682 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X683 vss a_8861_54387# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X684 vss ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X685 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X686 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X687 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X688 a_10803_51693# a_8915_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X689 dvdd a_46031_5758# a_46036_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X690 a_16534_48421# a_16071_54391# vb_2_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X691 a_46814_5428# a_46770_5036# a_46648_5440# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X692 a_47226_5440# a_46036_5068# a_47117_5440# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X693 a_21088_54984# a_21088_54984# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X694 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X695 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X696 a_40013_15754# a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X697 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X698 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X699 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X700 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X701 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X702 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X703 a_16534_48421# a_17233_54391# vb_3_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X704 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X705 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X706 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X707 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X708 vss a_22146_16137# a_22146_17193# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X709 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X710 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X711 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X712 a_17509_57376# a_17233_57376# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X713 a_22146_17193# a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X714 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X715 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X716 a_9567_53869# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X717 vss a_47292_4278# a_47856_3974# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X718 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X719 a_34011_6373# a_33655_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X720 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X721 a_39028_33396# a_37484_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X722 vdd a_8861_54387# a_9473_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X723 a_9650_54957# a_9473_54965# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X724 vss a_40402_5164# a_40757_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X725 ibnbas ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X726 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X727 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X728 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X729 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X730 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X731 vdd a_9185_52211# a_9215_52237# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X732 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X733 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X734 vdd a_10197_56019# a_10227_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X735 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X736 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X737 a_46340_4145# a_46031_4670# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X738 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X739 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X740 vdd a_28184_48339# tbout vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X741 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X742 vbg a_7304_39565# vbg_ext vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X743 a_21088_54984# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X744 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X745 ibnbas ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X746 a_46031_4670# a_47292_4278# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X747 vdd a_9473_54965# a_9650_54957# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X748 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X749 vdd a_11221_53325# a_11398_53325# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X750 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X751 a_16534_48421# a_17509_54391# vb_3_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X752 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X753 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X754 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X755 dvdd a_47117_5440# a_47292_5366# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X756 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X757 a_9033_7909# a_9033_7909# a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X758 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X759 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X760 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X761 a_10493_52781# a_8861_54387# a_10773_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X762 a_40013_15426# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X763 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X764 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X765 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X766 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X767 a_9215_52237# a_9185_52211# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X768 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X769 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X770 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X771 vss ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X772 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X773 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X774 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X775 a_21793_19742# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X776 a_15338_13967# a_14605_15614# a_14147_17185# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X777 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X778 a_35079_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X779 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X780 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X781 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X782 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X783 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X784 ibp_3_ a_14147_17413# a_21793_20414# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X785 tbout a_28184_48339# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X786 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X787 a_47756_6694# a_46927_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X788 a_34011_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X789 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X790 vss a_6880_26619# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X791 a_46340_4731# a_46031_5294# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X792 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X793 a_7257_35054# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X794 a_8915_50061# a_8737_50061# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X795 a_47279_4884# a_46202_4518# a_47117_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X796 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X797 vdd a_10197_55475# a_10227_55501# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X798 a_10533_55475# a_10383_52897# a_10493_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X799 a_40013_15426# a_40013_15426# a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X800 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X801 vdd a_7057_34366# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X802 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X803 a_46031_5294# a_47292_4492# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X804 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X805 a_29435_32656# a_30910_31724# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X806 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X807 a_38642_33396# a_37870_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X808 vdd a_9185_52211# a_9215_52237# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X809 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X810 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X811 vss vdd w_42506_30499# w_42506_30499# sky130_fd_pr__pfet_01v8 ad=4.12764e+14p pd=4.31541e+09u as=0p ps=0u w=1e+06u l=1e+06u
+X812 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X813 a_21793_19966# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X814 a_47267_6832# a_47292_5580# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X815 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X816 a_10090_50965# a_9039_52781# a_10018_50965# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X817 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X818 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X819 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X820 vss a_8735_54512# a_9977_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X821 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X822 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X823 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X824 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X825 a_40013_15754# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X826 vss a_47292_4492# a_47856_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X827 a_29277_29476# bgtrim_3_ a_30910_30664# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X828 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X829 a_8121_17110# a_8121_17110# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X830 a_40013_15426# a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X831 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X832 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X833 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X834 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X835 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.12e+12p ps=1.024e+07u w=1e+06u l=150000u
+X836 vdd a_10143_50061# a_17233_48421# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X837 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X838 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X839 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X840 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X841 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X842 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X843 a_10533_56019# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X844 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X845 a_10383_52897# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X846 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X847 vdd a_8735_54512# a_9761_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X848 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X849 vdd a_8933_54387# a_10435_54957# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X850 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X851 dvdd a_47292_5580# a_47279_5972# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X852 vb_2_ a_32117_17939# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X853 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X854 a_11926_4549# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X855 a_47292_4492# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X856 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X857 vss rstn a_39548_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X858 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X859 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X860 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X861 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X862 a_9521_52211# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X863 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X864 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X865 a_16534_48421# a_15795_51406# dvdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X866 vss a_11063_50605# a_15795_51406# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X867 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X868 vss a_9091_54413# a_9473_54965# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X869 a_21230_16137# a_21230_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X870 a_6880_26619# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X871 a_16196_13967# ibpbas a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X872 a_22146_16137# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X873 a_40013_15754# a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X874 a_10227_56045# a_10197_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X875 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X876 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X877 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X878 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X879 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X880 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X881 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X882 a_39112_16790# a_37846_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X883 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X884 vss a_46897_6668# a_46927_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X885 a_11398_53325# a_11221_53325# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X886 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X887 a_8871_52781# tbctl_1_ vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X888 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X889 vdd a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X890 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X891 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X892 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X893 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X894 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X895 a_29277_29476# bgtrim_9_ a_30910_33844# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X896 a_9185_52211# a_9521_52211# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X897 a_17568_5558# a_17990_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X899 vdd a_8915_50061# a_10803_51693# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X900 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X901 vb_4_ a_32117_17095# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X902 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X903 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X904 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X905 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X906 a_46660_5062# a_46036_5068# a_46552_5440# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X907 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X908 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X909 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X910 a_46770_3948# a_46552_4352# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X911 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X912 a_46457_5606# a_46340_5819# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X913 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X914 vb_3_ a_32117_17939# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X915 a_39028_33396# a_39414_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X916 a_16534_48421# a_17233_51406# vb_4_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X917 a_27033_7238# en_clk_int a_33084_7058# avdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X918 a_40757_5164# a_40402_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X919 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X920 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X921 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X922 a_46927_6694# a_46897_6668# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X923 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X924 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X925 a_23557_20190# a_14147_17413# ibp_2_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X926 dvdd a_46770_5036# a_46660_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X927 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X928 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X929 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X930 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X931 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X932 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X933 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X934 vss a_10197_56019# a_10227_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X935 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X936 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X937 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X938 a_16019_27456# ibnbas a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X939 a_10227_55501# a_10197_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X940 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X941 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X942 vdd a_16019_27456# vbg_int vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.45e+12p ps=1.116e+07u w=4e+06u l=1e+06u
+X943 vss a_9185_52211# a_9215_52237# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X944 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X945 vdd a_10227_56045# a_17233_57376# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X946 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X947 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X948 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X949 a_34367_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X950 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X951 a_10227_56045# a_10197_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X952 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X953 a_9371_53985# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X954 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X955 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X956 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X957 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X958 a_47292_4278# a_47117_4352# a_47471_4340# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X959 a_16019_26544# ibnbas a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X960 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X961 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X962 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X963 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X964 a_6880_26619# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X965 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X966 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X967 dvdd a_39851_5164# a_40026_5138# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X968 vss a_6880_26619# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X969 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X970 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X971 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X972 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X973 vdd a_9831_50605# a_9931_50721# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X974 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X975 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X976 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X977 a_9183_51693# a_9013_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X978 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X979 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X980 dvdd a_47292_4492# a_47856_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X981 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X982 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X983 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X984 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X985 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X986 vss tbctl_0_ a_8871_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X987 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X988 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X989 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X990 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X991 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X992 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X993 a_48035_3974# a_47856_3974# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X994 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X995 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X996 a_9975_50061# a_9650_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X997 dvdd a_38617_5164# a_38770_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X998 dvdd en_clkdiv a_47409_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X999 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1000 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1001 vdd a_11063_50605# a_15795_51406# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1002 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1003 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1004 a_10227_55501# a_10197_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1005 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1006 a_15161_30727# ibnbas a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1007 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1008 a_46457_5428# a_46340_5233# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1009 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1010 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1011 a_7057_34366# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1012 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1013 vdd a_14147_17185# a_14147_17413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X1014 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X1015 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1016 vss a_21272_47107# a_21088_54984# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1017 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1018 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1019 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1020 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1021 a_10197_56019# a_10533_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1022 vss en_clkdiv a_47396_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1023 a_15161_31718# ibnbas a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1024 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1025 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1026 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1027 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1028 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1029 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1030 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1031 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1032 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1033 a_46031_4206# a_46069_6668# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1034 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1035 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X1036 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1037 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1038 a_16071_48421# a_15795_48421# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1039 vss a_9473_54965# a_9650_54957# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1040 vdd a_10073_52389# a_9013_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1041 vdd a_14147_17185# a_14147_17185# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X1042 vss a_11221_50213# a_11221_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1043 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1044 dvdd a_39504_5406# a_39394_5530# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1045 a_47292_4492# a_47117_4518# a_47471_4518# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1046 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1047 vb_5_ a_32117_16251# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1048 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1049 ibpbas ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1050 a_46660_4884# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1051 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1052 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1053 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1054 a_15338_13967# vbg a_14147_17413# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X1055 vdd a_14147_17413# a_23557_19294# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1056 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1057 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1058 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1059 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1060 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1061 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1062 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1063 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1064 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1065 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1066 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1067 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1068 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1069 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1070 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1071 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1072 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1073 a_10197_55475# a_10533_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1074 a_46202_5606# a_46036_5606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1075 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1076 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1077 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1078 vss a_9185_52211# a_9215_52237# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1079 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1080 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1081 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1082 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1083 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1084 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1085 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1086 a_29435_36896# a_30910_35964# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1087 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1088 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1089 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1090 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1091 vss a_6416_39560# a_7028_39565# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1092 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1093 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1094 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1095 vss a_8933_54387# a_10435_54957# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1096 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1097 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1098 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1099 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1100 vss a_8737_50061# a_8915_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1101 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1102 tbout a_28184_48339# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1103 a_10227_56045# a_10197_56019# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1104 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1105 a_38642_33396# a_39800_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1106 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1107 a_33084_7058# en_clk_int a_33084_6373# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1108 vb_5_ a_32117_15407# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1109 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1110 a_9785_4482# ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1111 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1112 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1113 a_9521_52211# a_9977_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1114 vss a_9650_54957# a_9975_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1115 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1116 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1117 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1118 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1119 a_40186_33396# a_39800_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1120 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1121 a_40026_5138# a_39851_5164# a_40205_5164# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1122 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1123 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1124 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1125 a_29277_29476# bgtrim_5_ a_30910_31724# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1126 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1127 a_16534_48421# a_15795_48421# vss vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1128 vss a_8933_54387# a_8891_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1129 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1130 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1131 a_46814_4340# a_46770_3948# a_46648_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1132 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1133 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1134 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1135 ibn_0_ a_21230_16137# a_23062_15785# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1136 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1137 a_16071_57376# a_15795_57376# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1138 dvdd a_46031_4670# a_46036_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1139 vbg_int a_16019_27456# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1140 a_23062_15785# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1141 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1142 vdd a_10197_56019# a_10227_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1143 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1144 a_46031_4206# a_46069_6668# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1145 a_46552_4352# a_46202_3980# a_46457_4340# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1146 a_46202_5068# a_46036_5068# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1147 ibpbas ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1148 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1149 a_17509_51406# a_17233_51406# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1150 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1152 a_7057_34366# ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1153 a_29435_32656# a_30910_32784# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1154 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1155 a_21793_20414# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1156 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1157 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1158 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1159 a_9855_52053# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1160 a_29435_32656# bgtrim_6_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1161 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1162 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1163 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1164 a_47117_4518# a_46202_4518# a_46770_4760# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1165 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1166 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1167 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1168 a_14147_17413# vbg a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1169 vss vss vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1170 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1171 vdd a_14147_17413# a_23557_19742# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1172 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1173 a_7057_34366# ibnbas ibnbas vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1174 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1175 avdd ibn_0_ ibn_0_ avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1176 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1177 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1178 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1179 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1180 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1181 a_16534_48421# a_17233_48421# vbg vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1182 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1183 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1184 vss tbctl_1_ a_8871_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1185 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1186 a_46031_4670# a_47292_4278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1187 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1188 vss ibpbas ibpbas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1189 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1190 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1191 a_40581_5164# a_40026_5138# vss vss sky130_fd_pr__nfet_01v8 ad=2.015e+11p pd=1.92e+06u as=0p ps=0u w=650000u l=150000u
+X1192 vdd a_10197_55475# a_10227_55501# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1193 a_46202_5606# a_46036_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1194 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1195 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1196 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1197 vss a_40445_30630# a_16019_27456# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1198 ibp_0_ ibp_0_ a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1199 a_46770_5036# a_46552_5440# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1200 vdd a_14147_17413# a_23557_19966# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1201 a_29277_29476# bgtrim_11_ a_30910_34904# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1202 vss a_40026_5138# a_40581_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1203 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1204 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1205 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1206 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1207 a_48035_4518# a_47856_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1208 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1209 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1210 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1211 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1212 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1213 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1214 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1215 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1216 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1217 vb_0_ a_32117_19627# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1218 a_15161_31718# ibnbas a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1219 a_46814_4518# a_46770_4760# a_46648_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1220 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1221 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1222 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1223 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1224 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1225 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1226 a_9705_51925# a_9978_51925# a_9936_52053# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1227 a_10227_56045# a_10197_56019# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1228 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1229 vss a_8861_54387# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1230 vss vss vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1231 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1232 a_17568_5558# a_16302_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1233 a_10533_56019# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1234 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1235 a_47279_5062# a_46202_5068# a_47117_5440# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1236 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1237 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1238 a_15161_30727# ibnbas a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1239 a_29435_35836# bgtrim_12_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1240 a_46568_6694# clkext a_46069_6668# vss sky130_fd_pr__nfet_01v8 ad=1.155e+11p pd=1.39e+06u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X1241 a_46648_4352# a_46202_3980# a_46552_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1242 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1243 a_46552_5440# a_46036_5068# a_46457_5428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1244 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1245 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1246 vdd a_8933_54387# a_8737_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X1247 a_29435_29476# a_30910_29604# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1248 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1249 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1250 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1251 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1252 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1253 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1254 a_21088_54984# a_21088_54984# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1255 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1256 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1257 a_14147_17413# vbg a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1258 a_29435_35836# a_30910_34904# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1259 vss a_10227_55501# a_15795_57376# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1260 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1261 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1262 a_8742_39565# a_8466_39565# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1263 vb_1_ a_32117_19627# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1264 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1265 a_17509_51406# a_17233_51406# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1266 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1267 a_21230_16137# a_14147_17413# a_21793_19518# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1268 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1269 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1270 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1271 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1272 dvdd a_47292_4492# a_47279_4884# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1273 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1274 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1275 a_47292_5366# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1276 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1277 a_39074_5377# a_40581_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1278 a_46457_4340# a_46340_4145# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1279 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1280 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1281 a_39960_5164# a_38770_5164# a_39851_5164# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1282 vdd a_9013_51925# a_9013_51693# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1283 dvdd a_47292_5366# a_47856_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1284 a_40013_15754# a_40013_15754# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1285 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1286 a_47075_6846# en_clkdiv vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1287 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1288 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1289 a_8871_52781# tbctl_1_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1290 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1291 a_40572_33396# a_39414_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1292 vss ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1293 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1294 a_8737_54413# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1295 a_47226_4352# a_46036_3980# a_47117_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1296 ibp_2_ ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1297 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1298 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1299 ibp_0_ ibp_0_ a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1300 vdd a_8915_50061# a_9931_50721# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1301 a_10803_51693# a_8915_50061# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1302 a_9831_50605# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1303 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1304 vss a_39534_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1305 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1306 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1307 a_11926_4549# a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1308 a_46648_5606# a_46202_5606# a_46552_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1309 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1310 vss a_11221_50061# a_11398_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1311 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1312 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1313 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1314 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1315 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1316 a_21272_47107# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1317 vss a_9705_51925# a_9105_50837# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1318 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1319 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1320 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1321 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1322 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1323 a_8915_50061# a_8737_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1324 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1325 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1326 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1327 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1328 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1329 vss a_9039_52781# a_10346_52217# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X1330 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1331 a_29435_31596# a_30910_31724# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1332 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1333 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1334 a_39394_5530# a_38770_5164# a_39286_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1335 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1336 a_10197_56019# a_10533_56019# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1337 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1338 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1339 vss ibpbas a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1340 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1341 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1342 a_9033_7909# a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1343 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1344 vss a_9215_52237# a_17233_51406# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1345 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1346 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1347 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1348 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1349 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1350 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1351 avdd a_16724_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1352 vbg a_8466_39565# vbg_int vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1353 a_9033_7909# a_9033_7909# a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1354 a_29435_29476# a_29277_29476# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1355 a_46552_5606# a_46202_5606# a_46457_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1356 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1357 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1358 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1359 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1360 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1361 a_47226_5606# a_46036_5606# a_47117_5606# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1362 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1363 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1364 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1365 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1366 vdd a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1367 a_11221_50213# a_11129_51149# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1368 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1369 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1370 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1371 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1372 vss a_22146_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1373 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1374 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1375 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1376 a_22146_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1377 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1378 vdd a_8121_17110# a_8302_16888# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1379 dvdd a_40402_5164# a_40757_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1380 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1381 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1382 dvdd a_46897_6668# a_46927_6694# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1383 a_46031_5294# a_47292_4492# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1384 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1385 a_9761_53869# a_9697_51123# a_9481_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X1386 a_15338_13967# vbg a_14147_17413# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1387 a_23557_19294# a_14147_17413# ibp_1_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1388 a_10435_54957# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1389 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1390 a_8891_54413# a_8861_54387# a_8819_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1391 vss a_9275_50605# a_11221_53325# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1392 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1393 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1394 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1395 vss a_10287_50605# a_11129_51149# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1396 vss ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1397 a_40186_33396# a_41344_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1398 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1399 ibn_0_ a_21230_16137# a_23062_16841# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1400 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1401 a_46457_4340# a_46340_4145# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1402 a_23062_16841# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1403 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1404 a_39053_30692# a_16019_27456# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1405 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1406 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1407 a_39074_5377# a_40581_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1408 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1409 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1410 vdd a_10283_54413# a_15795_54391# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1411 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1412 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1413 vdd a_9705_51925# a_9105_50837# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1414 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1415 ibp_1_ ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1416 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1417 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1418 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1419 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1420 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1421 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1422 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1423 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1424 vdd a_8735_54512# a_8737_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1425 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1426 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1427 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1428 dvdd a_47292_5580# a_47856_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1429 a_16196_13967# ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1430 vdd en_ldo_dig a_47886_16107# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1431 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1432 a_9481_53869# a_9371_53985# a_9567_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1433 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1434 a_34367_6373# a_34011_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1435 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1436 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1437 dvdd a_40026_5138# a_40581_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1438 a_46069_6668# a_40581_5164# a_46278_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1439 vdd a_7057_34366# a_7257_35054# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1440 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1441 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1442 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1443 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1444 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1445 a_21030_55081# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1446 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1447 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1448 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1449 a_15338_13967# ibpbas a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1450 vb_3_ a_32117_17095# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1451 a_33004_6347# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X1452 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1453 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1454 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1455 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1456 a_9975_50061# a_9650_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1457 a_29435_31596# a_30910_30664# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1458 a_6949_30834# a_34396_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1459 a_10223_52243# a_8915_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1460 a_10435_54957# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1461 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1462 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1463 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1464 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1465 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1466 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1467 a_46660_5062# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1468 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1469 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1470 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1471 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1472 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1473 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1474 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1475 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1476 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1477 a_46770_5848# a_46552_5606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1478 vss a_40026_5138# a_40402_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1479 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1480 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1481 a_40757_5164# a_40402_5164# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1482 vdd a_9215_52237# a_17233_51406# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1483 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1484 a_11398_50061# a_11221_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1485 a_40026_5138# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1486 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1487 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1488 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1489 vdd tbctl_1_ a_8871_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1490 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1491 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1492 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1493 a_37082_4920# a_33004_6347# avdd avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1494 dvdd a_46069_6668# a_46031_4206# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1495 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1496 a_46457_4518# a_46340_4731# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1497 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1498 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1499 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1500 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1501 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1502 vss a_10197_56019# a_10227_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1503 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1504 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1505 a_46457_5606# a_46340_5819# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1506 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1507 a_10283_54413# a_10117_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X1508 vss a_9697_51123# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1509 a_39053_30692# a_40958_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1510 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1511 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1512 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1513 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1514 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1515 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1516 a_14147_17185# a_14605_15614# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1517 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1518 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1519 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1520 a_23557_19742# a_14147_17413# ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1521 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1522 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1523 ibnbas ibnbas a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1524 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1525 vdd a_8737_50061# a_8915_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1526 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1527 a_9481_53869# a_9697_51123# a_9761_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1528 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1529 vdd a_14147_17413# a_23557_20414# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1530 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1531 a_29435_36896# bgtrim_14_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1532 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1533 a_10287_50605# a_9931_50721# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1534 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1535 tbout a_28184_48339# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1536 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1537 a_46340_5233# a_46031_5758# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1538 ibnbas ibnbas a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1539 a_10073_52389# a_8915_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1540 a_17509_57376# a_17233_57376# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1541 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1542 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1543 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1544 a_29435_29476# bgtrim_0_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1545 a_16071_51406# a_15795_51406# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1546 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1547 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1548 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1549 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1550 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1551 a_23557_19966# a_14147_17413# ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1552 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1553 vdd a_9275_50605# a_11221_53325# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1554 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1555 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1556 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1557 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1558 a_46202_3980# a_46036_3980# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1559 a_6416_39560# refsel vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1560 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1561 a_47117_4352# a_46036_3980# a_46770_3948# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1562 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1563 vss a_10197_55475# a_10227_55501# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1564 a_9567_53869# a_9371_53985# a_9481_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1565 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1566 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1567 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1568 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1569 a_9705_51925# a_9978_51925# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1570 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1571 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1572 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1573 a_35168_33396# a_34782_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1574 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1575 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1576 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1577 a_10895_50605# a_9183_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1578 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1579 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1580 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1581 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1582 a_10283_54413# a_10117_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1583 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1584 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1585 vbg_int a_41344_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1586 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1587 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1588 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1589 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1590 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1591 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1592 dvdd a_40026_5138# a_40402_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1593 a_11926_4549# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1594 vss a_8915_50061# a_10803_51693# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1595 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1596 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1597 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1598 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1599 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1600 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1601 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1602 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1603 a_29435_35836# a_30910_35964# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1604 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1605 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1606 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1607 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1608 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1609 a_47106_6694# a_47075_6846# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1610 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1611 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1612 a_46202_4518# a_46036_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1613 vss en_ldo_dig a_47886_16107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1614 a_34367_6373# a_34011_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1615 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1616 a_48035_5062# a_47856_5062# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1617 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1618 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1619 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1620 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1621 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1622 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1623 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1624 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1625 ibn_0_ ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1626 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1627 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1628 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1629 a_46069_6668# clkext a_46296_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X1630 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1631 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1632 a_46927_6694# a_46897_6668# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1633 a_21793_19518# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1634 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1635 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1636 vss a_46927_6694# a_47756_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1637 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1638 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1639 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1640 a_38690_16790# a_38268_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1641 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1642 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1643 vb_6_ a_32117_15407# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1644 a_14605_15614# a_32117_20471# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1645 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1646 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1647 vss a_10383_52897# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1648 vdd a_7057_34366# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1649 a_10865_53869# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1650 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1651 a_6949_29442# a_34782_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1652 dvdd a_47117_4352# a_47292_4278# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1653 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1654 a_21088_54984# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1655 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1656 vdd a_9567_53869# a_10117_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1657 a_46202_4518# a_46036_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1658 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1659 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1660 tbout a_28184_48339# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1661 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1662 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1663 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1664 vss a_22146_16137# a_22146_15785# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1665 a_21030_55081# a_21088_54984# a_21088_54984# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1666 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1667 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1668 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1669 a_22146_15785# a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1670 a_29435_30536# a_30910_29604# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1671 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1672 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1673 vdd w_42506_30499# a_40445_30630# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X1674 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1675 a_40445_30630# vbg_int vss vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X1676 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1677 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1678 a_37082_4920# a_33004_6347# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1679 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1680 ibp_1_ a_9033_6762# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X1681 a_16071_51406# a_15795_51406# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1682 a_39112_16790# a_39534_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1683 a_7909_13748# vdd vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1684 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1685 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1686 a_9183_51693# a_9013_51693# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1687 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1688 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1689 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1690 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1691 a_40013_15754# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1692 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1693 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1694 a_10773_52781# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1695 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1696 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1697 vss a_11221_53325# a_11398_53325# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1698 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1699 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1700 a_47756_6694# a_46927_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1701 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1702 a_17509_54391# a_17233_54391# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1703 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1704 a_9761_53869# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1705 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1706 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1707 vdd a_9039_52781# a_9705_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1708 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1709 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1710 ibpbas ibpbas vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1711 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1712 ibp_1_ ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1713 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1714 dvdd a_47292_5366# a_47279_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1715 a_33655_6373# a_33084_7058# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1716 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1717 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1718 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1719 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1720 vdd a_10117_54413# a_10283_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1721 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1722 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1723 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1724 vdd a_28184_48339# tbout vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1725 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1726 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1727 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1728 vss a_38617_5164# a_38770_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1729 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1730 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1731 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1732 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1733 vss a_21272_47107# a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1734 vss a_47292_5580# a_47226_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1735 a_10227_55501# a_10197_55475# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1736 vss a_11398_50061# a_15795_48421# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1737 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1738 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1739 a_16534_48421# a_16071_48421# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1740 a_40013_15426# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1741 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1742 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1743 vss a_9371_53985# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1744 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1745 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1746 vss ibpbas ibpbas vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1747 a_10895_50605# a_9183_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1748 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1749 a_38617_5164# a_37082_4920# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1750 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1751 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1752 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1753 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1754 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1755 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1756 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1757 ibnbas a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1758 a_35554_33396# a_34396_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1759 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1760 vss a_10227_56045# a_17233_57376# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1761 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1762 a_11926_4549# a_11926_4549# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1763 a_46340_5819# a_47267_6832# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1764 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1765 a_47471_5606# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1766 a_12242_4494# a_18412_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1767 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1768 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1769 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1770 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1771 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1772 vdd a_9183_51693# a_10895_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1773 vdd a_8735_54512# a_10865_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1774 a_10283_54413# a_10117_54413# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1775 vdd a_10117_54413# a_10283_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1776 vss rstn a_46814_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1777 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1778 a_46770_3948# a_46552_4352# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1779 a_16019_26544# ibnbas a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1780 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1781 ibp_2_ a_14147_17413# a_21793_20190# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1782 a_46247_6846# clksel dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=0p ps=0u w=640000u l=150000u
+X1783 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1784 a_16534_48421# a_17509_48421# vbg vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1785 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1786 a_46660_3974# a_46036_3980# a_46552_4352# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1787 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1788 a_47117_5606# a_46036_5606# a_46770_5848# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X1789 vdd a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1790 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1791 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1792 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1793 a_46552_4518# a_46202_4518# a_46457_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1794 a_29435_34776# a_30910_34904# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1795 vss a_8735_54512# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1796 a_46770_5848# a_46552_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1797 a_16019_27456# ibnbas a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1798 vss a_46031_5758# a_46036_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1799 dvdd a_46770_3948# a_46660_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1800 a_10533_55475# a_10383_52897# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1801 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1802 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1803 vb_1_ a_32117_18783# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1804 a_40013_15426# a_40013_15426# a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1805 dvdd a_39956_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1806 a_37846_16790# a_38268_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1807 vss a_47292_5366# a_47226_5440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1808 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1809 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1810 vss clksel a_46568_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1811 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1812 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1813 vss a_8915_50061# a_9978_51925# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X1814 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1815 a_9931_50721# a_9039_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1816 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1817 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1818 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1819 a_46552_4352# a_46036_3980# a_46457_4340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1820 vss a_8915_50061# a_10090_50965# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1821 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1822 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1823 a_46340_5233# a_46031_5758# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1824 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1825 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1826 vdd a_9650_54957# a_9975_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1827 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1828 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1829 a_39191_5164# a_39074_5377# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1830 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1831 a_46031_5758# a_47292_5366# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X1832 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1833 vdd a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1834 a_47471_5428# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1835 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1836 a_9275_50605# a_9105_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1837 a_9033_7909# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1838 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1839 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1840 a_10227_55501# a_10197_55475# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1841 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1842 a_11398_53325# a_11221_53325# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1843 a_7304_39565# a_7028_39565# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1844 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1845 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1846 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1847 vss rstn a_46814_5428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1848 vss a_10283_54413# a_15795_54391# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1849 a_35168_33396# a_36326_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1850 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1851 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1852 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1853 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1854 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1855 a_38617_5164# a_37082_4920# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1856 dvdd a_47117_5606# a_47292_5580# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1857 a_47117_5440# a_46202_5068# a_46770_5036# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1858 ibn_1_ a_21230_16137# a_23062_15433# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1859 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1860 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1861 a_14147_17185# a_14605_15614# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1862 a_23062_15433# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1863 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1864 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1865 a_21030_55081# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1866 vdd a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1867 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1868 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1869 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1870 vss a_46031_5294# a_46036_5068# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1871 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1872 a_33655_6373# a_33084_7058# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1873 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1874 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1875 a_9473_51399# a_9697_51123# a_9727_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1876 tbout a_28184_48339# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1877 a_23557_20414# a_14147_17413# ibp_3_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1878 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1879 vdd refsel a_8466_39565# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1880 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1881 a_43124_15781# ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1882 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1883 a_10283_54413# a_10117_54413# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1884 a_46648_4518# a_46202_4518# a_46552_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1885 a_9567_53869# a_9371_53985# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1886 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1887 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1888 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1889 vdd a_11398_53325# a_17233_54391# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1890 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1891 a_46552_5606# a_46036_5606# a_46457_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1892 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1893 dvdd a_40026_5138# a_40013_5530# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1894 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1895 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1896 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1897 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1899 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1900 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1901 vdd a_9039_52781# a_10346_52217# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X1902 a_40013_5530# a_38936_5164# a_39851_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1903 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1904 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1905 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1906 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1907 a_29435_34776# a_30910_33844# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1908 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1909 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1910 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1911 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1912 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1913 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1914 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1915 vss a_22146_16137# a_22146_16841# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1916 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1917 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1918 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1919 a_22146_16841# a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1920 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1921 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1922 a_35554_33396# a_35940_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1923 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1924 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1925 vss a_40026_5138# a_39960_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1926 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1927 vss a_9567_53869# a_10117_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1928 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1929 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1930 vss a_10073_52389# a_9013_51925# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1931 a_46457_4518# a_46340_4731# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1932 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1933 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1934 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1935 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1936 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1937 a_46296_7016# a_46247_6846# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1938 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1939 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1940 a_9275_50605# a_9105_50605# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1941 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1942 a_16071_57376# a_15795_57376# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1943 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1944 vdd a_11221_50213# a_11221_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1945 a_29435_30536# bgtrim_2_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1946 a_39191_5164# a_39074_5377# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1947 a_9340_13770# a_9448_14814# vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X1948 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1949 a_7257_35054# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1950 a_9831_50605# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1951 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1952 a_47226_4518# a_46036_4518# a_47117_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1953 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1954 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1955 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1956 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1958 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1959 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1960 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1961 a_33084_7058# a_33004_6347# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X1962 a_33004_6347# a_35079_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1963 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1964 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1965 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1966 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1967 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1968 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1969 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1970 a_10197_55475# a_10533_55475# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1971 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1972 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1973 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1974 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1975 a_9521_52211# a_9977_51123# a_9727_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1976 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1977 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1978 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1979 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1980 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1981 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1982 a_29277_29476# bgtrim_7_ a_30910_32784# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1983 avdd ibn_0_ ibn_0_ avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1984 a_46770_5036# a_46552_5440# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1985 a_38936_5164# a_38770_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1986 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+.ends
+
diff --git a/xschem/sub/main/main.pex.spice b/xschem/sub/main/main.pex.spice
new file mode 100644
index 0000000..e88d913
--- /dev/null
+++ b/xschem/sub/main/main.pex.spice
@@ -0,0 +1,2211 @@
+* NGSPICE file created from main.ext - technology: sky130A
+
+.subckt main dvdd en_ldo_dig avdd rstn vdd vss en_ldo_ana clksys en_clk_int clkext ibp_3_ ibp_2_
++ ibp_1_ ibp_0_ ibn_1_ ibn_0_ en_clkdiv clksel refsel vbg_ext avss dvss tbout bgtrim_15_ bgtrim_14_
++ bgtrim_13_ bgtrim_12_ bgtrim_11_ bgtrim_10_ bgtrim_9_ bgtrim_8_ bgtrim_7_ bgtrim_6_ bgtrim_5_ bgtrim_4_
++ bgtrim_3_ bgtrim_2_ bgtrim_1_ bgtrim_0_ tbctl_2_ tbctl_1_ tbctl_0_
+
+Rconn1 avss vss 0.01
+Rconn2 dvss vss 0.01
+
+X0 a_29435_30536# a_30910_30664# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=9.28e+12p pd=8.256e+07u as=9.28e+12p ps=8.256e+07u w=1e+06u l=500000u
+X2 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=4.64e+12p ps=4.128e+07u w=1e+06u l=4e+06u
+X3 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.42759e+14p pd=2.04143e+09u as=0p ps=0u w=870000u l=1.05e+06u
+X4 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.66245e+14p pd=1.47076e+09u as=0p ps=0u w=2e+06u l=4e+06u
+X5 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X6 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=6.38e+12p pd=5.676e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X7 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=2.32e+12p pd=2.064e+07u as=4.582e+13p ps=3.3862e+08u w=1e+06u l=1e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 vss ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.61e+12p ps=2.322e+07u w=1e+06u l=1e+06u
+X10 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.61e+12p pd=2.322e+07u as=0p ps=0u w=1e+06u l=500000u
+X11 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X12 a_39286_5164# a_38770_5164# a_39191_5164# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X13 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X14 a_17509_48421# a_17233_48421# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X15 vss a_10180_18527# a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=4e+06u
+X16 vdd a_14147_17413# a_23557_19518# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X17 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X18 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X19 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X20 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.624e+13p ps=1.352e+08u w=1e+06u l=1e+06u
+X21 a_21272_47107# ibp_0_ ibp_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.406e+07u as=1.16e+12p ps=9.16e+06u w=2e+06u l=4e+06u
+X22 a_9727_51399# a_9697_51123# a_9473_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X23 a_46660_5972# a_46036_5606# a_46552_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X26 a_47409_7016# a_46031_4206# a_46897_6668# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.344e+11p pd=1.7e+06u as=2.624e+11p ps=2.1e+06u w=640000u l=150000u
+X27 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=6.38e+12p ps=5.676e+07u w=1e+06u l=4e+06u
+X28 dvdd a_46031_5294# a_46036_5068# dvdd sky130_fd_pr__pfet_01v8_hvt ad=5.7007e+13p pd=4.5023e+08u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X29 a_29435_33716# bgtrim_8_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=9.28e+12p ps=7.328e+07u w=2e+06u l=500000u
+X30 vdd en_ldo_dig a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.74e+12p ps=1.548e+07u w=1e+06u l=400000u
+X31 dvdd a_46031_4206# a_46036_3980# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X32 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 vss a_9183_51693# a_10895_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X34 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X35 dvdd a_46770_5848# a_46660_5972# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X36 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X37 a_29435_36896# a_30910_37024# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X38 a_7767_39565# a_7028_39565# vbg_ext vdd sky130_fd_pr__pfet_01v8 ad=8.7e+11p pd=7.74e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X39 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X40 ibp_2_ ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 a_16019_27456# a_10506_15694# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X42 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.827e+13p pd=1.3818e+08u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X43 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 a_14147_17413# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X45 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X46 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X47 a_7767_39565# a_8742_39565# a_9047_39565# vss sky130_fd_pr__nfet_01v8 ad=8.7e+11p pd=7.74e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X48 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=4.64e+12p pd=4.128e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X49 a_36712_33396# a_36326_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X50 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.74e+12p ps=1.548e+07u w=1e+06u l=1e+06u
+X51 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.58e+07u as=0p ps=0u w=1e+06u l=4e+06u
+X52 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X53 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X54 vdd a_8735_54512# a_10773_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X55 a_10533_55475# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X56 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X57 a_9340_13770# vss vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X58 a_9033_7909# en_ldo_ana vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=0p ps=0u w=1e+06u l=400000u
+X59 a_16019_26544# a_10506_15694# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X60 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.624e+13p pd=1.352e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X61 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X62 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X63 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X64 a_39504_5406# a_39286_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X65 vss a_10117_54413# a_10283_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X66 a_29277_29476# bgtrim_13_ a_30910_35964# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X67 a_46770_4760# a_46552_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X68 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X69 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X70 vss ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.61e+12p ps=2.322e+07u w=1e+06u l=1e+06u
+X71 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X72 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=3.19e+12p pd=2.838e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X73 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X75 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X76 a_9371_53985# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X77 vss a_21272_47107# a_21088_54984# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.032e+07u w=1e+06u l=1e+06u
+X78 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X79 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X80 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X81 vdd a_10287_50605# a_11129_51149# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X82 a_21030_55081# a_21088_54984# a_21088_54984# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=5.8e+11p ps=5.16e+06u w=1e+06u l=4e+06u
+X83 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X84 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X85 a_16534_48421# a_16071_51406# dvdd vss sky130_fd_pr__nfet_01v8 ad=2.32e+12p pd=2.064e+07u as=1.04237e+13p ps=1.2588e+08u w=1e+06u l=500000u
+X86 a_21230_16137# a_21230_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=1.16e+12p ps=1.032e+07u w=1e+06u l=2e+06u
+X87 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X88 vdd a_21030_55081# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X89 tbout a_28184_48339# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=3.48e+12p pd=2.574e+07u as=0p ps=0u w=4e+06u l=4e+06u
+X90 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=0p ps=0u w=1e+06u l=4e+06u
+X91 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X92 a_9033_6762# en_ldo_ana vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X93 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=2.03e+12p ps=1.806e+07u w=1e+06u l=1e+06u
+X94 a_22146_16137# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X95 a_11221_50213# a_11129_51149# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X96 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X97 a_46247_6846# clksel vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X98 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X99 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X100 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X101 vdd a_11221_50061# a_11398_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X102 a_16534_48421# a_16071_57376# avdd vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.75807e+13p ps=2.06e+08u w=1e+06u l=500000u
+X103 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X104 a_16071_54391# a_15795_54391# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X105 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X106 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X107 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X108 a_47279_3974# a_46202_3980# a_47117_4352# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X109 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X110 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.044e+13p pd=8.476e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X111 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=0p ps=0u w=2e+06u l=1e+06u
+X112 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X113 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X114 vdd a_9091_54413# a_9473_54965# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X115 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.856e+13p pd=1.4076e+08u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X116 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X117 vb_6_ a_32117_14563# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X118 a_7973_17910# a_7973_17910# a_7909_15880# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=1.45e+11p ps=1.58e+06u w=500000u l=1e+06u
+X119 a_29435_33716# a_30910_32784# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X120 a_17146_5558# a_16724_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X121 vss a_9697_51123# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X122 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X123 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.264e+07u w=500000u l=2e+06u
+R0 vss vss sky130_fd_pr__res_generic_m4 w=9.6e+06u l=4e+06u
+X124 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X125 a_48035_5606# a_47856_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X126 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X127 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=8.12e+12p ps=6.412e+07u w=2e+06u l=4e+06u
+X128 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X129 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X130 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X131 a_7057_34366# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.432e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X132 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X133 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X134 a_46340_4145# a_46031_4670# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X135 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X136 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X137 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X138 a_8819_54413# a_8735_54512# a_8737_54413# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X139 w_42506_30499# w_42506_30499# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X140 a_16534_48421# a_17509_51406# vb_4_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X141 a_17509_54391# a_17233_54391# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X142 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X143 a_21793_20190# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=0p ps=0u w=500000u l=2e+06u
+X144 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X145 vss ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X146 vss a_10197_55475# a_10227_55501# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X147 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X148 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X149 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X150 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 a_9567_53869# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X153 a_33084_6373# a_33004_6347# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X154 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X155 a_33004_6347# a_35079_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X156 a_16534_48421# a_17509_57376# vdd vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.61908e+14p ps=3.08131e+09u w=1e+06u l=500000u
+X157 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X159 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=2.03e+12p pd=1.806e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X160 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X161 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X162 vdd a_9105_50837# a_9105_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X163 vss a_9013_51925# a_9013_51693# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X164 a_9091_54413# a_8737_54413# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X165 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X166 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X167 a_10073_52389# a_10346_52217# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X168 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X169 a_47292_4278# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X170 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X171 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X172 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X173 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X174 ibn_1_ a_21230_16137# a_23062_17193# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X175 dvdd a_47292_4278# a_47856_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X176 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X177 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X178 a_10180_18527# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X179 a_23062_17193# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X180 a_15161_30727# a_10506_15694# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X181 a_9936_52053# a_9039_52781# a_9855_52053# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.071e+11p ps=1.35e+06u w=420000u l=150000u
+X182 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X183 vb_0_ a_32117_20471# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X184 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X185 a_16196_13967# a_10180_18527# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.264e+07u w=500000u l=4e+06u
+X186 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X187 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X188 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X189 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X190 a_10506_15694# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=500000u
+X191 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X192 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X193 a_10383_52897# a_9697_51123# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X194 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X195 a_10018_50965# a_9831_50605# a_9931_50721# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X196 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X197 a_15161_31718# a_10506_15694# a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X198 vss a_10117_54413# a_10283_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X199 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X200 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X201 vss a_47292_5580# a_47856_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X202 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X203 a_10073_52389# a_10346_52217# a_10304_52243# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X204 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X205 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X206 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X207 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X208 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X210 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X211 a_47117_4518# a_46036_4518# a_46770_4760# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X212 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X213 a_10533_56019# a_9697_51123# a_10593_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=7.9e+11p ps=7.58e+06u w=1e+06u l=150000u
+X214 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X215 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X216 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X217 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X218 vss a_10143_50061# a_17233_48421# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X219 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X220 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X221 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X222 a_46552_5440# a_46202_5068# a_46457_5428# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X223 vss a_47292_4278# a_47226_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X224 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X225 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X226 a_11398_50061# a_11221_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X227 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X228 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X229 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X230 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X231 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X232 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X233 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X234 a_37846_16790# a_37846_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X235 a_39548_5164# a_39504_5406# a_39382_5164# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X236 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X237 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X238 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X239 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X240 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X241 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X242 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X243 a_34723_6373# a_34367_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X244 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X246 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X247 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X248 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X249 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X250 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X251 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X252 a_40013_15754# a_40013_15754# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X253 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X254 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X255 a_10287_50605# a_9931_50721# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X256 vss a_16302_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X257 a_29435_31596# bgtrim_4_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X258 a_47471_4340# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X259 a_10506_15694# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X260 a_10180_18527# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.264e+07u as=0p ps=0u w=500000u l=4e+06u
+X261 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X262 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X263 ibp_1_ a_14147_17413# a_21793_19294# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X264 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X265 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X266 vss a_9977_51123# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X267 a_16534_48421# a_15795_57376# avdd vdd sky130_fd_pr__pfet_01v8 ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=500000u
+X268 a_33084_7058# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X269 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X270 a_9033_6762# en_ldo_ana vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X271 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X272 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X273 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X274 a_46897_6668# a_47267_6832# a_47124_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X275 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X276 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X277 vss rstn a_46814_4340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X278 a_10506_15694# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X279 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X280 vdd a_9506_17973# a_10180_18527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X281 a_16196_13967# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X282 vb_2_ a_32117_18783# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X283 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X284 a_9521_52211# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X285 vss a_47292_5366# a_47856_5062# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X286 a_9033_7909# a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X287 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X288 a_46770_4760# a_46552_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X289 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X290 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 a_14147_17185# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=0p ps=0u w=500000u l=1e+07u
+X292 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X293 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X294 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X295 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X296 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X297 vdd a_9697_51123# a_10073_52389# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X298 a_37098_33396# a_35940_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X299 dvdd a_47117_4518# a_47292_4492# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X300 a_9785_4482# ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X301 vss a_46031_4206# a_46036_3980# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X302 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X303 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X304 dvdd clksel a_46581_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.344e+11p ps=1.7e+06u w=640000u l=150000u
+X305 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=4e+06u
+X306 vdd a_11398_50061# a_15795_48421# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X307 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X308 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X309 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X310 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X311 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X312 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X313 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X314 vss tbctl_2_ a_8737_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X315 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X316 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X317 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X318 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X319 vss a_47292_4492# a_47226_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X320 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X321 vss a_47886_16107# ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X322 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 a_16534_48421# a_17233_57376# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X324 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X325 a_10865_53869# a_8861_54387# a_10593_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X326 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X327 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X328 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X329 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X330 vdd a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=1.45e+12p ps=1.29e+07u w=1e+06u l=1e+06u
+X331 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X332 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=3.6992e+12p
+X333 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X334 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X335 a_29435_34776# bgtrim_10_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X336 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X337 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X338 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X339 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X340 a_10533_56019# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X341 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X342 a_39851_5164# a_38770_5164# a_39504_5406# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X343 a_46340_5819# a_47267_6832# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X344 a_47279_5972# a_46202_5606# a_47117_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X345 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X346 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X347 dvdd a_46927_6694# a_47756_6694# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X348 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X350 a_39504_5406# a_39286_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X351 a_47267_6832# a_47292_5580# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X352 a_11926_4549# a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X353 a_46340_4731# a_46031_5294# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X354 a_47471_4518# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X355 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X356 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X357 a_46660_3974# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X358 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X359 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X360 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X361 vss a_11398_53325# a_17233_54391# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X362 a_6880_26619# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X363 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X364 a_39382_5164# a_38936_5164# a_39286_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X365 vdd a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X367 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X368 vss a_46069_6668# a_46031_4206# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X369 a_11926_4549# a_11926_4549# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X370 a_48035_5062# a_47856_5062# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X371 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X372 vss a_28184_48339# tbout vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.48e+12p ps=2.574e+07u w=4e+06u l=4e+06u
+X373 a_29277_29476# bgtrim_15_ a_30910_37024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X374 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X375 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X376 a_10593_53869# a_8861_54387# a_10865_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X377 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X378 vss rstn a_46814_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X379 a_21272_47107# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X380 a_46457_5428# a_46340_5233# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X381 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X382 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X383 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X384 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X385 a_23557_19518# a_14147_17413# a_21230_16137# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X386 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X387 a_46581_7016# a_40581_5164# a_46069_6668# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.624e+11p ps=2.1e+06u w=640000u l=150000u
+X388 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X389 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X390 a_35079_6373# a_34723_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X391 vdd a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X392 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X393 vss ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X394 a_29277_29476# bgtrim_1_ a_30910_29604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X395 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X396 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X397 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X398 vss vss a_6949_30834# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=4.624e+11p
+X399 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X400 vdd a_14147_17185# a_14147_17185# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X401 ibp_0_ a_14147_17413# a_21793_19742# vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=6.32e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X402 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X403 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X404 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X405 vss a_46031_4670# a_46036_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X406 vdd a_6416_39560# a_7028_39565# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X407 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X408 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X409 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X410 a_15161_31718# a_10506_15694# a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X411 a_9705_51925# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X412 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X413 a_47292_5580# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X414 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X415 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.03e+12p ps=1.806e+07u w=1e+06u l=1e+06u
+X416 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X417 vss a_22146_16137# a_22146_15433# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X418 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X419 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X420 a_47396_6694# a_47267_6832# a_46897_6668# vss sky130_fd_pr__nfet_01v8 ad=1.155e+11p pd=1.39e+06u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X421 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X422 a_22146_15433# a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X423 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X424 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=2.03e+12p pd=1.806e+07u as=0p ps=0u w=1e+06u l=1e+06u
+R1 vss vss sky130_fd_pr__res_generic_m4 w=9.6e+06u l=4e+06u
+X425 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X426 vdd a_16019_26544# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X427 a_8871_56045# tbctl_0_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X428 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X429 a_10593_53869# a_9697_51123# a_10533_56019# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X430 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X431 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X432 a_15161_30727# a_10506_15694# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X433 a_46278_6694# a_46247_6846# vss vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X434 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X435 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X436 vdd a_10227_55501# a_15795_57376# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X437 a_47292_5580# a_47117_5606# a_47471_5606# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X438 a_33655_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X439 a_16071_48421# a_15795_48421# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X440 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X441 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X442 ibp_0_ a_14147_17413# a_21793_19966# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X443 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X444 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X445 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X446 vss a_9105_50837# a_9105_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X447 a_34723_6373# a_34367_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X448 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X449 a_40205_5164# rstn vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X450 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X451 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X452 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X453 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X454 a_38936_5164# a_38770_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X455 vss a_8861_54387# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X456 a_47075_6846# en_clkdiv dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=0p ps=0u w=640000u l=150000u
+X457 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X458 vss a_9039_52781# a_9065_53299# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X459 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X460 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X461 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X462 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X463 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X464 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X465 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X466 a_46660_4884# a_46036_4518# a_46552_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X467 a_40572_33396# a_40958_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X468 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X469 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X470 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X471 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X472 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X473 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X474 ibn_0_ ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X475 vss a_8735_54512# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X476 a_39851_5164# a_38936_5164# a_39504_5406# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X477 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X478 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X479 vdd a_16019_27456# a_39053_30692# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.16e+12p ps=8.58e+06u w=4e+06u l=1e+06u
+X480 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X481 a_29435_33716# a_30910_33844# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X482 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X483 vdd tbctl_2_ a_8737_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X484 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X485 a_7257_35054# a_7257_35054# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X486 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X487 dvdd a_46770_4760# a_46660_4884# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X488 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X489 a_47117_4352# a_46202_3980# a_46770_3948# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X490 vb_4_ a_32117_16251# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X491 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X492 a_38690_16790# a_39956_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X493 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X494 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X495 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X496 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X497 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X498 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X499 a_7909_13748# a_7909_15880# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X500 vss a_28184_48339# tbout vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X501 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X502 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X503 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X504 a_37098_33396# a_37484_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X505 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X506 a_10304_52243# a_9697_51123# a_10223_52243# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.071e+11p ps=1.35e+06u w=420000u l=150000u
+X507 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X508 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X509 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X510 vss a_10180_18527# a_10180_18527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X511 a_21533_59718# a_16534_48421# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X512 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X513 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X514 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X515 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X516 a_46552_4518# a_46036_4518# a_46457_4518# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X517 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X518 a_47292_5366# a_47117_5440# a_47471_5428# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X519 a_48035_3974# a_47856_3974# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X520 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X521 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X522 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X523 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X524 a_46202_5068# a_46036_5068# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X525 a_7304_39565# a_7028_39565# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X526 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X527 a_46202_3980# a_46036_3980# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X528 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X529 a_12242_4494# a_17990_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X530 a_9727_51399# a_9977_51123# a_9521_52211# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X531 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X532 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X533 a_9215_52237# a_9185_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X534 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X535 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X536 a_34011_6373# a_33655_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X537 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X538 vdd a_9039_52781# a_9065_53299# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X539 a_8121_17110# a_8121_17110# a_7973_17910# vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+11p pd=1.58e+06u as=0p ps=0u w=500000u l=1e+06u
+X540 vdd a_21030_55081# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X541 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X542 a_6880_26619# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X543 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=4.704e+11p pd=5.6e+06u as=0p ps=0u w=420000u l=150000u
+X544 vdd a_14147_17413# a_23557_20190# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X545 a_9650_54957# a_9473_54965# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X546 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X547 vss a_9697_51123# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X548 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X549 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X550 a_14605_15614# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X551 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X552 a_47117_5606# a_46202_5606# a_46770_5848# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X553 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X554 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X555 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X556 a_7257_35054# a_7257_35054# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X557 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X558 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X559 a_48035_4518# a_47856_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X560 vss refsel a_8466_39565# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X561 a_16071_54391# a_15795_54391# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X562 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X563 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X564 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X565 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X566 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X567 a_35079_6373# a_34723_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X568 a_46031_5758# a_47292_5366# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X569 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X570 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X571 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X572 a_11063_50605# a_10895_50605# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X573 a_21272_47107# ibp_0_ ibp_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X574 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X575 a_9033_7909# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X576 a_16019_26544# a_10506_15694# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X577 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X578 a_15338_13967# a_10180_18527# a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X579 a_9473_51399# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X580 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X581 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X582 a_9215_52237# a_9185_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X583 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X584 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X585 a_40581_5164# a_40026_5138# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.1e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X586 a_48035_5606# a_47856_5606# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X587 a_8742_39565# a_8466_39565# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X588 a_46660_5972# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X589 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X590 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X591 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X592 a_39394_5530# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X593 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X594 a_17509_48421# a_17233_48421# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X595 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X596 dvdd a_47292_4278# a_47279_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X597 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X598 a_16019_27456# a_10506_15694# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X599 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X600 vss a_10180_18527# a_10180_18527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X601 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X602 a_6949_29442# a_30910_37024# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X603 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X604 a_47124_7016# a_47075_6846# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X605 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X606 a_46814_5606# a_46770_5848# a_46648_5606# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X607 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X608 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X609 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X610 a_9065_53299# a_9039_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X611 a_10533_55475# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X612 vdd tbctl_0_ a_8871_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X613 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X614 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X615 a_46897_6668# a_46031_4206# a_47106_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X616 a_10773_52781# a_8861_54387# a_10493_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X617 vdd a_7057_34366# a_7257_35054# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=1e+06u
+X618 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X619 a_34723_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X620 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X621 vdd a_8735_54512# a_9977_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X622 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X623 vss a_22146_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X624 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X625 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X626 a_9215_52237# a_9185_52211# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X627 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X628 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X629 a_22146_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X630 a_46648_5440# a_46202_5068# a_46552_5440# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X631 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X632 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X633 a_6416_39560# refsel vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X634 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X635 a_14147_17185# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X636 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X637 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X638 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X639 a_21793_19294# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X640 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X641 a_40013_15426# a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X642 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X643 vdd a_8915_50061# a_9978_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X644 a_16534_48421# a_15795_54391# vb_2_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X645 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X646 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X647 vdd a_14147_17185# a_14147_17413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X648 a_9091_54413# a_8737_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X649 a_10493_52781# a_10383_52897# a_10533_55475# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X650 a_43124_15781# ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X651 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X652 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X653 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X654 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X655 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X656 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X657 vss a_8735_54512# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X658 a_9185_52211# a_9521_52211# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X659 a_47117_5440# a_46036_5068# a_46770_5036# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X660 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X661 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X662 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X663 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X664 a_9065_53299# a_9039_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X665 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X666 vss a_21272_47107# a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X667 a_17146_5558# a_18412_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X668 vss a_32117_14563# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X669 a_15338_13967# a_14605_15614# a_14147_17185# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X670 a_14147_17413# a_14147_17185# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X671 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X672 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X673 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X674 a_8871_56045# tbctl_0_ vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X675 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X676 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X677 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X678 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X679 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X680 a_36712_33396# a_37870_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X681 a_39286_5164# a_38936_5164# a_39191_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X682 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X683 vss a_8861_54387# a_9521_52211# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X684 vss ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X685 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X686 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X687 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X688 a_10803_51693# a_8915_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X689 dvdd a_46031_5758# a_46036_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X690 a_16534_48421# a_16071_54391# vb_2_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X691 a_46814_5428# a_46770_5036# a_46648_5440# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X692 a_47226_5440# a_46036_5068# a_47117_5440# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X693 a_21088_54984# a_21088_54984# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X694 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X695 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X696 a_40013_15754# a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X697 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X698 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X699 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X700 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X701 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X702 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X703 a_16534_48421# a_17233_54391# vb_3_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X704 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X705 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X706 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X707 a_8861_54387# a_9065_53299# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X708 vss a_22146_16137# a_22146_17193# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X709 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X710 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X711 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X712 a_17509_57376# a_17233_57376# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X713 a_22146_17193# a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X714 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X715 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X716 a_9567_53869# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X717 vss a_47292_4278# a_47856_3974# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X718 vdd a_10803_51693# a_8735_54512# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X719 a_34011_6373# a_33655_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X720 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X721 a_39028_33396# a_37484_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X722 vdd a_8861_54387# a_9473_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X723 a_9650_54957# a_9473_54965# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X724 vss a_40402_5164# a_40757_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X725 a_10506_15694# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X726 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X727 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X728 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X729 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X730 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X731 vdd a_9185_52211# a_9215_52237# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X732 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X733 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X734 vdd a_10197_56019# a_10227_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X735 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X736 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X737 a_46340_4145# a_46031_4670# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X738 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X739 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X740 vdd a_28184_48339# tbout vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X741 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X742 a_7767_39565# a_7304_39565# vbg_ext vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X743 a_21088_54984# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X744 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X745 a_10506_15694# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X746 a_46031_4670# a_47292_4278# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X747 vdd a_9473_54965# a_9650_54957# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X748 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X749 vdd a_11221_53325# a_11398_53325# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X750 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X751 a_16534_48421# a_17509_54391# vb_3_ vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X752 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X753 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X754 vss a_10895_50605# a_11063_50605# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X755 dvdd a_47117_5440# a_47292_5366# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X756 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X757 a_9033_7909# a_9033_7909# a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X758 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X759 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X760 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X761 a_10493_52781# a_8861_54387# a_10773_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X762 a_40013_15426# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X763 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X764 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X765 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X766 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X767 a_9215_52237# a_9185_52211# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X768 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X769 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X770 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X771 vss ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X772 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X773 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X774 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X775 a_21793_19742# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X776 a_15338_13967# a_14605_15614# a_14147_17185# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X777 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X778 a_35079_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X779 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X780 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X781 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X782 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X783 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X784 ibp_3_ a_14147_17413# a_21793_20414# vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=3.16e+06u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X785 tbout a_28184_48339# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X786 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X787 a_47756_6694# a_46927_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X788 a_34011_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X789 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X790 vss a_6880_26619# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X791 a_46340_4731# a_46031_5294# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X792 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X793 a_7257_35054# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X794 a_8915_50061# a_8737_50061# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X795 a_47279_4884# a_46202_4518# a_47117_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X796 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X797 vdd a_10197_55475# a_10227_55501# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X798 a_10533_55475# a_10383_52897# a_10493_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X799 a_40013_15426# a_40013_15426# a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X800 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X801 vdd a_7057_34366# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X802 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X803 a_46031_5294# a_47292_4492# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X804 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X805 a_29435_32656# a_30910_31724# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X806 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X807 a_38642_33396# a_37870_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X808 vdd a_9185_52211# a_9215_52237# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X809 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X810 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X811 vss vdd w_42506_30499# w_42506_30499# sky130_fd_pr__pfet_01v8 ad=4.12764e+14p pd=4.31541e+09u as=0p ps=0u w=1e+06u l=1e+06u
+X812 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X813 a_21793_19966# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X814 a_47267_6832# a_47292_5580# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X815 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X816 a_10090_50965# a_9039_52781# a_10018_50965# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X817 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X818 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X819 vss a_9975_50061# a_10143_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X820 vss a_8735_54512# a_9977_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X821 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X822 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X823 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X824 a_9785_4482# ibp_1_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X825 a_40013_15754# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X826 vss a_47292_4492# a_47856_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X827 a_29277_29476# bgtrim_3_ a_30910_30664# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X828 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X829 a_8121_17110# a_8121_17110# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X830 a_40013_15426# a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X831 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X832 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X833 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X834 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X835 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.12e+12p ps=1.024e+07u w=1e+06u l=150000u
+X836 vdd a_10143_50061# a_17233_48421# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X837 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X838 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X839 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X840 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X841 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X842 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X843 a_10533_56019# a_8735_54512# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X844 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X845 a_10383_52897# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X846 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X847 vdd a_8735_54512# a_9761_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X848 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X849 vdd a_8933_54387# a_10435_54957# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X850 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X851 dvdd a_47292_5580# a_47279_5972# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X852 vb_2_ a_32117_17939# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X853 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X854 a_11926_4549# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X855 a_47292_4492# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X856 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X857 vss rstn a_39548_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X858 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X859 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X860 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X861 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X862 a_9521_52211# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X863 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X864 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X865 a_16534_48421# a_15795_51406# dvdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X866 vss a_11063_50605# a_15795_51406# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X867 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X868 vss a_9091_54413# a_9473_54965# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X869 a_21230_16137# a_21230_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X870 a_6880_26619# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X871 a_16196_13967# a_10180_18527# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X872 a_22146_16137# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X873 a_40013_15754# a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X874 a_10227_56045# a_10197_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X875 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X876 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X877 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X878 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X879 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X880 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X881 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X882 a_39112_16790# a_37846_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X883 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X884 vss a_46897_6668# a_46927_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X885 a_11398_53325# a_11221_53325# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X886 vss a_9065_53299# a_8861_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X887 a_8871_52781# tbctl_1_ vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X888 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X889 vdd a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X890 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X891 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X892 vdd a_9506_17973# a_8302_16888# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X893 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X894 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X895 a_29277_29476# bgtrim_9_ a_30910_33844# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X896 a_9185_52211# a_9521_52211# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X897 a_17568_5558# a_17990_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X899 vdd a_8915_50061# a_10803_51693# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X900 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X901 vb_4_ a_32117_17095# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X902 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X903 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X904 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X905 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X906 a_46660_5062# a_46036_5068# a_46552_5440# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X907 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X908 a_8302_16888# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X909 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X910 a_46770_3948# a_46552_4352# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X911 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X912 a_46457_5606# a_46340_5819# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X913 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X914 vb_3_ a_32117_17939# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X915 a_39028_33396# a_39414_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X916 a_16534_48421# a_17233_51406# vb_4_ vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X917 a_27033_7238# en_clk_int a_33084_7058# avdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X918 a_40757_5164# a_40402_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X919 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X920 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X921 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X922 a_46927_6694# a_46897_6668# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X923 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X924 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X925 a_23557_20190# a_14147_17413# ibp_2_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X926 dvdd a_46770_5036# a_46660_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X927 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X928 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X929 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X930 a_8735_54512# a_10803_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X931 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X932 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X933 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X934 vss a_10197_56019# a_10227_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X935 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X936 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X937 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X938 a_16019_27456# a_10506_15694# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X939 a_10227_55501# a_10197_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X940 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X941 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X942 vdd a_16019_27456# a_9047_39565# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=1.45e+12p ps=1.116e+07u w=4e+06u l=1e+06u
+X943 vss a_9185_52211# a_9215_52237# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X944 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X945 vdd a_10227_56045# a_17233_57376# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X946 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X947 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X948 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=2e+06u
+X949 a_34367_6373# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X950 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X951 a_10227_56045# a_10197_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X952 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X953 a_9371_53985# a_8861_54387# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X954 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X955 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X956 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X957 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X958 a_47292_4278# a_47117_4352# a_47471_4340# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X959 a_16019_26544# a_10506_15694# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X960 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X961 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X962 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X963 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X964 a_6880_26619# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X965 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X966 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X967 dvdd a_39851_5164# a_40026_5138# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X968 vss a_6880_26619# a_6880_26619# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X969 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X970 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X971 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X972 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X973 vdd a_9831_50605# a_9931_50721# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X974 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X975 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X976 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X977 a_9183_51693# a_9013_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X978 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X979 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X980 dvdd a_47292_4492# a_47856_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X981 vdd a_10435_54957# a_9697_51123# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X982 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X983 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X984 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X985 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X986 vss tbctl_0_ a_8871_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X987 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X988 a_10143_50061# a_9975_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X989 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X990 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X991 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X992 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X993 a_48035_3974# a_47856_3974# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X994 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X995 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X996 a_9975_50061# a_9650_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X997 dvdd a_38617_5164# a_38770_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X998 dvdd en_clkdiv a_47409_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X999 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1000 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1001 vdd a_11063_50605# a_15795_51406# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1002 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1003 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1004 a_10227_55501# a_10197_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1005 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1006 a_15161_30727# a_10506_15694# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1007 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1008 a_46457_5428# a_46340_5233# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1009 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1010 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1011 a_7057_34366# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1012 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1013 vdd a_14147_17185# a_14147_17413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X1014 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X1015 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1016 vss a_21272_47107# a_21088_54984# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1017 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1018 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1019 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1020 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1021 a_10197_56019# a_10533_56019# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1022 vss en_clkdiv a_47396_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1023 a_15161_31718# a_10506_15694# a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1024 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1025 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1026 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1027 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1028 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1029 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1030 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1031 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1032 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1033 a_46031_4206# a_46069_6668# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1034 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1035 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X1036 vdd a_8871_52781# a_9039_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1037 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1038 a_16071_48421# a_15795_48421# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1039 vss a_9473_54965# a_9650_54957# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1040 vdd a_10073_52389# a_9013_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1041 vdd a_14147_17185# a_14147_17185# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=1e+07u
+X1042 vss a_11221_50213# a_11221_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1043 a_15161_30727# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1044 dvdd a_39504_5406# a_39394_5530# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1045 a_47292_4492# a_47117_4518# a_47471_4518# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1046 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1047 vb_5_ a_32117_16251# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1048 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1049 a_10180_18527# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1050 a_46660_4884# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1051 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1052 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1053 a_8861_54387# a_9065_53299# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1054 a_15338_13967# a_7767_39565# a_14147_17413# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=6.32e+06u w=500000u l=8e+06u
+X1055 vdd a_14147_17413# a_23557_19294# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1056 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1057 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1058 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1059 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1060 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1061 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1062 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1063 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1064 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1065 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1066 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1067 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1068 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1069 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1070 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1071 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1072 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1073 a_10197_55475# a_10533_55475# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1074 a_46202_5606# a_46036_5606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1075 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1076 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1077 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1078 vss a_9185_52211# a_9215_52237# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1079 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1080 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1081 vdd a_9506_17973# a_9506_17973# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1082 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1083 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1084 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1085 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1086 a_29435_36896# a_30910_35964# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1087 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1088 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1089 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1090 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1091 vss a_6416_39560# a_7028_39565# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1092 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1093 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1094 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1095 vss a_8933_54387# a_10435_54957# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1096 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1097 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1098 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1099 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1100 vss a_8737_50061# a_8915_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1101 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1102 tbout a_28184_48339# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1103 a_10227_56045# a_10197_56019# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1104 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1105 a_38642_33396# a_39800_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1106 vss a_27549_7238# a_27549_7238# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1107 a_33084_7058# en_clk_int a_33084_6373# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1108 vb_5_ a_32117_15407# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1109 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1110 a_9785_4482# ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1111 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1112 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1113 a_9521_52211# a_9977_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1114 vss a_9650_54957# a_9975_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1115 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1116 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1117 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1118 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1119 a_40186_33396# a_39800_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1120 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1121 a_40026_5138# a_39851_5164# a_40205_5164# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1122 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1123 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1124 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1125 a_29277_29476# bgtrim_5_ a_30910_31724# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1126 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1127 a_16534_48421# a_15795_48421# vss vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1128 vss a_8933_54387# a_8891_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1129 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1130 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1131 a_46814_4340# a_46770_3948# a_46648_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1132 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1133 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1134 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1135 ibn_0_ a_21230_16137# a_23062_15785# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1136 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1137 a_16071_57376# a_15795_57376# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1138 dvdd a_46031_4670# a_46036_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1139 a_9047_39565# a_16019_27456# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1140 a_23062_15785# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1141 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1142 vdd a_10197_56019# a_10227_56045# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1143 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1144 a_46031_4206# a_46069_6668# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1145 a_46552_4352# a_46202_3980# a_46457_4340# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1146 a_46202_5068# a_46036_5068# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1147 a_10180_18527# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1148 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1149 a_17509_51406# a_17233_51406# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1150 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1152 a_7057_34366# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1153 a_29435_32656# a_30910_32784# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1154 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1155 a_21793_20414# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1156 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1157 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1158 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1159 a_9855_52053# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1160 a_29435_32656# bgtrim_6_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1161 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1162 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1163 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1164 a_47117_4518# a_46202_4518# a_46770_4760# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1165 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1166 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1167 a_21257_48618# a_16534_48421# a_21533_59718# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1168 a_14147_17413# a_7767_39565# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1169 vss vss vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1170 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1171 vdd a_14147_17413# a_23557_19742# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1172 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1173 a_7057_34366# a_10506_15694# a_10506_15694# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1174 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1175 avdd ibn_0_ ibn_0_ avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1176 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1177 vdd a_9065_53299# a_8861_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1178 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1179 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1180 a_9697_51123# a_10435_54957# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1181 a_16534_48421# a_17233_48421# a_7767_39565# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1182 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1183 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1184 vss tbctl_1_ a_8871_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1185 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1186 a_46031_4670# a_47292_4278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1187 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1188 vss a_10180_18527# a_10180_18527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1189 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1190 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1191 a_40581_5164# a_40026_5138# vss vss sky130_fd_pr__nfet_01v8 ad=2.015e+11p pd=1.92e+06u as=0p ps=0u w=650000u l=150000u
+X1192 vdd a_10197_55475# a_10227_55501# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1193 a_46202_5606# a_46036_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1194 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1195 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1196 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1197 vss a_40445_30630# a_16019_27456# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1198 ibp_0_ ibp_0_ a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1199 a_46770_5036# a_46552_5440# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1200 vdd a_14147_17413# a_23557_19966# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1201 a_29277_29476# bgtrim_11_ a_30910_34904# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1202 vss a_40026_5138# a_40581_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1203 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1204 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1205 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1206 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1207 a_48035_4518# a_47856_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1208 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1209 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1210 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1211 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1212 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1213 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1214 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1215 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1216 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1217 vb_0_ a_32117_19627# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1218 a_15161_31718# a_10506_15694# a_16019_27456# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1219 a_46814_4518# a_46770_4760# a_46648_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1220 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1221 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1222 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1223 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1224 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1225 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1226 a_9705_51925# a_9978_51925# a_9936_52053# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1227 a_10227_56045# a_10197_56019# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1228 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1229 vss a_8861_54387# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1230 vss vss vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1231 a_9785_4482# vb_2_ a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1232 a_17568_5558# a_16302_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1233 a_10533_56019# a_9697_51123# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1234 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1235 a_47279_5062# a_46202_5068# a_47117_5440# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1236 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1237 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1238 a_15161_30727# a_10506_15694# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1239 a_29435_35836# bgtrim_12_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1240 a_46568_6694# clkext a_46069_6668# vss sky130_fd_pr__nfet_01v8 ad=1.155e+11p pd=1.39e+06u as=3.465e+11p ps=2.49e+06u w=420000u l=150000u
+X1241 a_46648_4352# a_46202_3980# a_46552_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1242 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1243 a_46552_5440# a_46036_5068# a_46457_5428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1244 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1245 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1246 vdd a_8933_54387# a_8737_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X1247 a_29435_29476# a_30910_29604# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1248 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1249 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1250 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1251 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1252 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1253 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1254 a_21088_54984# a_21088_54984# a_21030_55081# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1255 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1256 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1257 a_14147_17413# a_7767_39565# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1258 a_29435_35836# a_30910_34904# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1259 vss a_10227_55501# a_15795_57376# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1260 vdd a_21333_59018# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1261 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1262 a_8742_39565# a_8466_39565# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1263 vb_1_ a_32117_19627# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1264 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1265 a_17509_51406# a_17233_51406# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1266 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1267 a_21230_16137# a_14147_17413# a_21793_19518# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1268 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1269 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1270 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1271 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1272 dvdd a_47292_4492# a_47279_4884# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1273 a_28184_48339# a_28184_48339# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1274 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1275 a_47292_5366# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1276 vdd a_9975_50061# a_10143_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1277 a_39074_5377# a_40581_5164# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1278 a_46457_4340# a_46340_4145# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1279 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1280 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1281 a_39960_5164# a_38770_5164# a_39851_5164# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1282 vdd a_9013_51925# a_9013_51693# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1283 dvdd a_47292_5366# a_47856_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1284 a_40013_15754# a_40013_15754# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1285 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1286 a_47075_6846# en_clkdiv vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1287 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1288 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1289 a_8871_52781# tbctl_1_ vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1290 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1291 a_40572_33396# a_39414_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1292 vss ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1293 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1294 a_8737_54413# a_8861_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1295 a_47226_4352# a_46036_3980# a_47117_4352# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1296 ibp_2_ ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1297 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1298 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1299 ibp_0_ ibp_0_ a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1300 vdd a_8915_50061# a_9931_50721# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1301 a_10803_51693# a_8915_50061# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1302 a_9831_50605# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1303 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1304 vss a_39534_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1305 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1306 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1307 a_11926_4549# a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1308 a_46648_5606# a_46202_5606# a_46552_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1309 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1310 vss a_11221_50061# a_11398_50061# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1311 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1312 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1313 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1314 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1315 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1316 a_21272_47107# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1317 vss a_9705_51925# a_9105_50837# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1318 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1319 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1320 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1321 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1322 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1323 a_8915_50061# a_8737_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1324 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1325 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1326 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1327 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1328 vss a_10435_54957# a_9697_51123# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1329 vss a_9039_52781# a_10346_52217# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X1330 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1331 a_29435_31596# a_30910_31724# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1332 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1333 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1334 a_39394_5530# a_38770_5164# a_39286_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1335 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1336 a_10197_56019# a_10533_56019# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1337 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1338 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1339 vss a_10180_18527# a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1340 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1341 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1342 a_9033_7909# a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1343 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1344 vss a_9215_52237# a_17233_51406# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1345 a_28184_48339# ibp_0_ a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1346 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1347 ibp_2_ ibp_2_ ibp_2_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1348 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1349 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1350 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1351 avdd a_16724_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1352 a_7767_39565# a_8466_39565# a_9047_39565# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1353 a_9033_7909# a_9033_7909# a_9033_7909# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1354 a_29435_29476# a_29277_29476# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1355 a_46552_5606# a_46202_5606# a_46457_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1356 a_27549_7238# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1357 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1358 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1359 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1360 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1361 a_47226_5606# a_46036_5606# a_47117_5606# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1362 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1363 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1364 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1365 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1366 vdd a_11926_4549# a_11926_4549# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1367 a_11221_50213# a_11129_51149# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1368 a_16019_26544# a_7257_35054# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1369 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1370 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1371 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1372 vss a_22146_16137# a_22146_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1373 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1374 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1375 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1376 a_22146_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1377 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1378 vdd a_8121_17110# a_8302_16888# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1379 dvdd a_40402_5164# a_40757_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1380 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1381 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1382 dvdd a_46897_6668# a_46927_6694# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1383 a_46031_5294# a_47292_4492# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1384 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1385 a_9761_53869# a_9697_51123# a_9481_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X1386 a_15338_13967# a_7767_39565# a_14147_17413# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1387 a_23557_19294# a_14147_17413# ibp_1_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1388 a_10435_54957# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1389 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1390 a_8891_54413# a_8861_54387# a_8819_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1391 vss a_9275_50605# a_11221_53325# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1392 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1393 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1394 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1395 vss a_10287_50605# a_11129_51149# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1396 vss ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1397 a_40186_33396# a_41344_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1398 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1399 ibn_0_ a_21230_16137# a_23062_16841# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1400 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1401 a_46457_4340# a_46340_4145# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1402 a_23062_16841# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1403 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1404 a_39053_30692# a_16019_27456# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1405 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1406 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1407 a_39074_5377# a_40581_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1408 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1409 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1410 vdd a_10283_54413# a_15795_54391# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1411 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1412 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1413 vdd a_9705_51925# a_9105_50837# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1414 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1415 ibp_1_ ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1416 a_21531_51763# tbout a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1417 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1418 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1419 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1420 clksys a_47756_6694# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1421 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1422 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1423 a_21333_59018# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1424 vdd a_8735_54512# a_8737_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1425 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1426 a_11926_4549# a_12242_4494# a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1427 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1428 dvdd a_47292_5580# a_47856_5606# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1429 a_16196_13967# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1430 vdd en_ldo_dig a_47886_16107# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1431 a_8735_54512# a_10803_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1432 a_9481_53869# a_9371_53985# a_9567_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1433 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1434 a_34367_6373# a_34011_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1435 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1436 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1437 dvdd a_40026_5138# a_40581_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1438 a_46069_6668# a_40581_5164# a_46278_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1439 vdd a_7057_34366# a_7257_35054# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1440 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1441 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1442 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1443 a_43124_15781# vb_3_ a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1444 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1445 a_21030_55081# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1446 a_9039_52781# a_8871_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1447 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1448 a_40013_15754# a_37846_16790# a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1449 a_15338_13967# a_10180_18527# a_16196_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1450 vb_3_ a_32117_17095# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1451 a_33004_6347# vss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X1452 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1453 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1454 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1455 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1456 a_9975_50061# a_9650_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1457 a_29435_31596# a_30910_30664# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1458 a_6949_30834# a_34396_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1459 a_10223_52243# a_8915_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1460 a_10435_54957# a_8933_54387# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1461 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1462 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1463 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1464 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1465 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1466 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1467 a_46660_5062# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1468 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1469 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1470 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1471 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1472 a_11063_50605# a_10895_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1473 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1474 a_6633_29468# a_6949_30834# a_7149_30931# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1475 a_21531_53226# a_16534_48421# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1476 a_27549_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1477 a_46770_5848# a_46552_5606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1478 vss a_40026_5138# a_40402_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1479 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1480 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1481 a_40757_5164# a_40402_5164# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1482 vdd a_9215_52237# a_17233_51406# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1483 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1484 a_11398_50061# a_11221_50061# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1485 a_40026_5138# rstn dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1486 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1487 avdd ibn_0_ a_27549_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1488 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1489 vdd tbctl_1_ a_8871_52781# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1490 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1491 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1492 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1493 a_37082_4920# a_33004_6347# avdd avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1494 dvdd a_46069_6668# a_46031_4206# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1495 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1496 a_46457_4518# a_46340_4731# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1497 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1498 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1499 a_43124_15781# ibp_2_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1500 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1501 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1502 vss a_10197_56019# a_10227_56045# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1503 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1504 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1505 a_46457_5606# a_46340_5819# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1506 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1507 a_10283_54413# a_10117_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X1508 vss a_9697_51123# a_10533_56019# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1509 a_39053_30692# a_40958_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1510 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1511 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1512 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1513 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1514 vss a_47756_6694# clksys vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1515 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1516 a_14147_17185# a_14605_15614# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1517 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1518 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1519 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1520 a_23557_19742# a_14147_17413# ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1521 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1522 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1523 a_10506_15694# a_10506_15694# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1524 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1525 vdd a_8737_50061# a_8915_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1526 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1527 a_9481_53869# a_9697_51123# a_9761_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1528 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1529 vdd a_14147_17413# a_23557_20414# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=3.16e+06u w=500000u l=2e+06u
+X1530 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1531 a_29435_36896# bgtrim_14_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1532 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1533 a_10287_50605# a_9931_50721# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1534 a_8302_16888# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1535 tbout a_28184_48339# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1536 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1537 a_46340_5233# a_46031_5758# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1538 a_10506_15694# a_10506_15694# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1539 a_10073_52389# a_8915_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1540 a_17509_57376# a_17233_57376# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1541 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1542 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1543 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1544 a_29435_29476# bgtrim_0_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1545 a_16071_51406# a_15795_51406# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1546 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1547 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1548 vdd a_16019_26544# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1549 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1550 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1551 a_23557_19966# a_14147_17413# ibp_0_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1552 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1553 vdd a_9275_50605# a_11221_53325# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1554 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1555 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1556 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1557 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1558 a_46202_3980# a_46036_3980# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1559 a_6416_39560# refsel vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1560 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1561 a_47117_4352# a_46036_3980# a_46770_3948# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1562 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1563 vss a_10197_55475# a_10227_55501# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1564 a_9567_53869# a_9371_53985# a_9481_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1565 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1566 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1567 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1568 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1569 a_9705_51925# a_9978_51925# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1570 vdd a_21333_59018# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1571 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1572 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1573 a_35168_33396# a_34782_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1574 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1575 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1576 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1577 a_10895_50605# a_9183_51693# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1578 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1579 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1580 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1581 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1582 a_10283_54413# a_10117_54413# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1583 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1584 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1585 a_9047_39565# a_41344_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1586 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1587 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1588 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1589 vss a_6880_26619# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1590 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1591 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1592 dvdd a_40026_5138# a_40402_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1593 a_11926_4549# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1594 vss a_8915_50061# a_10803_51693# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1595 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1596 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1597 vdd a_10895_50605# a_11063_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1598 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1599 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1600 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1601 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1602 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1603 a_29435_35836# a_30910_35964# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1604 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1605 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1606 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1607 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1608 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1609 a_47106_6694# a_47075_6846# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1610 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1611 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1612 a_46202_4518# a_46036_4518# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1613 vss en_ldo_dig a_47886_16107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1614 a_34367_6373# a_34011_6373# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1615 a_14147_17413# a_14605_15614# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1616 a_48035_5062# a_47856_5062# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1617 a_9039_52781# a_8871_52781# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1618 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1619 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1620 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1621 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1622 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1623 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1624 a_6633_29468# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1625 ibn_0_ ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1626 a_9697_51123# a_10435_54957# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1627 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1628 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1629 a_46069_6668# clkext a_46296_7016# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.576e+11p ps=2.71e+06u w=640000u l=150000u
+X1630 clksys a_47756_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1631 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1632 a_46927_6694# a_46897_6668# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1633 a_21793_19518# a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1634 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1635 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1636 vss a_46927_6694# a_47756_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1637 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1638 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1639 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1640 a_38690_16790# a_38268_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1641 a_7149_30931# a_6949_30834# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1642 a_27033_7238# ibn_0_ avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1643 vb_6_ a_32117_15407# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1644 a_14605_15614# a_32117_20471# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1645 a_21015_51763# tbout a_21531_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1646 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1647 vss a_10383_52897# a_10533_55475# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1648 vdd a_7057_34366# a_7057_34366# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1649 a_10865_53869# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1650 a_28184_49195# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1651 a_6949_29442# a_34782_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1652 dvdd a_47117_4352# a_47292_4278# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1653 vss a_21272_47107# a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1654 a_21088_54984# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1655 a_28184_49195# a_28184_49195# a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1656 vdd a_9567_53869# a_10117_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1657 a_46202_4518# a_46036_4518# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1658 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1659 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1660 tbout a_28184_48339# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1661 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1662 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1663 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1664 vss a_22146_16137# a_22146_15785# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1665 a_21030_55081# a_21088_54984# a_21088_54984# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1666 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1667 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1668 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1669 a_22146_15785# a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1670 a_29435_30536# a_30910_29604# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1671 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1672 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1673 vdd w_42506_30499# a_40445_30630# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X1674 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1675 a_40445_30630# a_9047_39565# vss vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X1676 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1677 a_29267_5405# a_27549_7238# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1678 a_37082_4920# a_33004_6347# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1679 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1680 ibp_1_ a_9033_6762# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X1681 a_16071_51406# a_15795_51406# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1682 a_39112_16790# a_39534_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1683 a_7909_13748# vdd vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1684 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1685 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1686 a_9183_51693# a_9013_51693# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1687 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1688 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1689 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1690 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1691 a_40013_15754# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1692 vss a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1693 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1694 a_10773_52781# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1695 a_7257_35054# a_7257_35054# a_7257_35054# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1696 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1697 vss a_11221_53325# a_11398_53325# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1698 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1699 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1700 a_47756_6694# a_46927_6694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1701 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1702 a_17509_54391# a_17233_54391# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1703 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1704 a_9761_53869# a_8735_54512# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1705 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1706 a_21257_48618# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1707 vdd a_9039_52781# a_9705_51925# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1708 ibn_0_ a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1709 a_21257_48618# tbout a_21333_59018# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1710 a_10180_18527# a_10180_18527# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1711 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1712 ibp_1_ ibp_1_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1713 a_10143_50061# a_9975_50061# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1714 dvdd a_47292_5366# a_47279_5062# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1715 a_33655_6373# a_33084_7058# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1716 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1717 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1718 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1719 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1720 vdd a_10117_54413# a_10283_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1721 a_9506_17973# a_9506_17973# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1722 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1723 vss a_8871_56045# a_8933_54387# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1724 vdd a_28184_48339# tbout vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1725 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1726 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1727 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1728 vss a_38617_5164# a_38770_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1729 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1730 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1731 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1732 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1733 vss a_21272_47107# a_21272_47107# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1734 vss a_47292_5580# a_47226_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1735 a_10227_55501# a_10197_55475# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1736 vss a_11398_50061# a_15795_48421# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1737 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1738 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1739 a_16534_48421# a_16071_48421# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1740 a_40013_15426# a_40013_15754# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1741 a_7080_26645# a_7257_35054# a_16019_26544# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1742 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1743 vss a_9371_53985# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1744 a_6633_29468# a_6949_29442# a_7080_26645# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1745 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1746 vss a_10180_18527# a_10180_18527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=4e+06u
+X1747 a_10895_50605# a_9183_51693# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1748 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1749 a_38617_5164# a_37082_4920# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1750 a_28184_48339# a_28184_49195# a_21533_59718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1751 vdd a_8871_56045# a_8933_54387# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1752 a_43124_15781# a_37846_16790# a_40013_15754# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1753 w_42506_30499# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1754 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1755 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1756 a_21531_51763# ibp_0_ a_28184_49195# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1757 a_10506_15694# a_8302_16888# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1758 a_35554_33396# a_34396_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1759 ibp_1_ ibp_1_ ibp_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1760 vss a_10227_56045# a_17233_57376# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1761 a_21533_59718# a_28184_49195# a_28184_48339# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1762 a_11926_4549# a_11926_4549# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1763 a_46340_5819# a_47267_6832# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1764 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1765 a_47471_5606# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1766 a_12242_4494# a_18412_7690# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1767 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1768 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1769 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1770 a_16019_26544# a_16019_26544# a_16019_26544# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1771 vss a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1772 vdd a_9183_51693# a_10895_50605# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1773 vdd a_8735_54512# a_10865_53869# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1774 a_10283_54413# a_10117_54413# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1775 vdd a_10117_54413# a_10283_54413# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1776 vss rstn a_46814_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1777 a_21015_51763# a_21015_51763# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1778 a_46770_3948# a_46552_4352# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1779 a_16019_26544# a_10506_15694# a_15161_30727# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1780 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1781 ibp_2_ a_14147_17413# a_21793_20190# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1782 a_46247_6846# clksel dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.728e+11p pd=1.82e+06u as=0p ps=0u w=640000u l=150000u
+X1783 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1784 a_16534_48421# a_17509_48421# a_7767_39565# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1785 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1786 a_46660_3974# a_46036_3980# a_46552_4352# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1787 a_21531_51763# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1788 a_47117_5606# a_46036_5606# a_46770_5848# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X1789 vdd a_40013_15754# a_40013_15426# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1790 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1791 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1792 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1793 a_46552_4518# a_46202_4518# a_46457_4518# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1794 a_29435_34776# a_30910_34904# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1795 vss a_8735_54512# a_9567_53869# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1796 a_46770_5848# a_46552_5606# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1797 a_16019_27456# a_10506_15694# a_15161_31718# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1798 vss a_46031_5758# a_46036_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1799 dvdd a_46770_3948# a_46660_3974# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1800 a_10533_55475# a_10383_52897# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1801 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1802 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1803 vb_1_ a_32117_18783# vss sky130_fd_pr__res_xhigh_po w=690000u l=2.58e+07u
+X1804 a_40013_15426# a_40013_15426# a_40013_15426# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1805 dvdd a_39956_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1806 a_37846_16790# a_38268_18922# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X1807 vss a_47292_5366# a_47226_5440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1808 a_21333_59018# a_28184_49195# a_28184_49195# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1809 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1810 vss clksel a_46568_6694# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1811 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1812 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1813 vss a_8915_50061# a_9978_51925# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X1814 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1815 a_9931_50721# a_9039_52781# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1816 a_7149_30931# a_7257_35054# a_16019_27456# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1817 vdd a_9033_7909# avdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1818 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1819 a_46552_4352# a_46036_3980# a_46457_4340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1820 vss a_8915_50061# a_10090_50965# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1821 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1822 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1823 a_46340_5233# a_46031_5758# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1824 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1825 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1826 vdd a_9650_54957# a_9975_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1827 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1828 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1829 a_39191_5164# a_39074_5377# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1830 a_8933_54387# a_8871_56045# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1831 a_46031_5758# a_47292_5366# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X1832 dvdd a_47756_6694# clksys dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1833 vdd a_40013_15754# a_40013_15754# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1834 a_47471_5428# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1835 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1836 a_9275_50605# a_9105_50605# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1837 a_9033_7909# a_11926_4549# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1838 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1839 dvdd a_40013_15426# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1840 a_10227_55501# a_10197_55475# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1841 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1842 a_11398_53325# a_11221_53325# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1843 a_7304_39565# a_7028_39565# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1844 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1845 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1846 a_21333_59018# tbout a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1847 vss rstn a_46814_5428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1848 vss a_10283_54413# a_15795_54391# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1849 a_35168_33396# a_36326_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1850 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1851 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1852 a_7149_30931# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1853 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1854 vss a_10803_51693# a_8735_54512# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1855 a_38617_5164# a_37082_4920# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1856 dvdd a_47117_5606# a_47292_5580# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1857 a_47117_5440# a_46202_5068# a_46770_5036# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1858 ibn_1_ a_21230_16137# a_23062_15433# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1859 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1860 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1861 a_14147_17185# a_14605_15614# a_15338_13967# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=8e+06u
+X1862 a_23062_15433# a_22146_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1863 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1864 a_6633_29468# a_6633_29468# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1865 a_21030_55081# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1866 vdd a_11926_4549# a_9033_7909# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1867 a_21531_53226# a_21272_47107# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1868 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1869 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1870 vss a_46031_5294# a_46036_5068# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1871 a_21015_51763# a_16534_48421# a_21531_53226# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1872 a_33655_6373# a_33084_7058# a_29267_5405# vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1873 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1874 vss a_27549_7238# a_29267_5405# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1875 a_9473_51399# a_9697_51123# a_9727_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1876 tbout a_28184_48339# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X1877 a_23557_20414# a_14147_17413# ibp_3_ vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1878 a_21230_16137# a_21230_16137# a_21230_16137# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1879 vdd refsel a_8466_39565# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1880 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1881 a_43124_15781# ibp_2_ vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1882 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1883 a_10283_54413# a_10117_54413# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1884 a_46648_4518# a_46202_4518# a_46552_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1885 a_9567_53869# a_9371_53985# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1886 a_15161_31718# a_16019_26544# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1887 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1888 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1889 vdd a_11398_53325# a_17233_54391# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1890 dvdd vss dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1891 a_46552_5606# a_46036_5606# a_46457_5606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1892 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1893 dvdd a_40026_5138# a_40013_5530# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1894 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1895 vss a_8871_52781# a_9039_52781# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1896 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1897 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1899 a_16019_27456# a_7257_35054# a_7149_30931# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1900 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1901 vdd a_9039_52781# a_10346_52217# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X1902 a_40013_5530# a_38936_5164# a_39851_5164# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1903 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1904 a_28184_49195# ibp_0_ a_21531_51763# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1905 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1906 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1907 a_29435_34776# a_30910_33844# vss sky130_fd_pr__res_high_po w=1.41e+06u l=2.8e+06u
+X1908 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1909 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1910 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1911 a_28184_49195# a_28184_49195# a_21333_59018# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1912 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1913 vss dvdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1914 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1915 vss a_22146_16137# a_22146_16841# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1916 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1917 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1918 a_9033_7909# vb_2_ a_9785_4482# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1919 a_22146_16841# a_21230_16137# ibn_0_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1920 avdd a_9033_7909# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1921 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1922 a_35554_33396# a_35940_36428# vss sky130_fd_pr__res_xhigh_po w=690000u l=1.3e+07u
+X1923 a_7080_26645# a_6949_29442# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X1924 vss vss a_29277_29476# vss sky130_fd_pr__pnp_05v5_W0p68L0p68 area=0p
+X1925 vss a_40026_5138# a_39960_5164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1926 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1927 vss a_9567_53869# a_10117_54413# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1928 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1929 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1930 vss a_10073_52389# a_9013_51925# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1931 a_46457_4518# a_46340_4731# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1932 a_8933_54387# a_8871_56045# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1933 a_9785_4482# a_12242_4494# a_11926_4549# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1934 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1935 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1936 avdd ibn_0_ a_27033_7238# avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1937 a_46296_7016# a_46247_6846# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1938 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1939 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1940 a_9275_50605# a_9105_50605# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1941 vss a_21272_47107# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1942 a_16071_57376# a_15795_57376# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1943 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1944 vdd a_11221_50213# a_11221_50061# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1945 a_29435_30536# bgtrim_2_ a_29277_29476# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=500000u
+X1946 a_39191_5164# a_39074_5377# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1947 a_9340_13770# a_9448_14814# vss sky130_fd_pr__res_xhigh_po w=350000u l=7e+06u
+X1948 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1949 a_7257_35054# a_7057_34366# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1950 a_9831_50605# a_8933_54387# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1951 a_21533_59718# a_21333_59018# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1952 a_47226_4518# a_46036_4518# a_47117_4518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1953 a_9506_17973# a_8302_16888# a_9448_14814# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X1954 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X1955 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1956 a_21257_48618# a_21257_48618# a_21257_48618# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X1957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1958 a_40013_15426# vb_3_ a_43124_15781# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1959 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1960 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1961 a_33084_7058# a_33004_6347# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X1962 a_33004_6347# a_35079_6373# a_27033_7238# avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1963 vdd a_14147_17413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+X1964 vss a_21272_47107# a_21531_53226# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1965 vdd a_40013_15426# dvdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1966 vss a_21230_16137# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1967 vss a_6880_26619# a_7080_26645# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1968 vdd a_7057_34366# a_6633_29468# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1969 ibn_1_ a_21230_16137# ibn_1_ vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=2e+06u
+X1970 a_10197_55475# a_10533_55475# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1971 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1972 dvdd a_40013_15426# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1973 a_28184_48339# a_28184_48339# a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1974 a_21531_53226# ibp_0_ a_28184_48339# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X1975 a_9521_52211# a_9977_51123# a_9727_51399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1976 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1977 a_21015_51763# a_21030_55081# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1978 a_16019_27456# vss sky130_fd_pr__cap_mim_m3_1 l=1e+07u w=1e+07u
+X1979 vdd a_21030_55081# a_21015_51763# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1980 a_7080_26645# a_6880_26619# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1981 avdd a_9033_7909# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X1982 a_29277_29476# bgtrim_7_ a_30910_32784# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=500000u
+X1983 avdd ibn_0_ ibn_0_ avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X1984 a_46770_5036# a_46552_5440# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1985 a_38936_5164# a_38770_5164# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1986 vdd a_14147_17413# a_14605_15614# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=500000u l=2e+06u
+C0 a_6949_29442# vdd 11.08fF
+C1 a_16019_26544# vdd 6.97fF
+C2 vb_0_ vb_1_ 25.02fF
+C3 ibp_0_ vdd 2.11fF
+C4 ibn_0_ ibp_1_ 18.69fF
+C5 a_21531_51763# ibp_0_ 6.95fF
+C6 a_28184_49195# a_28184_48339# 15.66fF
+C7 a_21531_53226# a_21257_48618# 9.69fF
+C8 a_7767_39565# ibn_1_ 5.86fF
+C9 vdd a_8861_54387# 5.33fF
+C10 a_9697_51123# vdd 5.66fF
+C11 bgtrim_3_ bgtrim_5_ 34.96fF
+C12 avdd a_9033_7909# 30.67fF
+C13 a_28184_49195# a_21333_59018# 30.10fF
+C14 a_9033_7909# a_12242_4494# 6.10fF
+C15 a_7080_26645# a_16019_26544# 24.74fF
+C16 bgtrim_0_ bgtrim_4_ 9.62fF
+C17 a_40013_15426# a_37846_16790# 6.10fF
+C18 a_21088_54984# a_21272_47107# 10.47fF
+C19 a_9785_4482# ibp_1_ 5.06fF
+C20 vb_4_ vb_3_ 97.25fF
+C21 a_21015_51763# vdd 21.05fF
+C22 a_28184_49195# a_21533_59718# 9.87fF
+C23 a_21015_51763# a_21531_51763# 4.88fF
+C24 a_28184_49195# vdd 5.07fF
+C25 a_6633_29468# a_7149_30931# 8.48fF
+C26 a_28184_49195# a_21531_51763# 28.47fF
+C27 a_15161_31718# vdd 10.30fF
+C28 a_15161_30727# vdd 24.26fF
+C29 ibn_0_ ibp_0_ 5.70fF
+C30 a_43124_15781# ibp_2_ 5.06fF
+C31 avdd ibp_0_ 9.28fF
+C32 vdd a_10227_55501# 3.71fF
+C33 a_21333_59018# a_21257_48618# 3.57fF
+C34 bgtrim_9_ bgtrim_5_ 11.04fF
+C35 a_16019_26544# a_16019_27456# 48.51fF
+C36 bgtrim_9_ bgtrim_11_ 32.22fF
+C37 vb_0_ vb_2_ 7.19fF
+C38 a_21533_59718# a_21257_48618# 6.88fF
+C39 ibn_0_ a_21230_16137# 8.22fF
+C40 ibp_1_ ibp_0_ 26.02fF
+C41 bgtrim_15_ bgtrim_12_ 7.52fF
+C42 a_6949_29442# a_6949_30834# 27.09fF
+C43 a_21531_51763# a_21257_48618# 3.67fF
+C44 a_8933_54387# a_8861_54387# 2.91fF
+C45 vb_5_ vb_6_ 21.76fF
+C46 a_11398_53325# vdd 2.36fF
+C47 ibp_2_ ibp_3_ 29.95fF
+C48 a_9105_50837# vdd 2.21fF
+C49 a_8302_16888# vdd 6.85fF
+C50 vb_5_ vb_2_ 4.05fF
+C51 a_40013_15426# vb_3_ 3.66fF
+C52 a_11926_4549# vdd 4.07fF
+C53 ibn_0_ ibn_1_ 22.49fF
+C54 a_10506_15694# vdd 4.88fF
+C55 vdd a_9650_54957# 3.01fF
+C56 vb_0_ vb_3_ 4.07fF
+C57 dvdd vb_3_ 10.58fF
+C58 a_9448_14814# a_8302_16888# 8.74fF
+C59 bgtrim_13_ bgtrim_14_ 7.56fF
+C60 a_27549_7238# a_27033_7238# 5.87fF
+C61 a_15161_31718# a_16019_27456# 4.65fF
+C62 vb_3_ vdd 2.31fF
+C63 a_15161_30727# a_16019_27456# 7.60fF
+C64 a_8915_50061# vdd 4.25fF
+C65 a_7080_26645# a_7149_30931# 42.20fF
+C66 vb_0_ ibp_2_ 25.62fF
+C67 vdd a_21030_55081# 5.20fF
+C68 a_21531_53226# a_28184_48339# 29.78fF
+C69 bgtrim_15_ bgtrim_14_ 22.67fF
+C70 a_8302_16888# a_9506_17973# 16.71fF
+C71 ibp_1_ ibn_1_ 5.46fF
+C72 vbg_ext vdd 2.89fF
+C73 vb_5_ vb_3_ 6.71fF
+C74 vb_4_ dvdd 29.97fF
+C75 avdd vb_2_ 3.37fF
+C76 bgtrim_13_ bgtrim_9_ 10.43fF
+C77 vb_4_ vdd 2.06fF
+C78 a_12242_4494# vb_2_ 6.47fF
+C79 a_21272_47107# a_21257_48618# 9.06fF
+C80 a_9047_39565# vdd 2.18fF
+C81 a_7767_39565# bgtrim_0_ 3.05fF
+C82 a_7057_34366# a_10506_15694# 5.90fF
+C83 avdd a_27549_7238# 9.25fF
+C84 bgtrim_8_ bgtrim_12_ 9.07fF
+C85 bgtrim_4_ bgtrim_2_ 29.11fF
+C86 a_11063_50605# vdd 3.19fF
+C87 a_9697_51123# a_8861_54387# 2.07fF
+C88 a_40013_15754# a_37846_16790# 3.67fF
+C89 vb_4_ vb_5_ 22.51fF
+C90 bgtrim_7_ bgtrim_3_ 11.35fF
+C91 ibp_1_ vb_2_ 2.64fF
+C92 a_12242_4494# a_11926_4549# 3.67fF
+C93 a_21531_53226# a_21531_51763# 68.20fF
+C94 a_7149_30931# a_16019_27456# 4.61fF
+C95 bgtrim_1_ bgtrim_3_ 35.88fF
+C96 a_15161_31718# a_16019_26544# 9.27fF
+C97 a_21088_54984# a_21257_48618# 12.59fF
+C98 a_7767_39565# a_14605_15614# 7.44fF
+C99 a_15161_30727# a_16019_26544# 28.46fF
+C100 a_9033_7909# vb_2_ 3.66fF
+C101 clksel rstn 2.67fF
+C102 a_6633_29468# vdd 23.24fF
+C103 ibp_0_ ibn_1_ 3.22fF
+C104 a_9275_50605# vdd 3.31fF
+C105 a_21333_59018# a_28184_48339# 10.30fF
+C106 vb_0_ ibp_3_ 7.58fF
+C107 a_7767_39565# vdd 4.31fF
+C108 bgtrim_8_ bgtrim_10_ 27.87fF
+C109 a_9033_7909# a_11926_4549# 4.61fF
+C110 a_10143_50061# vdd 2.56fF
+C111 a_21533_59718# a_28184_48339# 32.36fF
+C112 a_28184_48339# vdd 9.46fF
+C113 a_14605_15614# a_14147_17413# 31.96fF
+C114 bgtrim_12_ bgtrim_10_ 27.46fF
+C115 a_21531_51763# a_28184_48339# 9.42fF
+C116 a_9275_50605# a_8735_54512# 2.11fF
+C117 a_40013_15426# dvdd 30.67fF
+C118 bgtrim_8_ bgtrim_4_ 9.34fF
+C119 bgtrim_1_ vb_2_ 12.82fF
+C120 a_7257_35054# a_6880_26619# 8.04fF
+C121 a_14605_15614# vdd 9.10fF
+C122 a_21230_16137# ibn_1_ 4.55fF
+C123 a_40013_15426# vdd 10.95fF
+C124 bgtrim_7_ bgtrim_9_ 33.13fF
+C125 a_6633_29468# a_7080_26645# 5.05fF
+C126 bgtrim_12_ bgtrim_14_ 27.05fF
+C127 a_9183_51693# vdd 2.20fF
+C128 a_7257_35054# vdd 4.72fF
+C129 ibp_2_ ibp_1_ 4.08fF
+C130 a_7149_30931# a_16019_26544# 8.14fF
+C131 vb_1_ vb_2_ 24.37fF
+C132 a_15161_30727# a_15161_31718# 51.01fF
+C133 a_21333_59018# a_21533_59718# 43.84fF
+C134 a_21333_59018# vdd 6.70fF
+C135 a_14147_17413# vdd 13.99fF
+C136 a_46031_4206# dvdd 2.62fF
+C137 a_6633_29468# a_7057_34366# 10.22fF
+C138 dvdd vdd 54.44fF
+C139 tbctl_0_ vdd 2.11fF
+C140 a_21272_47107# a_21531_53226# 3.35fF
+C141 a_21533_59718# vdd 12.25fF
+C142 bgtrim_6_ bgtrim_2_ 9.48fF
+C143 a_10283_54413# vdd 3.32fF
+C144 ibn_0_ ibp_3_ 3.40fF
+C145 bgtrim_1_ vb_3_ 5.49fF
+C146 a_28184_48339# tbout 128.04fF
+C147 a_14147_17185# a_14147_17413# 5.63fF
+C148 vdd a_8735_54512# 4.60fF
+C149 bgtrim_14_ bgtrim_10_ 8.93fF
+C150 bgtrim_3_ vb_2_ 5.88fF
+C151 vb_1_ vb_3_ 7.00fF
+C152 a_16534_48421# vdd 4.55fF
+C153 a_7257_35054# a_7057_34366# 2.45fF
+C154 bgtrim_13_ bgtrim_11_ 31.30fF
+C155 a_14147_17185# vdd 4.02fF
+C156 ibp_2_ ibp_0_ 7.38fF
+C157 a_9506_17973# vdd 4.77fF
+C158 vb_1_ ibp_2_ 7.49fF
+C159 ibp_1_ ibp_3_ 6.87fF
+C160 bgtrim_15_ bgtrim_11_ 10.13fF
+C161 a_7057_34366# vdd 15.88fF
+C162 vb_4_ vb_1_ 3.96fF
+C163 en_ldo_dig vdd 2.57fF
+C164 bgtrim_0_ bgtrim_2_ 29.52fF
+C165 tbout vdd 5.38fF
+C166 bgtrim_6_ bgtrim_8_ 28.28fF
+C167 tbctl_2_ vdd 2.07fF
+C168 a_9448_14814# a_9506_17973# 18.76fF
+C169 a_10533_55475# a_9697_51123# 2.31fF
+C170 a_21531_53226# ibp_0_ 2.57fF
+C171 avdd vdd 58.68fF
+C172 a_9215_52237# vdd 3.93fF
+C173 a_37846_16790# vb_3_ 6.47fF
+C174 a_8933_54387# vdd 7.29fF
+C175 a_16534_48421# tbout 12.44fF
+C176 a_21230_16137# a_22146_16137# 4.30fF
+C177 a_16019_27456# vdd 3.93fF
+C178 avdd a_27033_7238# 9.95fF
+C179 a_11398_50061# vdd 2.66fF
+C180 a_6949_30834# vdd 11.09fF
+C181 a_40013_15426# a_40013_15754# 4.61fF
+C182 a_7767_39565# ibp_0_ 10.20fF
+C183 ibp_3_ ibp_0_ 23.05fF
+C184 vdd w_42506_30499# 2.11fF
+C185 vb_3_ vb_6_ 3.83fF
+C186 a_21088_54984# vdd 3.25fF
+C187 a_21015_51763# a_21531_53226# 8.44fF
+C188 a_9033_7909# vdd 10.95fF
+C189 vb_1_ ibp_3_ 4.30fF
+C190 bgtrim_7_ bgtrim_5_ 34.05fF
+C191 a_28184_49195# a_21531_53226# 9.22fF
+C192 bgtrim_7_ bgtrim_11_ 10.74fF
+C193 vb_3_ vb_2_ 92.30fF
+C194 bgtrim_6_ bgtrim_10_ 9.21fF
+C195 bgtrim_1_ bgtrim_5_ 11.65fF
+C196 a_7080_26645# a_16019_27456# 7.54fF
+C197 avdd ibn_0_ 2.07fF
+C198 a_9039_52781# vdd 5.27fF
+C199 a_40013_15754# vdd 4.07fF
+C200 dvdd clksys 3.52fF
+C201 bgtrim_6_ bgtrim_4_ 28.70fF
+C202 rstn dvdd 3.13fF
+C203 bgtrim_13_ bgtrim_15_ 30.39fF
+C204 vb_4_ vb_6_ 6.51fF
+C205 ibp_2_ vb_2_ 6.45fF
+C206 en_ldo_ana vdd 2.57fF
+C207 vb_0_ ibp_0_ 4.04fF
+C208 dvdd a_46031_5294# 3.23fF
+C209 vb_4_ vb_2_ 29.03fF
+.ends
+
diff --git a/xschem/sub/main/main.sch b/xschem/sub/main/main.sch
new file mode 100644
index 0000000..a4dcff4
--- /dev/null
+++ b/xschem/sub/main/main.sch
@@ -0,0 +1,208 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+T {1.2V} 1420 -710 0 0 0.3 0.3 {}
+T {1.5V} 1420 -520 0 0 0.3 0.3 {}
+N 190 -320 220 -320 {lab=ibnbas}
+N 220 -320 220 -190 {lab=ibnbas}
+N 220 -190 280 -190 {lab=ibnbas}
+N 190 -350 370 -350 {lab=ibpbas}
+N 230 -240 280 -240 {lab=vdd}
+N 30 -360 50 -360 {lab=vdd}
+N 790 -350 790 -320 {lab=vdd}
+N 1220 -500 1270 -500 {lab=avdd}
+N 1030 -500 1060 -500 {lab=vdd}
+N 250 -150 280 -150 {lab=vss}
+N 30 -300 50 -300 {lab=vss}
+N 1030 -380 1060 -380 {lab=vss}
+N 1340 -340 1340 -300 {lab=avdd}
+N 1340 -180 1340 -150 {lab=avss}
+N 790 -180 790 -150 {lab=vss}
+N 1340 -500 1340 -340 {lab=avdd}
+N 1270 -500 1340 -500 {lab=avdd}
+N 900 -230 960 -230 {lab=ibp[3:0]}
+N 1010 -470 1060 -470 {lab=ibp[1]}
+N 900 -280 950 -280 {lab=vb[6:0]}
+N 1030 -570 1060 -570 {lab=vss}
+N 1030 -690 1060 -690 {lab=vdd}
+N 1010 -660 1060 -660 {lab=ibp[2]}
+N 1220 -690 1270 -690 {lab=dvdd}
+N 1370 -690 1370 -300 {lab=dvdd}
+N 1270 -690 1370 -690 {lab=dvdd}
+N 1370 -180 1370 -150 {lab=dvss}
+N 1010 -440 1060 -440 {lab=vb[2]}
+N 1010 -630 1060 -630 {lab=vb[3]}
+N 410 -350 650 -350 {lab=ibpbas}
+N 650 -350 650 -280 {lab=ibpbas}
+N 650 -280 690 -280 {lab=ibpbas}
+N 950 -280 990 -280 {lab=vb[6:0]}
+N 990 -280 1000 -290 {lab=vb[6:0]}
+N 1000 -620 1000 -290 {lab=vb[6:0]}
+N 900 -210 960 -210 {lab=ibn[1:0]}
+N 1220 -690 1220 -650 {lab=dvdd}
+N 1220 -500 1220 -460 {lab=avdd}
+N 1460 -260 1510 -260 {lab=clkint}
+N 1180 -270 1220 -270 {lab=rstn}
+N 1590 -310 1620 -310 {lab=dvss}
+N 1580 -290 1620 -290 {lab=rstn}
+N 1510 -260 1620 -260 { lab=clkint}
+N 1370 -330 1620 -330 { lab=dvdd}
+N 1650 -220 1650 -180 { lab=clksel}
+N 1640 -180 1650 -180 { lab=clksel}
+N 1600 -240 1620 -240 { lab=clkext}
+N 1780 -220 1780 -180 { lab=en_clkdiv}
+N 1770 -180 1780 -180 { lab=en_clkdiv}
+N 970 -410 1060 -410 { lab=en_ldo_ana}
+N 970 -600 1060 -600 { lab=en_ldo_dig}
+N 1370 -690 1470 -690 { lab=dvdd}
+N 1340 -500 1470 -500 { lab=avdd}
+N 1180 -210 1220 -210 { lab=ibn[0]}
+N 1180 -240 1220 -240 { lab=en_clk_int}
+N 510 -140 540 -140 { lab=vdd}
+N 480 -80 540 -80 { lab=refsel}
+N 130 -580 160 -580 { lab=vss}
+N 130 -580 130 -520 { lab=vss}
+N 130 -520 160 -520 { lab=vss}
+N 110 -550 130 -550 { lab=vss}
+N 90 -550 110 -550 { lab=vss}
+N 220 -520 250 -520 { lab=avss}
+N 220 -580 250 -580 { lab=dvss}
+N 1830 -250 1890 -250 { lab=clksys}
+N 680 -250 680 -80 { lab=vbg}
+N 660 -80 680 -80 { lab=vbg}
+N 510 -120 540 -120 { lab=vss}
+N 430 -220 480 -220 { lab=vbg_int}
+N 370 -350 410 -350 { lab=ibpbas}
+N 440 -930 440 -890 {lab=ibp[0]}
+N 410 -920 410 -890 { lab=vdd}
+N 470 -920 470 -890 { lab=vss}
+N 520 -840 550 -840 { lab=tbout}
+N 340 -800 370 -800 { lab=tbctl[2:0]}
+N 90 -840 370 -840 { lab=vbg,vss,dvdd,vb[4],vb[3],vb[2],avdd}
+N 140 -210 280 -210 { lab=bgtrim[15:0]}
+N 480 -220 480 -100 { lab=vbg_int}
+N 480 -60 540 -60 { lab=vbg_ext}
+N 490 -100 540 -100 { lab=vbg_int}
+N 480 -100 490 -100 { lab=vbg_int}
+N 680 -250 690 -250 { lab=vbg}
+C {bandgap/bandgap.sym} 300 -140 0 0 {name=xbg}
+C {bias/bias.sym} 810 -110 0 0 {name=xbb}
+C {rosc/rosc.sym} 1240 -200 0 0 {name=xro}
+C {bias/bias_basis_current.sym} 50 -290 0 0 {name=xbc}
+C {devices/lab_wire.sym} 280 -240 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 30 -360 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 30 -300 0 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 280 -150 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 790 -320 3 1 {name=l5 sig_type=std_logic lab=vdd}
+C {regulator/regulator.sym} 1000 -360 0 0 {name=xra
+}
+C {devices/lab_wire.sym} 1060 -500 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1060 -380 0 0 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1340 -180 3 0 {name=l11 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 790 -180 3 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 900 -230 0 1 {name=l16 sig_type=std_logic lab=ibp[3:0]}
+C {devices/lab_wire.sym} 1060 -470 0 0 {name=l17 sig_type=std_logic lab=ibp[1]}
+C {devices/lab_wire.sym} 900 -210 0 1 {name=l19 sig_type=std_logic lab=ibn[1:0]}
+C {regulator/regulator.sym} 1000 -550 0 0 {name=xrd
+}
+C {devices/lab_wire.sym} 1060 -440 0 0 {name=l23 sig_type=std_logic lab=vb[2]
+}
+C {devices/lab_wire.sym} 900 -280 0 1 {name=l24 sig_type=std_logic lab=vb[6:0]}
+C {devices/lab_wire.sym} 1060 -630 0 0 {name=l25 sig_type=std_logic lab=vb[3]
+}
+C {devices/lab_wire.sym} 1060 -570 0 0 {name=l26 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1060 -690 0 0 {name=l27 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1060 -660 0 0 {name=l28 sig_type=std_logic lab=ibp[2]}
+C {devices/lab_wire.sym} 1370 -180 3 0 {name=l35 sig_type=std_logic lab=dvss
+}
+C {devices/bus_connect_nolab.sym} 1010 -440 2 0 {name=r5}
+C {devices/bus_connect_nolab.sym} 1010 -630 2 0 {name=r1}
+C {devices/lab_wire.sym} 1220 -210 0 0 {name=l39 sig_type=std_logic lab=ibn[0] }
+C {devices/ipin.sym} 1640 -180 0 0 {name=p6 lab=clksel}
+C {devices/ipin.sym} 1600 -240 2 1 {name=p5 lab=clkext}
+C {devices/lab_wire.sym} 1220 -270 0 0 {name=l14 sig_type=std_logic lab=rstn}
+C {clksel/clksel.sym} 1810 -220 0 0 {name=xcs
+}
+C {devices/lab_wire.sym} 1620 -310 0 0 {name=l15 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1620 -290 0 0 {name=l18 sig_type=std_logic lab=rstn}
+C {devices/ipin.sym} 1770 -180 0 0 {name=p14 lab=en_clkdiv
+}
+C {devices/ipin.sym} 970 -410 0 0 {name=p10 lab=en_ldo_ana
+}
+C {devices/ipin.sym} 970 -600 0 0 {name=p15 lab=en_ldo_dig
+}
+C {devices/ipin.sym} 1180 -240 0 0 {name=p18 lab=en_clk_int
+}
+C {devices/lab_wire.sym} 540 -140 0 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 540 -120 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/ipin.sym} 480 -60 0 0 {name=p19 lab=vbg_ext
+}
+C {devices/ipin.sym} 480 -80 0 0 {name=p22 lab=refsel
+}
+C {support/spdt.sym} 500 -30 0 0 {name=xsw
+}
+C {xschem/symbols/devices/opin.sym} 960 -230 0 0 {name=p1 lab=ibp[3:0]
+}
+C {xschem/symbols/devices/opin.sym} 960 -210 0 0 {name=p2 lab=ibn[1:0]
+}
+C {xschem/symbols/devices/iopin.sym} 90 -630 2 0 {name=p20 lab=vdd
+}
+C {xschem/symbols/devices/iopin.sym} 90 -550 2 0 {name=p21 lab=vss
+}
+C {devices/lab_wire.sym} 190 -350 0 1 {name=l20 sig_type=std_logic lab=ibpbas
+}
+C {devices/lab_wire.sym} 220 -320 2 0 {name=l21 sig_type=std_logic lab=ibnbas
+}
+C {devices/lab_wire.sym} 430 -220 0 1 {name=l22 sig_type=std_logic lab=vbg_int
+}
+C {devices/lab_wire.sym} 1460 -260 0 1 {name=l29 sig_type=std_logic lab=clkint
+}
+C {devices/lab_wire.sym} 120 -550 0 0 {name=l31 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 220 -520 0 1 {name=l30 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 220 -580 0 1 {name=l34 sig_type=std_logic lab=dvss
+}
+C {devices/ipin.sym} 90 -670 0 0 {name=p23 lab=rstn
+}
+C {xschem/symbols/devices/opin.sym} 1890 -250 0 0 {name=p7 lab=clksys
+}
+C {xschem/symbols/devices/iopin.sym} 250 -580 0 0 {name=p3 lab=dvss
+}
+C {xschem/symbols/devices/iopin.sym} 250 -520 0 0 {name=p4 lab=avss
+}
+C {xschem/symbols/devices/iopin.sym} 1470 -690 0 0 {name=p8 lab=dvdd
+}
+C {xschem/symbols/devices/iopin.sym} 1470 -500 0 0 {name=p9 lab=avdd
+}
+C {devices/lab_wire.sym} 370 -840 0 0 {name=l32 sig_type=std_logic lab=vbg,vss,dvdd,vb[4],vb[3],vb[2],avdd
+}
+C {devices/lab_wire.sym} 680 -80 0 1 {name=l33 sig_type=std_logic lab=vbg
+}
+C {devices/ipin.sym} 140 -210 0 0 {name=p12 lab=bgtrim[15:0]
+}
+C {devices/lab_wire.sym} 440 -890 3 1 {name=l36 sig_type=std_logic lab=ibp[0]}
+C {testbuffer/testbuffer.sym} 500 -840 0 0 {name=xtb
+}
+C {devices/lab_wire.sym} 470 -890 3 1 {name=l37 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 410 -890 3 1 {name=l38 sig_type=std_logic lab=vdd}
+C {devices/opin.sym} 550 -840 0 0 {name=p13 lab=tbout
+}
+C {devices/ipin.sym} 340 -800 0 0 {name=p16 lab=tbctl[2:0]
+}
+C {xschem/symbols/sky130_primitives/res_generic_m4} 190 -520 1 0 {name=R3
+W=9.6
+L=4
+model=res_generic_m4
+mult=1
+}
+C {xschem/symbols/sky130_primitives/res_generic_m4} 190 -580 1 1 {name=R1
+W=9.6
+L=4
+model=res_generic_m4
+mult=1
+}
diff --git a/xschem/sub/main/main.sym b/xschem/sub/main/main.sym
new file mode 100644
index 0000000..55b60a6
--- /dev/null
+++ b/xschem/sub/main/main.sym
@@ -0,0 +1,78 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 -200 130 -200 {}
+L 4 -130 180 130 180 {}
+L 4 -150 -180 -130 -180 {}
+L 4 -150 -160 -130 -160 {}
+L 4 -150 -130 -130 -130 {}
+L 4 -150 -110 -130 -110 {}
+L 4 -150 -90 -130 -90 {}
+L 4 -150 -50 -130 -50 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 -10 -130 -10 {}
+L 4 -150 10 -130 10 {}
+L 4 -150 80 -130 80 {}
+L 4 -150 100 -130 100 {}
+L 4 130 -180 150 -180 {}
+L 4 130 -130 150 -130 {}
+L 4 130 -80 150 -80 {}
+L 4 130 -40 150 -40 {}
+L 4 130 -20 150 -20 {}
+L 4 130 -160 150 -160 {}
+L 4 130 -110 150 -110 {}
+L 4 130 50 150 50 {}
+L 4 -150 140 -130 140 {}
+L 4 -150 50 -130 50 {}
+B 5 147.5 -132.5 152.5 -127.5 {name=dvdd dir=inout }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=en_ldo_dig dir=in }
+B 5 147.5 -182.5 152.5 -177.5 {name=avdd dir=inout }
+B 5 -152.5 -132.5 -147.5 -127.5 {name=rstn dir=in }
+B 5 -152.5 -182.5 -147.5 -177.5 {name=vdd dir=inout }
+B 5 -152.5 -162.5 -147.5 -157.5 {name=vss dir=inout }
+B 5 -152.5 -52.5 -147.5 -47.5 {name=en_ldo_ana dir=in }
+B 5 147.5 -82.5 152.5 -77.5 {name=clksys dir=out }
+B 5 -152.5 -12.5 -147.5 -7.5 {name=en_clk_int dir=in }
+B 5 -152.5 -112.5 -147.5 -107.5 {name=clkext dir=in }
+B 5 147.5 -42.5 152.5 -37.5 {name=ibp[3:0] dir=out }
+B 5 147.5 -22.5 152.5 -17.5 {name=ibn[1:0] dir=out }
+B 5 -152.5 7.5 -147.5 12.5 {name=en_clkdiv dir=in }
+B 5 -152.5 77.5 -147.5 82.5 {name=clksel dir=in }
+B 5 -152.5 97.5 -147.5 102.5 {name=refsel dir=in }
+B 5 -152.5 -92.5 -147.5 -87.5 {name=vbg_ext dir=in }
+B 5 147.5 -162.5 152.5 -157.5 {name=avss dir=inout }
+B 5 147.5 -112.5 152.5 -107.5 {name=dvss dir=inout }
+B 5 147.5 47.5 152.5 52.5 {name=tbout dir=out }
+B 5 -152.5 137.5 -147.5 142.5 {name=bgtrim[15:0] dir=in }
+B 5 -152.5 47.5 -147.5 52.5 {name=tbctl[2:0] dir=in }
+P 4 2 -130 -200 -130 180 {}
+P 4 2 130 -200 130 180 {}
+T {@symname} -26 -176 0 0 0.3 0.3 {}
+T {@name} 103 -214 0 0 0.2 0.2 {}
+T {dvdd} 125 -134 0 1 0.2 0.2 {}
+T {en_ldo_dig} -125 -34 0 0 0.2 0.2 {}
+T {avdd} 125 -184 0 1 0.2 0.2 {}
+T {rstn} -125 -134 0 0 0.2 0.2 {}
+T {vdd} -125 -184 0 0 0.2 0.2 {}
+T {vss} -125 -164 0 0 0.2 0.2 {}
+T {en_ldo_ana} -125 -54 0 0 0.2 0.2 {}
+T {clksys} 125 -84 0 1 0.2 0.2 {}
+T {en_clk_int} -125 -14 0 0 0.2 0.2 {}
+T {clkext} -125 -114 0 0 0.2 0.2 {}
+T {ibp[3:0]} 125 -44 0 1 0.2 0.2 {}
+T {ibn[1:0]} 125 -24 0 1 0.2 0.2 {}
+T {en_clkdiv} -125 6 0 0 0.2 0.2 {}
+T {clksel} -125 76 0 0 0.2 0.2 {}
+T {refsel} -125 96 0 0 0.2 0.2 {}
+T {vbg_ext} -125 -94 0 0 0.2 0.2 {}
+T {dvss} 125 -114 0 1 0.2 0.2 {}
+T {avss} 125 -164 0 1 0.2 0.2 {}
+T {tbout} 125 46 0 1 0.2 0.2 {}
+T {bgtrim[15:0]} -125 136 0 0 0.2 0.2 {}
+T {tbctl[2:0]} -125 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/opamp/se_folded_cascode_np_ab.pex.spice b/xschem/sub/opamp/se_folded_cascode_np_ab.pex.spice
new file mode 100644
index 0000000..fa89002
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_np_ab.pex.spice
@@ -0,0 +1,447 @@
+* NGSPICE file created from opamp.ext - technology: sky130A
+
+
+* Top level circuit opamp
+
+.subckt se_folded_cascode_np_ab vdd out inp inn vss bias
+X0 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=4.814e+13p pd=4.074e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X1 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=3.712e+13p pd=3.2676e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X2 diffa diffa diffa vss sky130_fd_pr__nfet_01v8_lvt ad=1.044e+13p pd=8.476e+07u as=0p ps=0u w=2e+06u l=1e+06u
+X3 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X4 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.856e+13p pd=1.4076e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X5 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=6.38e+12p pd=5.676e+07u as=4.06e+12p ps=3.612e+07u w=1e+06u l=4e+06u
+X6 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X7 diffa diffa diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X9 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X10 vss vbn1 diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X11 diffb inn outb1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X12 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X13 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.624e+13p ps=1.352e+08u w=1e+06u l=1e+06u
+X14 diffb inp outb1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X15 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X16 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X17 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X18 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X19 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X20 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X21 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X22 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=6.38e+12p ps=5.676e+07u w=1e+06u l=4e+06u
+X23 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X26 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X28 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X29 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X30 diffa inn outa1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X31 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X32 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X33 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.624e+13p pd=1.352e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X34 diffa inp outa1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X35 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X36 diffa inp outa1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X37 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X38 vbp1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X39 vdd outs1 out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=3.48e+12p ps=2.574e+07u w=4e+06u l=4e+06u
+X40 vss vbn1 diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 diffa inn outa1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X42 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X43 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 outs1 outs1 outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X45 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X46 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X47 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X48 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X49 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X50 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X51 outb1n inn diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X52 out outs1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=3.48e+12p pd=2.574e+07u as=0p ps=0u w=4e+06u l=4e+06u
+X53 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X54 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X55 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X56 vbp2 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X57 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X58 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X59 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X60 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X61 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X62 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X63 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X64 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X65 vss outs1 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X66 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X67 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X68 vbp2 vbp2 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=4e+06u
+X69 out outs1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X70 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X71 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X72 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X73 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X74 outb1p inp diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X75 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X76 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X77 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X78 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X79 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X80 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X81 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X82 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X83 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X84 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X85 outs1 outs1 outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X86 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X87 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X88 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X89 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X90 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X91 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X92 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X93 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X95 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X96 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X97 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X98 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X99 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X100 diffa vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X101 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X102 outb1p inp diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X103 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X104 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X105 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X106 diffb inp outb1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X107 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 vbp1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X109 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X110 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X111 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X112 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X113 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X114 vdd vbp1 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X115 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X116 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X117 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X118 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X119 diffa diffa diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X120 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X121 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X122 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X123 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X124 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X125 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X126 diffa diffa diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X127 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X128 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X129 vss vbn1 vbp2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X130 diffb diffb diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X131 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X132 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X133 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X134 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X135 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X136 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X137 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X138 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X139 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X140 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X141 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X142 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X143 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X144 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X145 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X146 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X147 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X148 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X149 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X150 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X151 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X153 diffb inn outb1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X154 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X155 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X156 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X157 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X159 mirr mirr mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X160 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X161 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X162 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X163 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X164 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X165 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X166 diffb inn outb1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X167 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X168 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X169 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X170 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X171 outs1 outs1 outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X172 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X173 diffb diffb diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X174 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X175 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X176 vss vbn1 diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X177 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X178 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X179 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X180 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X181 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X182 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X183 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X184 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X185 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X186 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X187 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X188 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X189 diffa inn outa1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X190 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X191 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X192 diffa inp outa1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X193 outa1p inp diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X194 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X195 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X196 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X197 bias bias vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=9.16e+06u as=2.9e+12p ps=2.406e+07u w=2e+06u l=4e+06u
+X198 outa1n inn diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X199 vdd vbp1 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X200 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X201 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X202 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X203 vss outs1 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X204 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X205 outb1n inn diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X206 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X207 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X208 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 vbp1 vbp2 vbp2 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X210 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X211 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X212 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X213 out outs1 sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X214 outb1n inn diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X215 diffa vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X216 outs1 outs1 outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X217 vbp2 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X218 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X219 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X220 outa1n inn diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X221 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X222 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X223 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X224 mirr mirr mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X225 outa1p inp diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X226 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X227 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X228 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X229 mirr mirr mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X230 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X231 outa1n inn diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X232 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X233 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X234 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X235 vss vbn1 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X236 outa1p inp diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X237 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X238 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X239 vss vbn1 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X240 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X241 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X242 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X243 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X244 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X246 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X247 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X248 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X249 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X250 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X251 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X252 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X253 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X254 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X255 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X256 diffb diffb diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X257 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X258 diffa vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X259 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X260 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X261 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X262 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X263 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X264 outs1 outs1 outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X265 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X266 diffb inp outb1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X267 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X268 vss vbn1 diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X269 out outs1 sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X270 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X271 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X272 diffa inp outa1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X273 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X274 out outs1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X275 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X276 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X277 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X278 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X279 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X280 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X281 diffa inn outa1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X282 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X283 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X284 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X285 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X286 diffb inp outb1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X287 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X288 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X289 vbp1 vbp2 vbp2 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X290 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X292 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X293 diffb diffb diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X294 outa1p mirr outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X295 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X296 outs1 outs1 outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X297 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X298 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X299 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X300 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X301 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X302 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X303 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X304 mirr mirr outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X305 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X306 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X307 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X308 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X309 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X310 mirr mirr mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X311 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X312 outb1n bias mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X313 outb1p inp diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X314 outa1p outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X315 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X316 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X317 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X318 outa1n outa1n vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X319 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X320 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X321 bias bias vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X322 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 vbn1 bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X324 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X325 vbn1 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X326 vdd outa1n outa1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X327 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X328 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X329 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X330 vbn1 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X331 outa1p inp diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X332 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X333 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X334 out outs1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X335 outb1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X336 outa1n inn diffa vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X337 vdd outa1n outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X338 vdd outs1 out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X339 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X340 diffb vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X341 outb1p inp diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X342 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X343 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X344 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X345 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X346 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X347 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X348 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 vss vbn1 outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X350 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X351 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X352 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X353 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X354 vss vbn1 vbp2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X355 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X356 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X357 outb1n inn diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X358 mirr bias outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X359 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X360 vss vbn1 outb1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X361 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X362 vss vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X363 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X364 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X365 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X367 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X368 outs1 mirr outa1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X369 diffb inn outb1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X370 vbp2 vbp2 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X371 outs1 bias outb1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X372 outs1 outs1 outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X373 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X374 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X375 outb1p bias outs1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X376 vdd vbp1 diffb vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X377 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X378 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X379 diffa vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X380 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X381 outb1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X382 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X383 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X384 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X385 outa1n mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X386 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X387 outs1 outs1 outs1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X388 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X389 vbn1 bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+C0 diffa outb1n 3.67fF
+C1 vdd vbp2 3.25fF
+C2 outb1p outb1n 68.20fF
+C3 diffa vbp2 12.59fF
+C4 outs1 mirr 15.66fF
+C5 vdd diffb 21.05fF
+C6 vdd outs1 9.46fF
+C7 outb1p diffb 8.44fF
+C8 vbp2 vbn1 10.47fF
+C9 outs1 outa1n 10.30fF
+C10 outs1 outb1p 29.78fF
+C11 out outs1 127.89fF
+C12 vdd mirr 5.07fF
+C13 outa1n mirr 30.10fF
+C14 outb1p mirr 9.22fF
+C15 vdd outa1n 6.70fF
+C16 diffa outa1n 3.57fF
+C17 diffa outb1p 9.69fF
+C18 out vdd 3.73fF
+C19 bias outb1n 6.95fF
+C20 inn inp 12.19fF
+C21 outs1 outa1p 32.36fF
+C22 diffa vbn1 9.06fF
+C23 outb1p vbn1 3.35fF
+C24 outa1p mirr 9.87fF
+C25 vdd outa1p 12.25fF
+C26 diffa outa1p 6.88fF
+C27 outa1p outa1n 43.84fF
+C28 vdd vbp1 5.20fF
+C29 bias outb1p 2.57fF
+C30 diffb outb1n 4.88fF
+C31 outs1 outb1n 9.42fF
+C32 outb1n mirr 28.47fF
+C33 outa1n vss 35.81fF
+C34 outa1p vss 31.16fF
+C35 vbn1 vss 68.84fF
+C36 diffa vss 37.22fF
+C37 bias vss 50.22fF
+C38 outb1n vss 52.59fF
+C39 outb1p vss 51.30fF
+C40 inn vss 10.37fF
+C41 inp vss 11.44fF
+C42 out vss 18.67fF
+C43 vbp2 vss 28.65fF
+C44 diffb vss 10.52fF
+C45 vbp1 vss 9.92fF
+C46 mirr vss 75.06fF
+C47 outs1 vss 87.86fF
+C48 vdd vss 399.67fF
+.ends
+
diff --git a/xschem/sub/opamp/se_folded_cascode_np_ab.sch b/xschem/sub/opamp/se_folded_cascode_np_ab.sch
new file mode 100644
index 0000000..86972b1
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_np_ab.sch
@@ -0,0 +1,784 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 1810 -650 1810 140 2660 140 2660 -650 1810 -650 {}
+T {Dummies} 2540 100 0 0 0.4 0.4 {}
+N 1000 -500 1030 -500 { lab=vdd}
+N 1290 -500 1320 -500 { lab=vdd}
+N 660 -280 690 -280 { lab=vss}
+N 270 -280 300 -280 { lab=vss}
+N 1030 -560 1030 -530 { lab=vdd}
+N 270 -250 270 -230 { lab=diffa}
+N 480 70 510 70 { lab=vss}
+N -490 70 -460 70 { lab=vss}
+N -50 130 -20 130 { lab=vss}
+N -420 -120 -350 -120 { lab=bias}
+N -490 -120 -460 -120 { lab=vss}
+N -420 70 -350 70 { lab=vbn1}
+N 440 70 450 70 { lab=vbn1}
+N -20 130 230 130 { lab=vss}
+N 230 130 480 130 { lab=vss}
+N -460 130 -350 130 { lab=vss}
+N -150 -500 -120 -500 { lab=vdd}
+N 1290 -310 1320 -310 { lab=vdd}
+N 1000 -310 1030 -310 { lab=vdd}
+N 1070 -310 1250 -310 { lab=mirr}
+N 1000 -120 1030 -120 { lab=vss}
+N 1290 -120 1320 -120 { lab=vss}
+N 1290 -220 1460 -220 { lab=outs1}
+N 1000 70 1030 70 { lab=vss}
+N 1290 70 1320 70 { lab=vss}
+N -350 130 -50 130 { lab=vss}
+N -590 130 -460 130 { lab=vss}
+N -350 70 -160 70 { lab=vbn1}
+N -120 70 -90 70 { lab=vss}
+N 1070 -500 1250 -500 { lab=outa1n}
+N 1290 -560 1290 -530 { lab=vdd}
+N 1030 -560 1290 -560 { lab=vdd}
+N -80 -500 -10 -500 { lab=vbp1}
+N -120 -560 1030 -560 { lab=vdd}
+N -140 -560 -120 -560 { lab=vdd}
+N -460 -190 -460 -150 { lab=bias}
+N -460 10 -350 10 { lab=vbn1}
+N -350 10 -350 70 { lab=vbn1}
+N -350 -190 -350 -120 { lab=bias}
+N -460 -190 -350 -190 { lab=bias}
+N 390 70 440 70 { lab=vbn1}
+N -150 -330 -120 -330 { lab=vdd}
+N -80 -330 -10 -330 { lab=vbp2}
+N -460 -280 -460 -230 { lab=bias}
+N -460 -230 -460 -190 { lab=bias}
+N 270 -420 270 -310 { lab=outa1n}
+N 690 -390 690 -310 { lab=outa1p}
+N -10 -330 -10 -260 { lab=vbp2}
+N -460 -90 -460 40 { lab=vbn1}
+N -10 -500 -10 -430 { lab=vbp1}
+N -120 -560 -120 -530 { lab=vdd}
+N -120 -300 -120 40 { lab=vbp2}
+N -120 -260 -10 -260 { lab=vbp2}
+N -120 -470 -120 -360 { lab=vbp1}
+N -120 -430 -10 -430 { lab=vbp1}
+N 170 -210 210 -210 { lab=inn}
+N 210 -150 230 -150 { lab=inn}
+N -460 100 -460 130 { lab=vss}
+N -120 100 -120 130 { lab=vss}
+N 270 -150 300 -150 { lab=vdd}
+N 660 -150 690 -150 { lab=vdd}
+N 270 -200 270 -180 { lab=diffb}
+N 270 -200 350 -200 { lab=diffb}
+N 350 -200 410 -260 { lab=diffb}
+N 270 -230 350 -230 { lab=diffa}
+N 350 -230 410 -170 { lab=diffa}
+N 690 -250 690 -230 { lab=diffa}
+N 690 -200 690 -180 { lab=diffb}
+N 610 -200 690 -200 { lab=diffb}
+N 550 -260 610 -200 { lab=diffb}
+N 610 -230 690 -230 { lab=diffa}
+N 550 -170 610 -230 { lab=diffa}
+N 410 -260 550 -260 { lab=diffb}
+N 410 -170 550 -170 { lab=diffa}
+N 480 -560 480 -530 { lab=vdd}
+N 210 -280 230 -280 { lab=inn}
+N 210 -280 210 -150 { lab=inn}
+N 750 -210 790 -210 { lab=inp}
+N 730 -150 750 -150 { lab=inp}
+N 730 -280 750 -280 { lab=inp}
+N 750 -280 750 -150 { lab=inp}
+N 270 -420 1030 -420 { lab=outa1n}
+N 690 -390 1290 -390 { lab=outa1p}
+N 1030 -470 1030 -340 { lab=outa1n}
+N 1290 -470 1290 -340 { lab=outa1p}
+N 480 -470 480 -260 { lab=diffb}
+N 480 -170 480 40 { lab=diffa}
+N 480 100 480 130 { lab=vss}
+N 270 -120 270 -10 { lab=outb1n}
+N 690 -120 690 -40 { lab=outb1p}
+N 270 -10 1030 -10 { lab=outb1n}
+N 690 -40 1290 -40 { lab=outb1p}
+N 1030 100 1030 130 { lab=vss}
+N 1070 70 1250 70 { lab=vbn1}
+N 1070 -120 1250 -120 { lab=bias}
+N 1290 100 1290 130 { lab=vss}
+N 1030 -90 1030 40 { lab=outb1n}
+N 1290 -90 1290 40 { lab=outb1p}
+N 1030 -280 1030 -150 { lab=mirr}
+N 1290 -280 1290 -150 { lab=outs1}
+N 480 130 1290 130 { lab=vss}
+N 390 -500 440 -500 { lab=vbp1}
+N 480 -500 510 -500 { lab=vdd}
+N 1630 -420 1660 -420 { lab=vdd}
+N 1630 10 1660 10 { lab=vss}
+N 1470 10 1590 10 { lab=outs1}
+N 1470 -220 1470 10 { lab=outs1}
+N 1470 -420 1470 -220 { lab=outs1}
+N 1470 -420 1590 -420 { lab=outs1}
+N 1630 -220 1630 -20 { lab=out}
+N 1630 -390 1630 -220 { lab=out}
+N 1630 -220 1670 -220 { lab=out}
+N 1460 -220 1470 -220 { lab=outs1}
+N 1470 -220 1520 -220 { lab=outs1}
+N 1580 -220 1630 -220 { lab=out}
+N 1290 -560 1630 -560 { lab=vdd}
+N 1630 -560 1630 -450 { lab=vdd}
+N 1290 130 1630 130 { lab=vss}
+N 1630 40 1630 130 { lab=vss}
+N 1030 -420 1160 -420 { lab=outa1n}
+N 1160 -500 1160 -420 { lab=outa1n}
+N 1030 -230 1160 -230 { lab=mirr}
+N 1160 -310 1160 -230 { lab=mirr}
+N 1960 -150 1990 -150 { lab=vss}
+N 1960 -210 1960 -180 { lab=outs1}
+N 1910 -210 1960 -210 { lab=outs1}
+N 1910 -210 1910 -150 { lab=outs1}
+N 1910 -150 1920 -150 { lab=outs1}
+N 1910 -150 1910 -80 { lab=outs1}
+N 1910 -80 1950 -80 { lab=outs1}
+N 1950 -80 1960 -80 { lab=outs1}
+N 1960 -130 1960 -80 { lab=outs1}
+N 2140 -150 2170 -150 { lab=vss}
+N 2140 -210 2140 -180 { lab=mirr}
+N 2090 -210 2140 -210 { lab=mirr}
+N 2090 -210 2090 -150 { lab=mirr}
+N 2090 -150 2100 -150 { lab=mirr}
+N 2090 -150 2090 -80 { lab=mirr}
+N 2090 -80 2130 -80 { lab=mirr}
+N 2130 -80 2140 -80 { lab=mirr}
+N 2140 -130 2140 -80 { lab=mirr}
+N 2320 -150 2350 -150 { lab=vss}
+N 2320 -210 2320 -180 { lab=vss}
+N 2270 -210 2320 -210 { lab=vss}
+N 2270 -210 2270 -150 { lab=vss}
+N 2270 -150 2280 -150 { lab=vss}
+N 2270 -150 2270 -80 { lab=vss}
+N 2270 -80 2310 -80 { lab=vss}
+N 2310 -80 2320 -80 { lab=vss}
+N 2320 -130 2320 -80 { lab=vss}
+N 2350 -210 2350 -150 { lab=vss}
+N 2320 -210 2350 -210 { lab=vss}
+N 1960 -540 1990 -540 { lab=vdd}
+N 1990 -590 1990 -540 { lab=vdd}
+N 1960 -590 1990 -590 { lab=vdd}
+N 1960 -590 1960 -570 { lab=vdd}
+N 1910 -590 1960 -590 { lab=vdd}
+N 1910 -590 1910 -540 { lab=vdd}
+N 1910 -540 1920 -540 { lab=vdd}
+N 1960 -510 1960 -480 { lab=vdd}
+N 1910 -480 1960 -480 { lab=vdd}
+N 1910 -540 1910 -480 { lab=vdd}
+N 1970 -350 2000 -350 { lab=vdd}
+N 1970 -410 1970 -380 { lab=mirr}
+N 1910 -410 1970 -410 { lab=mirr}
+N 1910 -410 1910 -350 { lab=mirr}
+N 1910 -350 1930 -350 { lab=mirr}
+N 1910 -350 1910 -300 { lab=mirr}
+N 1910 -300 1960 -300 { lab=mirr}
+N 1960 -300 1970 -300 { lab=mirr}
+N 1970 -320 1970 -300 { lab=mirr}
+N 2150 -350 2180 -350 { lab=vdd}
+N 2150 -410 2150 -380 { lab=outs1}
+N 2090 -410 2150 -410 { lab=outs1}
+N 2090 -410 2090 -350 { lab=outs1}
+N 2090 -350 2110 -350 { lab=outs1}
+N 2090 -350 2090 -300 { lab=outs1}
+N 2090 -300 2140 -300 { lab=outs1}
+N 2140 -300 2150 -300 { lab=outs1}
+N 2150 -320 2150 -300 { lab=outs1}
+N 2300 -360 2330 -360 { lab=vss}
+N 2330 -420 2330 -390 { lab=diffa}
+N 2270 -420 2330 -420 { lab=diffa}
+N 2270 -420 2270 -300 { lab=diffa}
+N 2270 -300 2330 -300 { lab=diffa}
+N 2330 -330 2330 -300 { lab=diffa}
+N 2560 -150 2590 -150 { lab=vdd}
+N 2480 -150 2520 -150 { lab=diffb}
+N 2560 -210 2560 -180 { lab=diffb}
+N 2480 -210 2560 -210 { lab=diffb}
+N 2480 -210 2480 -150 { lab=diffb}
+N 2560 -120 2560 -90 { lab=diffb}
+N 2480 -90 2560 -90 { lab=diffb}
+N 2480 -150 2480 -90 { lab=diffb}
+N 1980 40 2010 40 { lab=vss}
+N 1980 -20 1980 10 { lab=vss}
+N 1910 -20 1980 -20 { lab=vss}
+N 1910 -20 1910 40 { lab=vss}
+N 1910 40 1940 40 { lab=vss}
+N 2010 -20 2010 40 { lab=vss}
+N 1980 -20 2010 -20 { lab=vss}
+N 1910 40 1910 100 { lab=vss}
+N 1910 100 1980 100 { lab=vss}
+N 1980 70 1980 100 { lab=vss}
+N 2290 40 2320 40 { lab=vss}
+N 2290 -20 2290 10 { lab=vss}
+N 2220 40 2250 40 { lab=vbn1}
+N 2320 -20 2320 40 { lab=vss}
+N 2290 -20 2320 -20 { lab=vss}
+N 2290 70 2290 100 { lab=vss}
+N 2290 100 2320 100 { lab=vss}
+N 2320 40 2320 100 { lab=vss}
+N 2160 -530 2190 -530 { lab=vdd}
+N 2160 -590 2160 -560 { lab=vdd}
+N 2090 -590 2160 -590 { lab=vdd}
+N 2090 -590 2090 -530 { lab=vdd}
+N 2090 -530 2120 -530 { lab=vdd}
+N 2090 -530 2090 -480 { lab=vdd}
+N 2090 -480 2160 -480 { lab=vdd}
+N 2160 -500 2160 -480 { lab=vdd}
+N 2190 -590 2190 -530 { lab=vdd}
+N 2160 -590 2190 -590 { lab=vdd}
+N 2340 -530 2370 -530 { lab=vdd}
+N 2340 -590 2340 -560 { lab=vdd}
+N 2270 -530 2300 -530 { lab=vdd}
+N 2270 -590 2270 -530 { lab=vdd}
+N 2370 -590 2370 -530 { lab=vdd}
+N 2340 -590 2370 -590 { lab=vdd}
+N 2340 -500 2340 -480 { lab=vdd}
+N 2280 -480 2340 -480 { lab=vdd}
+N 2270 -480 2280 -480 { lab=vdd}
+N 2270 -530 2270 -480 { lab=vdd}
+N 2270 -590 2340 -590 { lab=vdd}
+N 2370 -360 2390 -360 { lab=diffa}
+N 2390 -420 2390 -360 { lab=diffa}
+N 2330 -420 2390 -420 { lab=diffa}
+C {devices/iopin.sym} -140 -560 2 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} -460 -280 3 0 {name=p2 lab=bias}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1050 -500 0 1 {name=M4
+L=1
+W=1
+nf=1
+mult=12
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1270 -500 0 0 {name=M5
+L=1
+W=1
+nf=1
+mult=12
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1030 -500 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1290 -500 0 1 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 690 -280 0 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 270 -280 0 1 {name=l9 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 460 70 0 0 {name=MIN1
+L=1
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/ipin.sym} 790 -210 2 0 {name=p7 lab=inp}
+C {devices/ipin.sym} 170 -210 2 1 {name=p8 lab=inn}
+C {devices/lab_wire.sym} 480 70 0 1 {name=l10 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} -440 70 0 1 {name=MB2
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} -460 70 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} -590 130 2 0 {name=p10 lab=vss}
+C {devices/lab_wire.sym} 480 -170 0 1 {name=l1 sig_type=std_logic lab=diffa}
+C {sky130_primitives/nfet_01v8_lvt.sym} -440 -120 0 1 {name=MB1
+L=4
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} -400 70 0 1 {name=l14 sig_type=std_logic lab=vbn1}
+C {devices/lab_wire.sym} -460 -120 0 0 {name=l15 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 250 -280 0 0 {name=MIN2
+L=1
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 710 -280 0 1 {name=MIN3
+L=1
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} -100 -500 0 1 {name=MB5
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} -120 -500 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1270 -310 0 0 {name=M7
+L=4
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1290 -310 0 1 {name=l20 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1050 -310 0 1 {name=M6
+L=4
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1030 -310 0 0 {name=l24 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1030 -120 0 0 {name=l26 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1050 -120 0 1 {name=M8
+L=4
+W=2
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1290 -120 0 1 {name=l27 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1270 -120 0 0 {name=M9
+L=4
+W=2
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1030 70 0 0 {name=l29 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1050 70 0 1 {name=M10
+L=1
+W=1
+nf=1
+mult=24
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1290 70 0 1 {name=l30 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1270 70 0 0 {name=M11
+L=1
+W=1
+nf=1
+mult=24
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1140 70 0 1 {name=l31 sig_type=std_logic lab=vbn1
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} -140 70 0 0 {name=MB3
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} -120 70 0 1 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 740 -390 0 1 {name=l33 sig_type=std_logic lab=outa1p
+}
+C {devices/lab_wire.sym} 740 -420 0 1 {name=l34 sig_type=std_logic lab=outa1n
+}
+C {devices/lab_wire.sym} -80 -500 0 1 {name=l17 sig_type=std_logic lab=vbp1}
+C {devices/lab_wire.sym} 440 70 0 0 {name=l5 sig_type=std_logic lab=vbn1}
+C {sky130_primitives/pfet_01v8_lvt.sym} -100 -330 0 1 {name=MB4
+L=4
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} -120 -330 0 0 {name=l12 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} -80 -330 0 1 {name=l18 sig_type=std_logic lab=vbp2}
+C {sky130_primitives/pfet_01v8_lvt.sym} 250 -150 0 0 {name=MIP2
+L=1
+W=4
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 710 -150 0 1 {name=MIP3
+L=1
+W=4
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 270 -150 0 1 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 690 -150 0 0 {name=l16 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 480 -260 0 0 {name=l23 sig_type=std_logic lab=diffb
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 460 -500 0 0 {name=MIP1
+L=1
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 440 -500 0 0 {name=l11 sig_type=std_logic lab=vbp1}
+C {devices/lab_wire.sym} 740 -40 0 1 {name=l19 sig_type=std_logic lab=outb1p
+}
+C {devices/lab_wire.sym} 740 -10 0 1 {name=l25 sig_type=std_logic lab=outb1n
+}
+C {devices/lab_wire.sym} 480 -500 0 1 {name=l28 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1160 -310 0 1 {name=l32 sig_type=std_logic lab=mirr
+}
+C {devices/opin.sym} 1670 -220 0 0 {name=p1 lab=out}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1610 10 0 0 {name=MON
+L=4
+W=4
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1610 -420 0 0 {name=MOP
+L=4
+W=4
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1630 -420 0 1 {name=l35 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1630 10 0 1 {name=l36 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1360 -220 0 1 {name=l37 sig_type=std_logic lab=outs1
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 1550 -220 1 0 {name=C1[1:0] model=cap_mim_m3_1 W=25 L=25 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1140 -120 0 1 {name=l3 sig_type=std_logic lab=bias
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1940 -150 0 0 {name=MDUM6[3:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1960 -150 0 1 {name=l22 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1910 -210 0 1 {name=l38 sig_type=std_logic lab=outs1
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2120 -150 0 0 {name=MDUM7[3:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2140 -150 0 1 {name=l39 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 2090 -210 0 1 {name=l40 sig_type=std_logic lab=mirr
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2300 -150 0 0 {name=MDUM8[19:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2270 -210 0 1 {name=l41 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1940 -540 0 0 {name=MDUM3[79:0]
+L=4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1910 -590 0 1 {name=l42 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1950 -350 0 0 {name=MDUM4[3:0]
+L=4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1970 -350 0 1 {name=l43 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1910 -410 0 1 {name=l44 sig_type=std_logic lab=mirr
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2130 -350 0 0 {name=MDUM5[3:0]
+L=4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2150 -350 0 1 {name=l45 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2090 -410 0 1 {name=l46 sig_type=std_logic lab=outs1
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2350 -360 0 1 {name=MDUM11
+L=1
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2270 -420 0 1 {name=l47 sig_type=std_logic lab=diffa}
+C {devices/lab_wire.sym} 2330 -360 0 0 {name=l48 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2540 -150 0 0 {name=MDUM12
+L=1
+W=4
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2560 -150 0 1 {name=l49 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2480 -210 0 1 {name=l50 sig_type=std_logic lab=diffb
+}
+C {devices/lab_wire.sym} 1910 -20 0 1 {name=l51 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1960 40 0 0 {name=MDUM9[35:0]
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2290 -20 0 1 {name=l52 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2270 40 0 0 {name=MDUM10[7:0]
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2220 40 0 1 {name=l53 sig_type=std_logic lab=vbn1
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2140 -530 0 0 {name=MDUM1[27:0]
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2090 -590 0 1 {name=l54 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2320 -530 0 0 {name=MDUM2[31:0]
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2270 -590 0 1 {name=l55 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/opamp/se_folded_cascode_np_ab.sym b/xschem/sub/opamp/se_folded_cascode_np_ab.sym
new file mode 100644
index 0000000..b205a8e
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_np_ab.sym
@@ -0,0 +1,31 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+
+}
+V {}
+S {}
+E {}
+L 4 -50 0 -30 0 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 30 -130 30 {}
+L 4 -130 -50 -130 50 {}
+L 4 -130 50 -50 -0 {}
+L 4 -130 -50 -50 -0 {}
+L 4 -80 -40 -80 -20 {}
+L 4 -80 20 -80 40 {}
+L 4 -100 -50 -100 -30 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=vdd dir=inout }
+B 5 -32.5 -2.5 -27.5 2.5 {name=out dir=out }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=inp dir=in }
+B 5 -152.5 27.5 -147.5 32.5 {name=inn dir=in }
+B 5 -82.5 37.5 -77.5 42.5 {name=vss dir=inout }
+B 5 -102.5 -52.5 -97.5 -47.5 {name=bias dir=inout }
+T {@symname} -70.5 14 0 0 0.3 0.3 {}
+T {@name} -65 -32 0 0 0.2 0.2 {}
+T {out} -65 -4 0 1 0.2 0.2 {}
+T {inp} -125 -34 0 0 0.2 0.2 {}
+T {inn} -125 26 0 0 0.2 0.2 {}
+T {bias} -95 -24 1 0 0.2 0.2 {}
diff --git a/xschem/sub/opamp/se_folded_cascode_p.pex.spice b/xschem/sub/opamp/se_folded_cascode_p.pex.spice
new file mode 100644
index 0000000..283a69d
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_p.pex.spice
@@ -0,0 +1,339 @@
+* NGSPICE file created from se_folded_cascode_p.ext - technology: sky130A
+
+
+* Top level circuit se_folded_cascode_p
+
+.subckt se_folded_cascode_p vdd out inp inn vss bias 
+X0 nd10 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=9.28e+12p pd=7.328e+07u as=6.032e+13p ps=4.914e+08u w=2e+06u l=4e+06u
+X1 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=3.016e+13p pd=2.6832e+08u as=0p ps=0u w=1e+06u l=4e+06u
+X2 vbn2 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X3 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X4 nd10 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X5 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X6 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X7 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 vbp1 bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.432e+07u as=6.96e+12p ps=5.496e+07u w=2e+06u l=4e+06u
+X10 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X11 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X12 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X13 vbp1 bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X14 vbn2 vbn2 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+12p pd=2.58e+07u as=1.74e+12p ps=1.548e+07u w=1e+06u l=4e+06u
+X15 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X16 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X17 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X18 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X19 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X20 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X21 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X22 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X23 mirr bias nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=6.96e+12p pd=5.496e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 out1n vbn2 mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.16e+12p ps=1.032e+07u w=1e+06u l=4e+06u
+X26 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X28 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X29 out bias nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=2.32e+12p pd=1.832e+07u as=9.28e+12p ps=7.328e+07u w=2e+06u l=4e+06u
+X30 out vbn2 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=4e+06u
+X31 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X32 out1n inp diff vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=1.827e+13p ps=1.3818e+08u w=4e+06u l=1e+06u
+X33 out1n inp diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X34 out vbn2 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X35 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X36 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X37 out1p vbn2 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X38 diff inp out1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X39 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X40 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X41 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X42 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X43 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X44 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X45 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X46 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X47 out1n vbn2 mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X48 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X49 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X50 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X51 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X52 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X53 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X54 vdd vbp1 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X55 diff diff diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X56 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X57 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X58 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X59 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X60 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X61 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X62 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X63 vbn2 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X64 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X65 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X66 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X67 mirr mirr mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X68 out1p inn diff vdd sky130_fd_pr__pfet_01v8_lvt ad=4.64e+12p pd=3.432e+07u as=0p ps=0u w=4e+06u l=1e+06u
+X69 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X70 vbp1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X71 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X72 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X73 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 diff inn out1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X75 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X76 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X77 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X78 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X79 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X80 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X81 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X82 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X83 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X84 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X85 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X86 bias bias bias vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X87 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X88 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X89 vbn2 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X90 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X91 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X92 diff inn out1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X93 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X94 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X95 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X96 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X97 vdd vbp1 vbn2 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X98 out bias nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X99 mirr bias nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X100 nd11 bias out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X101 mirr vbn2 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X102 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X103 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X104 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X105 nd10 bias mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X106 out1n vbn2 mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X107 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X109 vss vbn1 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X110 vdd mirr nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X111 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X112 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X113 vdd mirr nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X114 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X115 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X116 vbn1 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X117 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X118 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X119 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X120 mirr vbn2 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X121 diff diff diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X122 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X123 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X124 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X125 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X126 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X127 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X128 vdd mirr nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X129 out1p inn diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X130 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X131 vdd mirr nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X132 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X133 diff inn out1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X134 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X135 vss vbn1 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X136 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X137 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X138 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X139 out1n inp diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X140 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X141 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X142 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X143 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X144 vdd mirr nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X145 vdd mirr nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X146 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X147 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X148 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X149 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X150 vbn1 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X151 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X152 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X153 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X154 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X155 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X156 vbn2 vbn2 vbn1 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X157 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X158 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X159 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X160 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X161 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X162 out1n inp diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X163 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X164 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X165 diff inp out1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X166 nd10 bias mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X167 mirr vbn2 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X168 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X169 nd11 bias out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X170 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X171 out1p vbn2 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X172 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X173 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X174 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X175 out bias nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X176 vbn1 vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X177 vdd vbp1 vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X178 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X179 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X180 mirr bias nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X181 nd11 bias out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X182 mirr vbn2 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X183 diff inn out1p vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X184 nd10 bias mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X185 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X186 out1n vbn2 mirr vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X187 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X188 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X189 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X190 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X191 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X192 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X193 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X194 nd10 bias mirr vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X195 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X196 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X197 vbp1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X198 nd11 bias out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X199 out1p vbn2 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X200 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X201 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X202 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X203 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X204 nd10 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X205 nd10 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X206 vdd mirr nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X207 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X208 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X209 vdd mirr nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X210 vbn2 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X211 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X212 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X213 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X214 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X215 diff diff diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X216 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X217 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X218 nd11 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X219 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X220 nd11 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X221 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X222 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X223 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X224 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X225 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X226 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X227 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X228 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X229 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X230 out1p inn diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X231 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X232 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X233 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X234 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X235 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X236 vbn2 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X237 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X238 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X239 out1p inn diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X240 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X241 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X242 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X243 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X244 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X245 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X246 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X247 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X248 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X249 diff diff diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X250 out vbn2 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X251 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X252 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X253 out1p vbn2 out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X254 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X255 out1n vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X256 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X257 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X258 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X259 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X260 vdd vbp1 diff vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X261 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X262 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X263 bias bias vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X264 bias bias vbp1 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X265 mirr bias nd10 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X266 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X267 vbn1 vbn2 vbn2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X268 nd11 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X269 out bias nd11 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X270 out vbn2 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X271 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X272 nd11 mirr vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X273 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X274 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X275 diff vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X276 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X277 vdd vbp1 vbn2 vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X278 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X279 vss vbn1 out1n vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X280 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X281 diff inp out1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X282 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X283 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X284 vbn2 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X285 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X286 out1p vbn1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X287 vss vbn1 out1p vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X288 diff inp out1n vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X289 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X290 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+C0 diff vbp1 9.93fF
+C1 out1p out1n 42.20fF
+C2 vdd vbn2 3.94fF
+C3 mirr out1p 8.14fF
+C4 nd10 out 7.60fF
+C5 vbp1 bias 5.90fF
+C6 vbp1 vdd 15.76fF
+C7 inp inn 4.93fF
+C8 nd10 nd11 51.01fF
+C9 out nd11 4.65fF
+C10 vbn1 vbn2 8.04fF
+C11 nd10 vdd 24.26fF
+C12 out out1n 7.54fF
+C13 out vdd 2.62fF
+C14 diff out1n 5.05fF
+C15 diff vdd 23.24fF
+C16 mirr nd10 28.46fF
+C17 mirr out 48.51fF
+C18 nd11 vdd 10.30fF
+C19 mirr nd11 9.27fF
+C20 bias vdd 4.69fF
+C21 out out1p 4.61fF
+C22 diff out1p 8.48fF
+C23 mirr out1n 24.74fF
+C24 mirr vdd 6.97fF
+C25 vbn1 vss 16.17fF
+C26 out vss 30.58fF
+C27 out1n vss 40.15fF
+C28 out1p vss 32.28fF
+C29 inp vss 4.36fF
+C30 inn vss 4.71fF
+C31 bias vss 24.60fF
+C32 nd11 vss 26.27fF
+C33 nd10 vss 27.29fF
+C34 mirr vss 48.33fF
+C35 vbn2 vss 37.32fF
+C36 diff vss 13.61fF
+C37 vbp1 vss 13.73fF
+C38 vdd vss 511.78fF
+.ends
+
diff --git a/xschem/sub/opamp/se_folded_cascode_p.sch b/xschem/sub/opamp/se_folded_cascode_p.sch
new file mode 100644
index 0000000..20627d5
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_p.sch
@@ -0,0 +1,529 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -0 -0 -890 1680 -890 1680 0 0 -0 {}
+P 4 5 1750 0 1750 -890 2420 -890 2420 -0 1750 0 {}
+T {se_folded_cascode_p} 20 -870 0 0 0.4 0.4 {}
+T {Dummies} 1770 -880 0 0 0.4 0.4 {}
+N 990 -450 1010 -450 { lab=inn}
+N 670 -450 710 -450 { lab=inp}
+N 750 -450 780 -450 { lab=vdd}
+N 920 -450 950 -450 { lab=vdd}
+N 750 -520 750 -480 { lab=diff}
+N 750 -520 950 -520 { lab=diff}
+N 950 -520 950 -480 { lab=diff}
+N 850 -600 850 -520 { lab=diff}
+N 850 -630 880 -630 { lab=vdd}
+N 750 -420 750 -310 { lab=out1n}
+N 950 -420 950 -350 { lab=out1p}
+N 1240 -180 1420 -180 { lab=vbn1}
+N 950 -350 1460 -350 { lab=out1p}
+N 750 -310 1200 -310 { lab=out1n}
+N 1460 -180 1490 -180 { lab=vss}
+N 1170 -180 1200 -180 { lab=vss}
+N 1200 -150 1200 -90 { lab=vss}
+N 1200 -90 1460 -90 { lab=vss}
+N 1460 -150 1460 -90 { lab=vss}
+N 1240 -410 1420 -410 { lab=vbn2}
+N 1460 -410 1490 -410 { lab=vss}
+N 1170 -410 1200 -410 { lab=vss}
+N 1200 -500 1200 -440 { lab=mirr}
+N 1460 -500 1460 -440 { lab=out}
+N 1240 -530 1420 -530 { lab=bias}
+N 1240 -630 1420 -630 { lab=mirr}
+N 1200 -600 1200 -560 { lab=nd10}
+N 1460 -600 1460 -560 { lab=nd11}
+N 1330 -630 1330 -480 { lab=mirr}
+N 1200 -480 1330 -480 { lab=mirr}
+N 1460 -480 1570 -480 { lab=out}
+N 850 -710 1460 -710 { lab=vdd}
+N 1460 -710 1460 -660 { lab=vdd}
+N 1200 -710 1200 -660 { lab=vdd}
+N 1170 -630 1200 -630 { lab=vdd}
+N 1460 -630 1490 -630 { lab=vdd}
+N 1460 -530 1490 -530 { lab=vdd}
+N 1170 -530 1200 -530 { lab=vdd}
+N 760 -630 810 -630 { lab=vbp1}
+N 190 -420 190 -400 { lab=bias}
+N 230 -630 280 -630 { lab=vbp1}
+N 280 -630 280 -570 { lab=vbp1}
+N 190 -570 280 -570 { lab=vbp1}
+N 190 -600 190 -570 { lab=vbp1}
+N 160 -630 190 -630 { lab=vdd}
+N 230 -510 280 -510 { lab=bias}
+N 280 -510 280 -450 { lab=bias}
+N 190 -450 280 -450 { lab=bias}
+N 190 -480 190 -450 { lab=bias}
+N 160 -510 190 -510 { lab=vdd}
+N 190 -570 190 -540 { lab=vbp1}
+N 190 -450 190 -420 { lab=bias}
+N 130 -710 150 -710 { lab=vdd}
+N 150 -710 190 -710 { lab=vdd}
+N 190 -710 190 -660 { lab=vdd}
+N 420 -630 450 -630 { lab=vdd}
+N 420 -710 420 -660 { lab=vdd}
+N 190 -710 420 -710 { lab=vdd}
+N 280 -630 380 -630 { lab=vbp1}
+N 420 -600 420 -440 { lab=vbn2}
+N 330 -410 380 -410 { lab=vbn2}
+N 420 -410 450 -410 { lab=vss}
+N 330 -180 380 -180 { lab=vbn1}
+N 120 -90 420 -90 { lab=vss}
+N 420 -180 450 -180 { lab=vss}
+N 420 -90 1200 -90 { lab=vss}
+N 420 -710 850 -710 { lab=vdd}
+N 330 -470 330 -410 { lab=vbn2}
+N 330 -470 420 -470 { lab=vbn2}
+N 420 -150 420 -90 { lab=vss}
+N 420 -380 420 -210 { lab=vbn1}
+N 330 -250 330 -180 { lab=vbn1}
+N 330 -250 420 -250 { lab=vbn1}
+N 850 -670 850 -660 { lab=vdd}
+N 850 -710 850 -670 { lab=vdd}
+N 1200 -380 1200 -210 { lab=out1n}
+N 1460 -380 1460 -210 { lab=out1p}
+N 1910 -830 1910 -750 { lab=vdd}
+N 1910 -750 1950 -750 { lab=vdd}
+N 1990 -830 1990 -780 { lab=vdd}
+N 1990 -720 1990 -680 { lab=vdd}
+N 1910 -680 1990 -680 { lab=vdd}
+N 1910 -750 1910 -680 { lab=vdd}
+N 1910 -830 1990 -830 { lab=vdd}
+N 1990 -830 2070 -830 { lab=vdd}
+N 1990 -680 2070 -680 { lab=vdd}
+N 2070 -830 2070 -680 { lab=vdd}
+N 1990 -750 2070 -750 { lab=vdd}
+N 2160 -830 2160 -750 { lab=mirr}
+N 2160 -750 2200 -750 { lab=mirr}
+N 2240 -830 2240 -780 { lab=mirr}
+N 2240 -720 2240 -680 { lab=mirr}
+N 2160 -680 2240 -680 { lab=mirr}
+N 2160 -750 2160 -680 { lab=mirr}
+N 2160 -830 2240 -830 { lab=mirr}
+N 2240 -750 2270 -750 { lab=vdd}
+N 2160 -610 2160 -530 { lab=bias}
+N 2160 -530 2200 -530 { lab=bias}
+N 2240 -610 2240 -560 { lab=bias}
+N 2240 -500 2240 -460 { lab=bias}
+N 2160 -460 2240 -460 { lab=bias}
+N 2160 -530 2160 -460 { lab=bias}
+N 2160 -610 2240 -610 { lab=bias}
+N 2240 -530 2270 -530 { lab=vdd}
+N 1910 -400 1910 -320 { lab=vss}
+N 1910 -320 1950 -320 { lab=vss}
+N 1990 -400 1990 -350 { lab=vss}
+N 1990 -290 1990 -250 { lab=vss}
+N 1910 -250 1990 -250 { lab=vss}
+N 1910 -320 1910 -250 { lab=vss}
+N 1910 -400 1990 -400 { lab=vss}
+N 1990 -400 2070 -400 { lab=vss}
+N 1990 -250 2070 -250 { lab=vss}
+N 2070 -400 2070 -250 { lab=vss}
+N 1990 -320 2070 -320 { lab=vss}
+N 2160 -400 2160 -320 { lab=vbn2}
+N 2160 -320 2200 -320 { lab=vbn2}
+N 2240 -400 2240 -350 { lab=vbn2}
+N 2240 -290 2240 -250 { lab=vbn2}
+N 2160 -250 2240 -250 { lab=vbn2}
+N 2160 -320 2160 -250 { lab=vbn2}
+N 2160 -400 2240 -400 { lab=vbn2}
+N 2240 -320 2270 -320 { lab=vss}
+N 1910 -200 1910 -120 { lab=vss}
+N 1910 -120 1950 -120 { lab=vss}
+N 1990 -200 1990 -150 { lab=vss}
+N 1990 -90 1990 -50 { lab=vss}
+N 1910 -50 1990 -50 { lab=vss}
+N 1910 -120 1910 -50 { lab=vss}
+N 1910 -200 1990 -200 { lab=vss}
+N 1990 -200 2070 -200 { lab=vss}
+N 1990 -50 2070 -50 { lab=vss}
+N 2070 -200 2070 -50 { lab=vss}
+N 1990 -120 2070 -120 { lab=vss}
+N 2160 -200 2160 -120 { lab=diff}
+N 2160 -120 2200 -120 { lab=diff}
+N 2240 -200 2240 -150 { lab=diff}
+N 2240 -90 2240 -50 { lab=diff}
+N 2160 -50 2240 -50 { lab=diff}
+N 2160 -120 2160 -50 { lab=diff}
+N 2160 -200 2240 -200 { lab=diff}
+N 2240 -120 2270 -120 { lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 970 -450 0 1 {name=M3
+L=1
+W=4
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/ipin.sym} 1010 -450 2 0 {name=p3 lab=inn}
+C {devices/ipin.sym} 670 -450 0 0 {name=p4 lab=inp}
+C {sky130_primitives/pfet_01v8_lvt.sym} 730 -450 0 0 {name=M2
+L=1
+W=4
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 750 -450 0 1 {name=l16 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 950 -450 0 0 {name=l23 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 830 -630 0 0 {name=M1
+L=1
+W=1
+nf=1
+mult=14
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 850 -630 0 1 {name=l25 sig_type=std_logic lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1220 -180 0 1 {name=M4
+L=1
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1440 -180 0 0 {name=M5
+L=1
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1460 -180 0 1 {name=l28 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1200 -180 0 0 {name=l32 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1220 -410 0 1 {name=M6
+L=4
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1440 -410 0 0 {name=M7
+L=4
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1460 -410 0 1 {name=l35 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1200 -410 0 0 {name=l36 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1220 -530 0 1 {name=M8
+L=4
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1440 -530 0 0 {name=M9
+L=4
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1220 -630 0 1 {name=M10
+L=4
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1440 -630 0 0 {name=M11
+L=4
+W=2
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1000 -310 0 1 {name=l37 sig_type=std_logic lab=out1n}
+C {devices/lab_wire.sym} 1000 -350 0 1 {name=l38 sig_type=std_logic lab=out1p}
+C {devices/lab_wire.sym} 1280 -630 0 1 {name=l39 sig_type=std_logic lab=mirr
+}
+C {devices/lab_wire.sym} 1290 -530 0 1 {name=l40 sig_type=std_logic lab=bias
+}
+C {devices/lab_wire.sym} 1310 -410 0 1 {name=l41 sig_type=std_logic lab=vbn2}
+C {devices/lab_wire.sym} 1340 -180 0 1 {name=l42 sig_type=std_logic lab=vbn1}
+C {devices/opin.sym} 1570 -480 0 0 {name=p6 lab=out}
+C {devices/lab_wire.sym} 1200 -630 0 0 {name=l43 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1460 -630 0 1 {name=l44 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1460 -530 0 1 {name=l45 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1200 -530 0 0 {name=l46 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 810 -630 0 0 {name=l47 sig_type=std_logic lab=vbp1}
+C {devices/iopin.sym} 190 -400 1 0 {name=p7 lab=bias}
+C {sky130_primitives/pfet_01v8_lvt.sym} 210 -510 0 1 {name=MB1
+L=4
+W=2
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 190 -630 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 210 -630 0 1 {name=MB2
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 190 -510 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 230 -630 0 1 {name=l5 sig_type=std_logic lab=vbp1}
+C {devices/iopin.sym} 130 -710 2 0 {name=p8 lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 400 -630 0 0 {name=MB3
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 420 -630 0 1 {name=l8 sig_type=std_logic lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 400 -410 0 0 {name=MB4
+L=4
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 380 -410 0 0 {name=l9 sig_type=std_logic lab=vbn2}
+C {devices/lab_wire.sym} 420 -410 0 1 {name=l10 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 400 -180 0 0 {name=MB5
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/iopin.sym} 120 -90 2 0 {name=p9 lab=vss}
+C {devices/lab_wire.sym} 420 -180 0 1 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 380 -180 0 0 {name=l2 sig_type=std_logic lab=vbn1}
+C {devices/lab_wire.sym} 850 -520 0 1 {name=l3 sig_type=std_logic lab=diff
+}
+C {devices/lab_wire.sym} 1200 -580 0 1 {name=l6 sig_type=std_logic lab=nd10
+}
+C {devices/lab_wire.sym} 1460 -580 0 1 {name=l7 sig_type=std_logic lab=nd11
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1970 -750 0 0 {name=MDUM1[43:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1910 -830 0 1 {name=l12 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2220 -750 0 0 {name=MDUM2[3:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2240 -750 0 1 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2160 -830 0 1 {name=l14 sig_type=std_logic lab=mirr
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2220 -530 0 0 {name=MDUM3[3:0]
+L=4
+W=2
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2240 -530 0 1 {name=l17 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2160 -610 0 1 {name=l18 sig_type=std_logic lab=bias
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1970 -320 0 0 {name=MDUM4[23:0]
+L=4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2220 -320 0 0 {name=MDUM5[3:0]
+L=4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2160 -400 0 1 {name=l21 sig_type=std_logic lab=vbn2
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1970 -120 0 0 {name=MDUM6[43:0]
+L=1
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 1910 -200 0 1 {name=l24 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2220 -120 0 0 {name=MDUM7[3:0]
+L=1
+W=4
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2240 -120 0 1 {name=l49 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 2160 -200 0 1 {name=l50 sig_type=std_logic lab=diff
+}
+C {devices/lab_wire.sym} 1910 -400 0 1 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 2240 -320 0 1 {name=l20 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/opamp/se_folded_cascode_p.sym b/xschem/sub/opamp/se_folded_cascode_p.sym
new file mode 100644
index 0000000..7214ec3
--- /dev/null
+++ b/xschem/sub/opamp/se_folded_cascode_p.sym
@@ -0,0 +1,31 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+
+}
+V {}
+S {}
+E {}
+L 4 -50 0 -30 0 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 30 -130 30 {}
+L 4 -130 -50 -130 50 {}
+L 4 -130 50 -50 -0 {}
+L 4 -130 -50 -50 -0 {}
+L 4 -80 -40 -80 -20 {}
+L 4 -80 20 -80 40 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=vdd dir=inout }
+B 5 -32.5 -2.5 -27.5 2.5 {name=out dir=out }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=inp dir=in }
+B 5 -152.5 27.5 -147.5 32.5 {name=inn dir=in }
+B 5 -82.5 37.5 -77.5 42.5 {name=vss dir=inout }
+B 5 -102.5 47.5 -97.5 52.5 {name=bias dir=inout }
+P 4 2 -100 50 -100 31 {}
+T {@symname} -70.5 14 0 0 0.3 0.3 {}
+T {@name} -65 -32 0 0 0.2 0.2 {}
+T {out} -65 -4 0 1 0.2 0.2 {}
+T {inp} -125 -34 0 0 0.2 0.2 {}
+T {inn} -125 26 0 0 0.2 0.2 {}
+T {bias} -95 6 1 0 0.2 0.2 {}
diff --git a/xschem/sub/regulator/regulator.sch b/xschem/sub/regulator/regulator.sch
new file mode 100644
index 0000000..98c16db
--- /dev/null
+++ b/xschem/sub/regulator/regulator.sch
@@ -0,0 +1,396 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 1180 0 1870 0 1870 -660 1180 -660 1180 0 {}
+T {Dummies} 1210 -40 0 0 0.4 0.4 {}
+N 440 -570 600 -570 {lab=vin}
+N 370 -340 400 -340 {lab=fbdiv}
+N 640 -340 670 -340 {lab=vref}
+N 430 -230 480 -230 {lab=bias}
+N 80 -200 80 -50 {lab=vss}
+N 80 -300 80 -260 {lab=bias}
+N 50 -230 80 -230 {lab=vss}
+N 520 -230 550 -230 {lab=vss}
+N 440 -340 470 -340 {lab=vss}
+N 570 -340 600 -340 {lab=vss}
+N 410 -480 440 -480 {lab=vin}
+N 600 -480 630 -480 {lab=vin}
+N 120 -230 150 -230 {lab=bias}
+N 350 -570 370 -570 {lab=vin}
+N 50 -50 80 -50 {lab=vss}
+N 600 -570 940 -570 {lab=vin}
+N 940 -340 1030 -340 {lab=out}
+N 370 -570 440 -570 {lab=vin}
+N 600 -410 900 -410 {lab=comp}
+N 940 -570 940 -440 {lab=vin}
+N 940 -380 940 -340 {lab=out}
+N 520 -200 520 -190 {lab=vss}
+N 440 -570 440 -510 {lab=vin}
+N 600 -570 600 -510 {lab=vin}
+N 440 -450 440 -370 {lab=mirr}
+N 600 -450 600 -370 {lab=comp}
+N 440 -310 440 -280 {lab=diff}
+N 440 -280 600 -280 {lab=diff}
+N 600 -310 600 -280 {lab=diff}
+N 520 -280 520 -260 {lab=diff}
+N 480 -480 560 -480 {lab=mirr}
+N 510 -480 510 -410 {lab=mirr}
+N 80 -50 520 -50 {lab=vss}
+N 520 -190 520 -50 {lab=vss}
+N 440 -410 510 -410 {lab=mirr}
+N 940 -410 970 -410 {lab=vin}
+N 520 -50 940 -50 {lab=vss}
+N 940 -90 940 -50 {lab=vss}
+N 940 -170 940 -160 {lab=fbdiv}
+N 940 -160 940 -150 {lab=fbdiv}
+N 410 -570 410 -480 {lab=vin}
+N 630 -570 630 -480 {lab=vin}
+N 970 -570 970 -410 {lab=vin}
+N 940 -570 970 -570 {lab=vin}
+N 80 -420 80 -300 {lab=bias}
+N 60 -420 80 -420 {lab=bias}
+N 890 -200 920 -200 {lab=vss}
+N 890 -120 920 -120 {lab=vss}
+N 860 -340 940 -340 {lab=out}
+N 770 -340 800 -340 {lab=comp}
+N 770 -410 770 -340 {lab=comp}
+N 860 -160 940 -160 {lab=fbdiv}
+N 940 -260 940 -230 {lab=fb}
+N 940 -260 1030 -260 {lab=fb}
+N 210 -330 210 -230 {lab=bias}
+N 210 -105 210 -50 {lab=vss}
+N 135 -135 170 -135 {lab=enb}
+N 210 -135 240 -135 {lab=vss}
+N 60 -570 75 -570 {lab=en}
+N 115 -550 115 -520 {lab=vss}
+N 115 -620 115 -590 {lab=vin}
+N 80 -330 120 -330 {lab=bias}
+N 210 -230 210 -165 {lab=bias}
+N 765 -480 795 -480 {lab=vin}
+N 770 -570 770 -510 {lab=vin}
+N 795 -480 800 -480 {lab=vin}
+N 800 -570 800 -480 {lab=vin}
+N 770 -450 770 -410 {lab=comp}
+N 705 -480 730 -480 {lab=en}
+N 150 -230 210 -230 {lab=bias}
+N 210 -230 430 -230 {lab=bias}
+N 155 -570 190 -570 {lab=enb}
+N 120 -330 210 -330 {lab=bias}
+N 1690 -140 1720 -140 {lab=vss}
+N 1760 -140 1790 -140 {lab=bias}
+N 1720 -200 1720 -170 {lab=bias}
+N 1640 -200 1720 -200 {lab=bias}
+N 1640 -200 1640 -80 {lab=bias}
+N 1640 -80 1720 -80 {lab=bias}
+N 1720 -110 1720 -80 {lab=bias}
+N 1450 -140 1480 -140 {lab=vss}
+N 1520 -140 1550 -140 {lab=bias}
+N 1480 -200 1480 -170 {lab=diff}
+N 1400 -200 1480 -200 {lab=diff}
+N 1400 -200 1400 -80 {lab=diff}
+N 1400 -80 1480 -80 {lab=diff}
+N 1480 -110 1480 -80 {lab=diff}
+N 1720 -200 1790 -200 {lab=bias}
+N 1790 -200 1790 -140 {lab=bias}
+N 1610 -320 1640 -320 {lab=vss}
+N 1640 -390 1640 -350 {lab=comp}
+N 1640 -390 1720 -390 {lab=comp}
+N 1720 -390 1720 -320 {lab=comp}
+N 1680 -320 1720 -320 {lab=comp}
+N 1640 -290 1640 -260 {lab=comp}
+N 1640 -260 1720 -260 {lab=comp}
+N 1720 -320 1720 -260 {lab=comp}
+N 1370 -320 1400 -320 {lab=vss}
+N 1400 -390 1400 -350 {lab=mirr}
+N 1400 -390 1480 -390 {lab=mirr}
+N 1480 -390 1480 -320 {lab=mirr}
+N 1440 -320 1480 -320 {lab=mirr}
+N 1400 -290 1400 -260 {lab=mirr}
+N 1400 -260 1480 -260 {lab=mirr}
+N 1480 -320 1480 -260 {lab=mirr}
+N 1400 -500 1440 -500 {lab=mirr}
+N 1400 -570 1400 -500 {lab=mirr}
+N 1400 -570 1480 -570 {lab=mirr}
+N 1480 -570 1480 -530 {lab=mirr}
+N 1480 -470 1480 -440 {lab=mirr}
+N 1400 -440 1480 -440 {lab=mirr}
+N 1400 -500 1400 -440 {lab=mirr}
+N 1570 -500 1610 -500 {lab=mirr}
+N 1650 -570 1650 -530 {lab=comp}
+N 1650 -470 1650 -440 {lab=comp}
+N 1650 -570 1720 -570 {lab=comp}
+N 1480 -500 1510 -500 {lab=vin}
+N 1650 -440 1720 -440 {lab=comp}
+N 1720 -570 1720 -440 { lab=comp}
+N 1650 -500 1680 -500 {lab=vin}
+N 1230 -500 1260 -500 {lab=vss}
+N 1280 -570 1280 -530 { lab=vss}
+N 1280 -470 1280 -440 { lab=vss}
+N 1230 -500 1230 -440 { lab=vss}
+N 1230 -440 1280 -440 { lab=vss}
+N 1230 -570 1280 -570 { lab=vss}
+N 1230 -570 1230 -500 { lab=vss}
+C {sky130_primitives/nfet_01v8_lvt.sym} 620 -340 0 1 {name=M2
+L=1
+W=1
+nf=1 
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 420 -340 0 0 {name=M1
+L=1
+W=1
+nf=1 
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 500 -230 0 0 {name=M5
+L=1
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 580 -480 0 0 {name=M4
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 460 -480 0 1 {name=M3
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 100 -230 0 1 {name=M6
+L=1
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 80 -230 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 520 -230 0 1 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 440 -340 0 1 {name=l16 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -340 0 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 530 -480 0 0 {name=l27 sig_type=std_logic lab=mirr}
+C {devices/iopin.sym} 350 -570 0 1 {name=p1 lab=vin}
+C {devices/iopin.sym} 50 -50 0 1 {name=p2 lab=vss}
+C {devices/ipin.sym} 670 -340 0 1 {name=p4 lab=vref}
+C {devices/opin.sym} 1030 -340 0 0 {name=p3 lab=out}
+C {devices/iopin.sym} 60 -420 0 1 {name=p5 lab=bias}
+C {devices/lab_wire.sym} 540 -280 0 0 {name=l1 sig_type=std_logic lab=diff}
+C {sky130_primitives/pfet_01v8_lvt.sym} 920 -410 0 0 {name=M7[31:0]
+L=0.5
+W=8
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 910 -160 0 0 {name=l5 sig_type=std_logic lab=fbdiv}
+C {devices/ipin.sym} 60 -570 0 0 {name=p7 lab=en}
+C {devices/lab_wire.sym} 920 -200 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 920 -120 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {sky130_primitives/cap_mim_m3_1.sym} 830 -340 1 0 {name=C1 model=cap_mim_m3_1 W=5 L=5 MF=8 spiceprefix=X}
+C {devices/lab_wire.sym} 400 -340 0 0 {name=l8 sig_type=std_logic lab=fbdiv}
+C {devices/ipin.sym} 1030 -260 2 0 {name=p6 lab=fb}
+C {sky130_primitives/nfet_01v8_lvt.sym} 190 -135 0 0 {name=M9
+L=0.4
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 170 -135 0 0 {name=l3 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 210 -135 0 1 {name=l6 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 225 -550 0 0 {name=x1}
+C {devices/lab_wire.sym} 155 -570 0 1 {name=l9 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 115 -550 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 115 -620 3 0 {name=l11 sig_type=std_logic lab=vin}
+C {sky130_primitives/pfet_01v8_lvt.sym} 750 -480 0 0 {name=M8
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 730 -480 0 0 {name=l13 sig_type=std_logic lab=en}
+C {devices/lab_wire.sym} 810 -410 0 0 {name=l2 sig_type=std_logic lab=comp}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1740 -140 0 1 {name=M10
+L=1
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1720 -140 0 0 {name=l20 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1690 -200 0 0 {name=l21 sig_type=std_logic lab=bias}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1500 -140 0 1 {name=M11
+L=1
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1480 -140 0 0 {name=l22 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1450 -200 0 0 {name=l23 sig_type=std_logic lab=diff}
+C {devices/lab_wire.sym} 1550 -140 0 0 {name=l24 sig_type=std_logic lab=bias}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1660 -320 0 1 {name=M12
+L=1
+W=1
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1640 -320 0 0 {name=l15 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1700 -390 0 0 {name=l18 sig_type=std_logic lab=comp}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1420 -320 0 1 {name=M13
+L=1
+W=1
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1400 -320 0 0 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1460 -390 0 0 {name=l25 sig_type=std_logic lab=mirr}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1460 -500 0 0 {name=M15
+L=1
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1450 -570 0 0 {name=l26 sig_type=std_logic lab=mirr}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1630 -500 0 0 {name=M16
+L=1
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1610 -500 0 0 {name=l28 sig_type=std_logic lab=mirr}
+C {devices/lab_wire.sym} 1720 -570 0 0 {name=l30 sig_type=std_logic lab=comp
+}
+C {sky130_primitives/res_xhigh_po_0p35.sym} 940 -200 0 0 {name=R2
+W=0.35
+L=34
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {sky130_primitives/res_xhigh_po_0p35.sym} 940 -120 0 0 {name=R1
+W=0.35
+L=34
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {devices/lab_wire.sym} 1680 -500 0 0 {name=l31 sig_type=std_logic lab=vin
+}
+C {devices/lab_wire.sym} 1510 -500 0 0 {name=l29 sig_type=std_logic lab=vin
+}
+C {sky130_primitives/res_xhigh_po_0p35.sym} 1280 -500 0 0 {name=R3[1:0]
+W=0.35
+L=8.5
+model=res_xhigh_po
+spiceprefix=X
+mult=1
+}
+C {devices/lab_wire.sym} 1260 -500 0 0 {name=l32 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/regulator/regulator.sp b/xschem/sub/regulator/regulator.sp
new file mode 100644
index 0000000..7e2789d
--- /dev/null
+++ b/xschem/sub/regulator/regulator.sp
@@ -0,0 +1,130 @@
+* NGSPICE file created from regulator.ext - technology: sky130A
+
+
+* Top level circuit regulator
+
+X0 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=3.944e+13p pd=2.8186e+08u as=3.886e+13p ps=2.8076e+08u w=8e+06u l=500000u
+X1 a_4049_1550# a_4049_1550# a_4049_1550# vin sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X2 a_1908_1483# a_4365_1495# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=4.64e+12p pd=4.128e+07u as=2.03e+12p ps=1.806e+07u w=1e+06u l=1e+06u
+X3 vss bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=2.61e+12p ps=2.322e+07u w=1e+06u l=1e+06u
+X4 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X5 a_1908_1483# bias vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X6 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X7 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X8 bias bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 a_4365_1495# a_10535_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X10 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X11 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X12 a_1908_1483# bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X13 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X14 comp vref a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=2.03e+12p pd=1.806e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X15 comp comp comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X16 a_1908_1483# vref comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X17 a_4049_1550# a_4049_1550# a_4049_1550# vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X18 vss bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X19 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X20 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X21 vin a_4049_1550# a_4049_1550# vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X22 bias bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X23 bias bias vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X24 comp comp comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X25 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X26 a_4049_1550# a_4365_1495# a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X27 vin a_4049_1550# a_4049_1550# vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X28 a_1908_1483# a_4365_1495# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X29 comp a_4049_1550# vin vin sky130_fd_pr__pfet_01v8_lvt ad=1.74e+12p pd=1.548e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X30 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X31 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X32 vss bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 enb en vin vin sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X34 bias bias vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X35 a_4049_1550# a_4365_1495# a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X36 bias bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X37 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X38 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X39 comp a_4049_1550# vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X40 enb en vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X41 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X42 a_4365_1495# a_10113_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X43 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X44 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X45 a_1908_1483# a_4365_1495# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X46 out a_8847_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X47 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X48 comp vref a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X49 a_1908_1483# bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X50 comp a_4049_1550# comp vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X51 a_9269_2559# a_10535_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X52 a_1908_1483# vref comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X53 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X54 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X55 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X56 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X57 a_4049_1550# a_4365_1495# a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X58 a_4049_1550# a_4049_1550# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X59 vss bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X60 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X61 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X62 a_1908_1483# bias vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X63 comp a_4049_1550# comp vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X64 a_1908_1483# a_4365_1495# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X65 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X66 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X67 vin a_4049_1550# comp vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X68 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X69 a_9691_2559# a_8425_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X70 a_4049_1550# a_4049_1550# a_4049_1550# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X71 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X72 comp vref a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X73 bias enb vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X74 vin a_4049_1550# comp vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X75 a_1908_1483# vref comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X76 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X77 a_4049_1550# a_4049_1550# vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X78 bias bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X79 vss vss vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X80 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X81 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X82 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X83 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X84 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X85 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X86 comp en vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X87 comp vref a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X88 a_9269_2559# a_8847_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X89 a_1908_1483# bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X90 a_4049_1550# a_4049_1550# vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X91 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X92 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X93 a_1908_1483# bias a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X95 vss a_8425_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X96 a_9691_2559# a_10113_4691# vss sky130_fd_pr__res_xhigh_po w=350000u l=8.5e+06u
+X97 out comp sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X98 a_1908_1483# vref comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X99 out comp vin vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+X100 a_4049_1550# a_4365_1495# a_1908_1483# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X101 vin comp out vin sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=8e+06u l=500000u
+C0 vin comp 10.95fF
+C1 a_4365_1495# a_4049_1550# 3.67fF
+C2 a_4049_1550# comp 4.61fF
+C3 vin a_4049_1550# 4.07fF
+C4 bias a_1908_1483# 5.06fF
+C5 comp out 30.67fF
+C6 vin out 52.04fF
+C7 a_4365_1495# vref 6.47fF
+C8 vref comp 3.66fF
+C9 a_4365_1495# comp 6.10fF
+C10 a_4049_1550# vss 8.62fF
+C11 vref vss 10.91fF
+C12 a_4365_1495# vss 13.66fF
+C13 a_10113_4691# vss 2.02fF
+C14 a_8425_4691# vss 2.02fF
+C15 a_1908_1483# vss 3.77fF
+C16 bias vss 9.89fF
+C17 out vss 12.67fF
+C18 enb vss 2.65fF
+C19 comp vss 33.22fF
+C20 vin vss 74.58fF
+.end
+
diff --git a/xschem/sub/regulator/regulator.sym b/xschem/sub/regulator/regulator.sym
new file mode 100644
index 0000000..626e5f5
--- /dev/null
+++ b/xschem/sub/regulator/regulator.sym
@@ -0,0 +1,60 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 200 -140 220 -140 {}
+L 4 60 -80 80 -80 {}
+L 4 60 -140 80 -140 {}
+L 4 60 -110 80 -110 {}
+L 4 60 -20 80 -20 {}
+L 4 60 -50 80 -50 {}
+L 4 200 -100 220 -100 {}
+B 5 217.5 -142.5 222.5 -137.5 {name=out dir=out }
+B 5 57.5 -82.5 62.5 -77.5 {name=vref dir=in }
+B 5 57.5 -22.5 62.5 -17.5 {name=vss dir=inout }
+B 5 57.5 -142.5 62.5 -137.5 {name=vin
+ dir=inout }
+B 5 57.5 -112.5 62.5 -107.5 {name=bias dir=inout }
+B 5 57.5 -52.5 62.5 -47.5 {name=en dir=in}
+B 5 217.5 -102.5 222.5 -97.5 {name=fb dir=in }
+P 4 6 108 -140 119 -140 119 -134 131 -134 131 -140 143 -140 {}
+P 4 2 121 -132 129 -132 {}
+P 4 4 125 -126 143 -93 107 -93 125 -126 {}
+P 4 2 135 -95 135 -99 {}
+P 4 2 137 -97 133 -97 {}
+P 4 2 115 -95 115 -99 {}
+P 4 8 174 -95 172 -94 176 -93 172 -92 176 -91 172 -90 176 -89 174 -88 {}
+P 4 8 174 -72 172 -71 176 -70 172 -69 176 -68 172 -67 176 -66 174 -65 {}
+P 4 2 174 -33 174 -26 {}
+P 4 2 174 -140 201 -140 {}
+P 4 2 125 -126 125 -132 {}
+P 4 2 108 -140 80 -140 {}
+P 4 3 135 -93 135 -80 174 -80 {}
+P 4 2 80 -110 116 -110 {}
+P 4 3 80 -80 115 -80 115 -93 {}
+P 4 4 117 -138 121 -138 119 -136 117 -138 {}
+P 4 2 80 -155 200 -155 {}
+P 4 2 80 -5 200 -5 {}
+P 4 2 80 -155 80 -5 {}
+P 4 2 200 -155 200 -5 {}
+P 4 3 80 -20 174 -20 174 -26 {}
+P 4 2 140 -140 180 -140 {}
+P 4 2 200 -100 180 -100 {}
+P 4 2 174 -88 174 -80 {}
+P 4 2 174 -80 174 -72 {}
+P 4 2 174 -33 174 -65 {}
+P 4 3 180 -100 174 -100 174 -95 {}
+T {@symname} 80.5 -168 0 0 0.2 0.2 {}
+T {@name} 180 -168 0 0 0.2 0.2 {}
+T {out} 197 -152 0 1 0.2 0.2 {}
+T {vref} 87 -92 0 0 0.2 0.2 {}
+T {vss} 103 -37 0 1 0.2 0.2 {}
+T {vin} 103 -152 0 1 0.2 0.2 {}
+T {bias} 87 -110 2 1 0.2 0.2 {}
+T {en} 87 -57 0 0 0.2 0.2 {}
+T {fb} 197 -112 0 1 0.2 0.2 {}
diff --git a/xschem/sub/rosc/rosc.pex.spice b/xschem/sub/rosc/rosc.pex.spice
new file mode 100644
index 0000000..da26cf7
--- /dev/null
+++ b/xschem/sub/rosc/rosc.pex.spice
@@ -0,0 +1,143 @@
+* NGSPICE file created from rosc.ext - technology: sky130A
+
+
+* Top level circuit rosc
+
+.subckt rosc avdd avss dvdd dvss rst_b ibias clk en
+X0 a_13559_830# a_13393_830# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=2.329e+12p ps=2.279e+07u w=640000u l=150000u
+X1 bias_n bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=1.74e+12p pd=1.548e+07u as=4.3785e+12p ps=4.019e+07u w=1e+06u l=1e+06u
+X2 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=6.09e+12p pd=5.418e+07u as=4.64e+12p ps=4.128e+07u w=1e+06u l=1e+06u
+X3 out1 en a_7707_2039# dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X4 avdd ibias ibias avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=1e+06u
+X5 dvdd a_14474_830# a_14649_804# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X6 bias_n bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X7 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X8 avdd ibias ibias avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 out3 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X10 out5 out4 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=3.19e+12p ps=2.838e+07u w=1e+06u l=400000u
+X11 a_14636_1196# a_13559_830# a_14474_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X12 vn bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X13 bias_n bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X14 a_13240_830# out_ana dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X15 bias_n bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X16 out1 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X17 a_14171_830# a_14127_1072# a_14005_830# dvss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X18 dvss a_13240_830# a_13393_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X19 out6 out5 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X20 vn bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X21 a_14474_830# a_13559_830# a_14127_1072# dvss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X22 out3 out2 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X23 out_ana out7 avdd avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X24 a_14005_830# a_13559_830# a_13909_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X25 a_13697_1043# clk dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X26 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.32e+12p ps=2.064e+07u w=1e+06u l=1e+06u
+X27 dvss bias_n vn dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X28 dvdd a_14649_804# a_15025_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X29 out7 out6 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X30 out1 out7 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X31 a_13909_830# a_13559_830# a_13814_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X32 a_13909_830# a_13393_830# a_13814_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X33 a_13559_830# a_13393_830# dvss dvss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X34 dvdd a_13240_830# a_13393_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X35 clk a_14649_804# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.1e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X36 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X37 a_14583_830# a_13393_830# a_14474_830# dvss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X38 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X39 a_15380_830# a_15025_830# dvss dvss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X40 vn bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X42 out4 out3 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X43 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X44 dvss bias_n vn dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X45 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X46 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X47 out_ana out7 dvss dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X48 vn bias_n dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X49 dvss a_15025_830# a_15380_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X50 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X51 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X52 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X53 a_14828_830# rst_b dvss dvss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X54 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X55 clk a_14649_804# dvss dvss sky130_fd_pr__nfet_01v8 ad=2.015e+11p pd=1.92e+06u as=0p ps=0u w=650000u l=150000u
+X56 out5 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X57 out6 out5 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X58 avdd ibias bias_n avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X59 out2 out1 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X60 dvdd a_14649_804# a_14636_1196# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X61 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X62 out7 out6 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X63 a_7707_2039# out7 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X64 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X65 a_14017_1196# a_13393_830# a_13909_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X66 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X67 out7 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X68 a_14017_1196# rst_b dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X69 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X70 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X71 out4 out3 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X72 dvss a_14649_804# clk dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X73 dvss bias_n bias_n dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 a_13240_830# out_ana dvss dvss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X75 a_13814_830# a_13697_1043# dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X76 dvss bias_n bias_n dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X77 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X78 dvdd a_15025_830# a_15380_830# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X79 out2 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X80 dvdd a_14649_804# clk dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X81 dvss a_14649_804# a_15025_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X82 dvss a_14649_804# a_14583_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X83 vp en out1 avdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X84 dvss bias_n bias_n dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X85 dvss bias_n vn dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X86 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X87 out5 out4 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X88 dvss bias_n bias_n dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X89 a_14649_804# rst_b dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X90 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X91 out2 out1 vn dvss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X92 a_14649_804# a_14474_830# a_14828_830# dvss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X93 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 a_14127_1072# a_13909_830# dvss dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X95 a_14474_830# a_13393_830# a_14127_1072# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X96 dvdd a_14127_1072# a_14017_1196# dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X97 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X98 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X99 ibias ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X100 a_13814_830# a_13697_1043# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X101 a_14127_1072# a_13909_830# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X102 dvss bias_n vn dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X103 bias_n ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X104 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X105 a_13697_1043# clk dvss dvss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X106 ibias ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X107 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 out3 out2 vp avdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X109 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X110 a_15380_830# a_15025_830# dvdd dvdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X111 vp ibias avdd avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X112 dvss rst_b a_14171_830# dvss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X113 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X114 out4 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X115 out6 dvss sky130_fd_pr__cap_mim_m3_1 l=4e+06u w=4e+06u
+X116 avdd ibias vp avdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+C0 avdd vp 9.95fF
+C1 vp bias_n 5.87fF
+C2 avdd bias_n 9.25fF
+C3 avdd ibias 2.07fF
+C4 rst_b dvss 2.45fF
+C5 vn dvss 11.92fF
+C6 out6 dvss 4.26fF
+C7 out5 dvss 4.07fF
+C8 out4 dvss 3.94fF
+C9 out3 dvss 3.89fF
+C10 out2 dvss 4.32fF
+C11 out1 dvss 3.81fF
+C12 en dvss 2.03fF
+C13 out7 dvss 10.99fF
+C14 bias_n dvss 15.40fF
+C15 vp dvss 11.17fF
+C16 ibias dvss 11.28fF
+C17 dvdd dvss 25.61fF
+C18 avdd dvss 61.35fF
+.ends
diff --git a/xschem/sub/rosc/rosc.sch b/xschem/sub/rosc/rosc.sch
new file mode 100644
index 0000000..b18e982
--- /dev/null
+++ b/xschem/sub/rosc/rosc.sch
@@ -0,0 +1,576 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+L 4 0 -970 0 0 {}
+L 4 0 -970 3350 -970 {}
+L 4 3350 -970 3350 0 {}
+L 4 0 0 3360 0 {}
+L 4 2670 -970 2670 0 {dash=6}
+T {125n} 220 -945 0 0 0.2 0.2 {}
+T {500n} 350 -945 0 0 0.2 0.2 {}
+T {500n} 520 -945 0 0 0.2 0.2 {}
+T {AVDD} 2590 -960 0 0 0.4 0.4 {}
+T {DVDD} 2690 -960 0 0 0.4 0.4 {}
+N 3110 -200 3200 -200 {lab=clk}
+N 3140 -280 3140 -200 {lab=clk}
+N 3050 -280 3140 -280 {lab=clk}
+N 2900 -280 2970 -280 {lab=fb}
+N 2890 -160 2930 -160 {lab=rst_b}
+N 270 -880 330 -880 {lab=ibias}
+N 300 -880 300 -830 {lab=ibias}
+N 230 -830 300 -830 {lab=ibias}
+N 230 -850 230 -830 {lab=ibias}
+N 210 -750 220 -750 {lab=ibias}
+N 230 -930 230 -910 {lab=avdd}
+N 230 -930 370 -930 {lab=avdd}
+N 370 -930 370 -910 {lab=avdd}
+N 370 -850 370 -750 {lab=bias_n}
+N 2900 -180 2930 -180 {lab=fb}
+N 2800 -200 2930 -200 {lab=out_dig}
+N 2860 -180 2900 -180 {lab=fb}
+N 2860 -250 2860 -180 {lab=fb}
+N 2860 -280 2860 -250 {lab=fb}
+N 2860 -280 2900 -280 {lab=fb}
+N 220 -750 230 -750 {lab=ibias}
+N 200 -880 230 -880 {lab=avdd}
+N 2450 -200 2450 -40 {lab=out7}
+N 230 -830 230 -750 { lab=ibias}
+N 2450 -200 2470 -200 { lab=out7}
+N 300 -670 330 -670 { lab=bias_n}
+N 300 -740 300 -670 { lab=bias_n}
+N 300 -740 370 -740 { lab=bias_n}
+N 370 -750 370 -740 { lab=bias_n}
+N 370 -740 370 -700 { lab=bias_n}
+N 370 -880 410 -880 { lab=avdd}
+N 370 -670 410 -670 { lab=avss}
+N 370 -640 370 -600 { lab=avss}
+N 470 -670 500 -670 { lab=bias_n}
+N 540 -750 540 -740 { lab=vn}
+N 540 -740 540 -700 { lab=vn}
+N 540 -670 580 -670 { lab=avss}
+N 170 -290 300 -290 { lab=en}
+N 370 -740 470 -740 { lab=bias_n}
+N 470 -740 470 -670 { lab=bias_n}
+N 540 -880 580 -880 { lab=avdd}
+N 540 -850 540 -830 { lab=vp}
+N 370 -930 540 -930 { lab=avdd}
+N 540 -930 540 -910 { lab=avdd}
+N 300 -830 470 -830 { lab=ibias}
+N 470 -880 470 -830 { lab=ibias}
+N 470 -880 500 -880 { lab=ibias}
+N 520 -340 520 -300 { lab=out1}
+N 520 -240 520 -200 { lab=avss}
+N 820 -340 820 -300 { lab=out2}
+N 820 -240 820 -200 { lab=avss}
+N 2550 -200 2720 -200 { lab=out_ana}
+N 540 -930 660 -930 { lab=avdd}
+N 370 -600 660 -600 { lab=avss}
+N 540 -640 540 -600 { lab=avss}
+N 2510 -180 2510 -140 { lab=avss}
+N 2510 -250 2510 -220 {lab=avdd}
+N 690 -250 690 -240 { lab=vn}
+N 690 -450 690 -430 { lab=vp}
+N 690 -370 690 -310 {lab=out2}
+N 690 -400 720 -400 {lab=avdd}
+N 690 -280 720 -280 {lab=avss}
+N 470 -340 520 -340 { lab=out1}
+N 640 -280 650 -280 { lab=out1}
+N 640 -400 640 -280 { lab=out1}
+N 640 -400 650 -400 { lab=out1}
+N 690 -340 820 -340 { lab=out2}
+N 1120 -340 1120 -300 { lab=out3}
+N 1120 -240 1120 -200 { lab=avss}
+N 990 -340 1120 -340 { lab=out3}
+N 1420 -340 1420 -300 { lab=out4}
+N 1420 -240 1420 -200 { lab=avss}
+N 1290 -340 1420 -340 { lab=out4}
+N 1720 -340 1720 -300 { lab=out5}
+N 1720 -240 1720 -200 { lab=avss}
+N 1590 -340 1720 -340 { lab=out5}
+N 2020 -340 2020 -300 { lab=out6}
+N 2020 -240 2020 -200 { lab=avss}
+N 1890 -340 2020 -340 { lab=out6}
+N 2190 -340 2300 -340 { lab=out7}
+N 2450 -340 2450 -200 { lab=out7}
+N 2300 -340 2450 -340 { lab=out7}
+N 70 -40 2450 -40 { lab=out7}
+N 340 -180 340 -150 {lab=vn}
+N 340 -150 340 -140 {lab=vn}
+N 260 -360 260 -340 { lab=out1}
+N 260 -340 340 -340 { lab=out1}
+N 340 -340 340 -320 { lab=out1}
+N 340 -340 420 -340 { lab=out1}
+N 420 -360 420 -340 { lab=out1}
+N 200 -390 220 -390 { lab=en}
+N 360 -390 380 -390 { lab=out7}
+N 420 -470 420 -420 { lab=vp}
+N 260 -470 260 -420 { lab=vp}
+N 340 -290 370 -290 { lab=avss}
+N 340 -210 370 -210 { lab=avss}
+N 420 -340 470 -340 { lab=out1}
+N 260 -390 290 -390 { lab=avdd}
+N 420 -390 450 -390 { lab=avdd}
+N 340 -260 340 -240 { lab=#net1}
+N 260 -470 420 -470 { lab=vp}
+N 200 -390 200 -290 { lab=en}
+N 70 -210 70 -40 { lab=out7}
+N 520 -340 640 -340 { lab=out1}
+N 990 -250 990 -240 { lab=vn}
+N 990 -450 990 -430 { lab=vp}
+N 990 -370 990 -310 {lab=out3}
+N 990 -400 1020 -400 {lab=avdd}
+N 990 -280 1020 -280 {lab=avss}
+N 940 -280 950 -280 { lab=out2}
+N 940 -400 940 -280 { lab=out2}
+N 940 -400 950 -400 { lab=out2}
+N 820 -340 940 -340 { lab=out2}
+N 1290 -250 1290 -240 { lab=vn}
+N 1290 -450 1290 -430 { lab=vp}
+N 1290 -370 1290 -310 {lab=out4}
+N 1290 -400 1320 -400 {lab=avdd}
+N 1290 -280 1320 -280 {lab=avss}
+N 1240 -280 1250 -280 { lab=out3}
+N 1240 -400 1240 -280 { lab=out3}
+N 1240 -400 1250 -400 { lab=out3}
+N 1120 -340 1240 -340 { lab=out3}
+N 1590 -250 1590 -240 { lab=vn}
+N 1590 -450 1590 -430 { lab=vp}
+N 1590 -370 1590 -310 {lab=out5}
+N 1590 -400 1620 -400 {lab=avdd}
+N 1590 -280 1620 -280 {lab=avss}
+N 1540 -280 1550 -280 { lab=out4}
+N 1540 -400 1540 -280 { lab=out4}
+N 1540 -400 1550 -400 { lab=out4}
+N 1420 -340 1540 -340 { lab=out4}
+N 1890 -250 1890 -240 { lab=vn}
+N 1890 -450 1890 -430 { lab=vp}
+N 1890 -370 1890 -310 {lab=out6}
+N 1890 -400 1920 -400 {lab=avdd}
+N 1890 -280 1920 -280 {lab=avss}
+N 1840 -280 1850 -280 { lab=out5}
+N 1840 -400 1840 -280 { lab=out5}
+N 1840 -400 1850 -400 { lab=out5}
+N 1720 -340 1840 -340 { lab=out5}
+N 2190 -250 2190 -240 { lab=vn}
+N 2190 -450 2190 -430 { lab=vp}
+N 2190 -370 2190 -310 {lab=out7}
+N 2190 -400 2220 -400 {lab=avdd}
+N 2190 -280 2220 -280 {lab=avss}
+N 2140 -280 2150 -280 { lab=out6}
+N 2140 -400 2140 -280 { lab=out6}
+N 2140 -400 2150 -400 { lab=out6}
+N 2020 -340 2140 -340 { lab=out6}
+N 70 -210 300 -210 { lab=out7}
+N 2340 -340 2340 -300 { lab=out7}
+N 2340 -240 2340 -200 { lab=avss}
+C {devices/lab_wire.sym} 520 -340 0 0 {name=l6 sig_type=std_logic lab=out1}
+C {devices/lab_wire.sym} 3180 -200 0 0 {name=l10 sig_type=std_logic lab=clk}
+C {devices/ipin.sym} 170 -290 0 0 {name=p1 lab=en}
+C {devices/iopin.sym} 660 -600 0 0 {name=p3 lab=avss}
+C {devices/opin.sym} 3200 -200 0 0 {name=p4 lab=clk}
+C {devices/iopin.sym} 2710 -70 0 0 {name=p6 lab=dvdd}
+C {devices/iopin.sym} 2710 -40 0 0 {name=p7 lab=dvss}
+C {devices/iopin.sym} 660 -930 0 0 {name=p8 lab=avdd}
+C {devices/lab_wire.sym} 820 -340 0 0 {name=l3 sig_type=std_logic lab=out2}
+C {sky130_stdcells/inv_1.sym} 3010 -280 2 0 {name=x8 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {devices/ipin.sym} 2890 -160 0 0 {name=p2 lab=rst_b}
+C {devices/ipin.sym} 210 -750 0 0 {name=p5 lab=ibias}
+C {devices/noconn.sym} 3110 -180 2 0 {name=l1}
+C {devices/lab_wire.sym} 2930 -160 0 0 {name=l9 sig_type=std_logic lab=rst_b}
+C {sky130_primitives/pfet_01v8.sym} 350 -880 0 0 {name=M2
+L=1
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8.sym} 250 -880 0 1 {name=M1
+L=1
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 2930 -180 0 0 {name=l14 sig_type=std_logic lab=fb}
+C {devices/lab_wire.sym} 2930 -200 0 0 {name=l15 sig_type=std_logic lab=out_dig}
+C {devices/lab_wire.sym} 230 -880 0 0 {name=l16 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 370 -880 0 1 {name=l17 sig_type=std_logic lab=avdd}
+C {xschem/symbols/sky130_stdcells/dfrbp_2.sym} 3020 -180 0 0 {name=x9 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 350 -670 0 0 {name=M4
+L=1
+W=1
+nf=1 
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 370 -670 0 1 {name=l8 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 520 -670 0 0 {name=M5
+L=1
+W=1
+nf=1 
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 540 -670 0 1 {name=l29 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 540 -750 3 0 {name=l32 sig_type=std_logic lab=vn
+}
+C {sky130_stdcells/inv_1.sym} 2760 -200 2 1 {name=x7 VGND=dvss VNB=dvss VPB=dvdd VPWR=dvdd prefix=sky130_fd_sc_hd__ }
+C {sky130_primitives/pfet_01v8.sym} 520 -880 0 0 {name=M3
+L=1
+W=1
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 540 -830 3 0 {name=l18 sig_type=std_logic lab=vp
+}
+C {devices/lab_wire.sym} 540 -880 0 1 {name=l22 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 370 -740 0 1 {name=l28 sig_type=std_logic lab=bias_n
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 520 -270 0 0 {name=C1 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 520 -240 3 0 {name=l2 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 820 -240 3 0 {name=l4 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 2630 -200 0 0 {name=l43 sig_type=std_logic lab=out_ana
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 820 -270 0 0 {name=C2 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {logic/inv_lvt.sym} 2620 -180 0 0 {name=x6}
+C {devices/lab_wire.sym} 2510 -180 3 0 {name=l12 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 2510 -220 3 1 {name=l13 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 690 -250 3 0 {name=l21 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 690 -430 3 1 {name=l30 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 670 -280 0 0 {name=M6
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 670 -400 0 0 {name=M7
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1120 -340 0 0 {name=l51 sig_type=std_logic lab=out3
+}
+C {devices/lab_wire.sym} 1120 -240 3 0 {name=l52 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 1120 -270 0 0 {name=C3 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1420 -340 0 0 {name=l57 sig_type=std_logic lab=out4
+}
+C {devices/lab_wire.sym} 1420 -240 3 0 {name=l58 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 1420 -270 0 0 {name=C4 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1720 -340 0 0 {name=l63 sig_type=std_logic lab=out5
+}
+C {devices/lab_wire.sym} 1720 -240 3 0 {name=l64 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 1720 -270 0 0 {name=C5 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 2020 -340 0 0 {name=l69 sig_type=std_logic lab=out6
+}
+C {devices/lab_wire.sym} 2020 -240 3 0 {name=l70 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 2020 -270 0 0 {name=C6 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 2340 -340 0 0 {name=l75 sig_type=std_logic lab=out7
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 320 -210 0 0 {name=M18
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 240 -390 0 0 {name=M19
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 320 -290 0 0 {name=M20
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 400 -390 0 0 {name=M21
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 380 -390 0 0 {name=l23 sig_type=std_logic lab=out7
+}
+C {devices/lab_wire.sym} 340 -290 0 1 {name=l25 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 320 -470 0 1 {name=l11 sig_type=std_logic lab=vp
+}
+C {devices/lab_wire.sym} 340 -210 0 1 {name=l24 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 340 -140 0 1 {name=l26 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 260 -390 0 1 {name=l5 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 420 -390 0 1 {name=l7 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 690 -280 0 1 {name=l19 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 690 -400 0 1 {name=l20 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 990 -250 3 0 {name=l27 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 990 -430 3 1 {name=l31 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 970 -280 0 0 {name=M8
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 970 -400 0 0 {name=M9
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 990 -280 0 1 {name=l33 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 990 -400 0 1 {name=l34 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1290 -250 3 0 {name=l35 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 1290 -430 3 1 {name=l36 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1270 -280 0 0 {name=M10
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1270 -400 0 0 {name=M11
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1290 -280 0 1 {name=l37 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 1290 -400 0 1 {name=l38 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1590 -250 3 0 {name=l39 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 1590 -430 3 1 {name=l40 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1570 -280 0 0 {name=M12
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1570 -400 0 0 {name=M13
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1590 -280 0 1 {name=l41 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 1590 -400 0 1 {name=l42 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1890 -250 3 0 {name=l44 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 1890 -430 3 1 {name=l45 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1870 -280 0 0 {name=M14
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1870 -400 0 0 {name=M15
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1890 -280 0 1 {name=l46 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 1890 -400 0 1 {name=l47 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 2190 -250 3 0 {name=l48 sig_type=std_logic lab=vn
+}
+C {devices/lab_wire.sym} 2190 -430 3 1 {name=l49 sig_type=std_logic lab=vp
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 2170 -280 0 0 {name=M16
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 2170 -400 0 0 {name=M17
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 2190 -280 0 1 {name=l50 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 2190 -400 0 1 {name=l53 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 2340 -240 3 0 {name=l55 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 2340 -270 0 0 {name=C7 model=cap_mim_m3_1 W=4 L=4 MF=1 spiceprefix=X
+}
diff --git a/xschem/sub/rosc/rosc.sym b/xschem/sub/rosc/rosc.sym
new file mode 100644
index 0000000..16a4c0d
--- /dev/null
+++ b/xschem/sub/rosc/rosc.sym
@@ -0,0 +1,53 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -20 -70 0 -70 {}
+L 4 -20 -10 0 -10 {}
+L 4 200 -60 220 -60 {}
+L 4 -20 -40 0 -40 {}
+L 4 100 -100 100 -80 {}
+L 4 100 0 100 20 {}
+L 4 38 -36 54 -36 {}
+L 4 74 -36 84 -36 {}
+L 4 104 -36 114 -36 {}
+L 4 134 -36 144 -36 {}
+L 4 6 -30 14 -30 {}
+L 4 6 -30 6 -16 {}
+L 4 130 0 130 20 {}
+L 4 130 -100 130 -80 {}
+B 5 97.5 -102.5 102.5 -97.5 {name=avdd dir=inout }
+B 5 97.5 17.5 102.5 22.5 {name=avss dir=inout }
+B 5 127.5 -102.5 132.5 -97.5 {name=dvdd dir=inout }
+B 5 127.5 17.5 132.5 22.5 {name=dvss dir=inout }
+B 5 -22.5 -72.5 -17.5 -67.5 {name=rst_b dir=in }
+B 5 -22.5 -12.5 -17.5 -7.5 {name=ibias dir=in }
+B 5 217.5 -62.5 222.5 -57.5 {name=clk dir=out }
+B 5 -22.5 -42.5 -17.5 -37.5 {name=en dir=in }
+A 4 27.66666666666667 -36 10.26861453383291 283.1340223063963 153.7319553872073 {}
+P 4 4 54 -46 54 -26 74 -36 54 -46 {}
+P 4 4 84 -46 84 -26 104 -36 84 -46 {}
+P 4 4 114 -46 114 -26 134 -36 114 -46 {}
+P 4 4 144 -46 144 -26 164 -36 144 -46 {}
+P 4 5 30 -46 14 -46 14 -28 14 -26 30 -26 {}
+P 4 5 0 -80 0 0 200 0 200 -80 0 -80 {}
+P 4 4 178 -70 178 -50 198 -60 178 -70 {}
+P 4 2 200 -60 198 -60 {}
+P 4 2 -0 -40 14 -40 {}
+P 4 5 164 -36 170 -36 170 -58 170 -60 178 -60 {}
+P 4 3 6 -16 170 -16 170 -36 {}
+T {avdd} 110 -79 0 1 0.2 0.2 {}
+T {avss} 110 -14 0 1 0.2 0.2 {}
+T {dvdd} 145 -79 0 1 0.2 0.2 {}
+T {dvss} 145 -14 0 1 0.2 0.2 {}
+T {rst_b} 1 -78 0 0 0.2 0.2 {}
+T {ibias} 5 -14 0 0 0.2 0.2 {}
+T {@symname} 0 -93 0 0 0.2 0.2 {}
+T {@name} 178 -93 0 0 0.2 0.2 {}
+T {clk} 199 -54 0 1 0.2 0.2 {}
+T {en} 1 -52 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/comparator/comparator.sch b/xschem/sub/sar_10b/comparator/comparator.sch
new file mode 100644
index 0000000..5c1886b
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/comparator.sch
@@ -0,0 +1,252 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 780 -100 810 -100 {lab=vss}
+N 660 -270 690 -270 {lab=vss}
+N 870 -270 900 -270 {lab=vss}
+N 900 -240 900 -180 {lab=diff}
+N 700 -100 740 -100 {lab=clk}
+N 660 -750 660 -670 {lab=vdd}
+N 660 -750 900 -750 {lab=vdd}
+N 900 -750 900 -670 {lab=vdd}
+N 620 -750 660 -750 {lab=vdd}
+N 900 -750 940 -750 {lab=vdd}
+N 450 -750 450 -670 {lab=vdd}
+N 450 -750 620 -750 {lab=vdd}
+N 940 -750 1070 -750 {lab=vdd}
+N 1070 -750 1100 -750 {lab=vdd}
+N 1100 -750 1100 -670 {lab=vdd}
+N 370 -640 410 -640 {lab=clk}
+N 1140 -640 1180 -640 {lab=clk}
+N 660 -430 660 -300 {lab=in}
+N 900 -430 900 -300 {lab=ip}
+N 700 -640 720 -640 {lab=outp}
+N 720 -640 720 -460 {lab=outp}
+N 700 -460 720 -460 {lab=outp}
+N 840 -460 860 -460 {lab=outn}
+N 840 -640 840 -460 {lab=outn}
+N 840 -640 860 -640 {lab=outn}
+N 600 -270 620 -270 {lab=vn}
+N 940 -270 960 -270 {lab=vp}
+N 900 -460 930 -460 {lab=vss}
+N 630 -460 660 -460 {lab=vss}
+N 1400 -750 1400 -670 {lab=vdd}
+N 1440 -640 1480 -640 {lab=clk}
+N 1100 -750 1400 -750 {lab=vdd}
+N 140 -750 140 -670 {lab=vdd}
+N 60 -640 100 -640 {lab=clk}
+N 140 -750 450 -750 {lab=vdd}
+N 780 -70 780 -40 {lab=vss}
+N 660 -240 660 -180 {lab=diff}
+N 660 -180 900 -180 {lab=diff}
+N 780 -180 780 -130 {lab=diff}
+N 630 -640 660 -640 {lab=vdd}
+N 450 -640 480 -640 {lab=vdd}
+N 140 -640 170 -640 {lab=vdd}
+N 900 -640 930 -640 {lab=vdd}
+N 1070 -640 1100 -640 {lab=vdd}
+N 1370 -640 1400 -640 {lab=vdd}
+N 110 -750 140 -750 {lab=vdd}
+N 660 -610 660 -490 {lab=outn}
+N 900 -610 900 -490 {lab=outp}
+N 380 -550 660 -550 {lab=outn}
+N 450 -610 450 -550 {lab=outn}
+N 900 -550 1170 -550 {lab=outp}
+N 1100 -610 1100 -550 {lab=outp}
+N 750 -510 810 -570 {lab=outp}
+N 720 -510 750 -510 {lab=outp}
+N 810 -570 900 -570 {lab=outp}
+N 750 -570 810 -510 {lab=outn}
+N 810 -510 840 -510 {lab=outn}
+N 660 -570 750 -570 {lab=outn}
+N 650 -40 780 -40 {lab=vss}
+N 1400 -610 1400 -360 {lab=ip}
+N 140 -610 140 -360 {lab=in}
+N 140 -360 660 -360 {lab=in}
+N 140 -360 140 -330 {lab=in}
+N 140 -170 140 -140 {lab=vss}
+N 20 -250 100 -250 {lab=trim[4:0]}
+N 900 -360 1400 -360 {lab=ip}
+N 1400 -360 1400 -330 {lab=ip}
+N 1400 -170 1400 -140 {lab=vss}
+N 1440 -250 1520 -250 {lab=trimb[4:0]}
+C {sky130_primitives/nfet_01v8.sym} 760 -100 0 0 {name=Mdiff
+L=0.3
+W=1
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 640 -270 0 0 {name=Minn
+L=0.3
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 690 -270 0 0 {name=l48 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8.sym} 920 -270 0 1 {name=Minp
+L=0.3
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 870 -270 0 1 {name=l49 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 730 -100 0 0 {name=l29 sig_type=std_logic lab=clk}
+C {sky130_primitives/pfet_01v8.sym} 880 -640 0 0 {name=Ml4
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 680 -640 0 1 {name=Ml3
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 1120 -640 0 1 {name=M3
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 430 -640 0 0 {name=M2
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 400 -640 0 0 {name=l47 sig_type=std_logic lab=clk}
+C {devices/lab_wire.sym} 1180 -640 0 0 {name=l52 sig_type=std_logic lab=clk}
+C {sky130_primitives/nfet_01v8.sym} 680 -460 0 1 {name=Ml1
+L=0.3
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 880 -460 0 0 {name=Ml2
+L=0.3
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 900 -460 0 1 {name=l55 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 630 -460 0 1 {name=l56 sig_type=std_logic lab=vss}
+C {devices/ipin.sym} 600 -270 0 0 {name=p1 lab=vn}
+C {devices/ipin.sym} 960 -270 2 0 {name=p2 lab=vp}
+C {devices/ipin.sym} 700 -100 0 0 {name=p3 lab=clk}
+C {devices/iopin.sym} 110 -750 2 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 650 -40 2 0 {name=p5 lab=vss}
+C {devices/opin.sym} 1170 -550 0 0 {name=p6 lab=outp}
+C {devices/opin.sym} 380 -550 2 0 {name=p7 lab=outn}
+C {sky130_primitives/pfet_01v8.sym} 1420 -640 0 1 {name=M4
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 1480 -640 0 0 {name=l1 sig_type=std_logic lab=clk}
+C {sky130_primitives/pfet_01v8.sym} 120 -640 0 0 {name=M1
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 90 -640 0 0 {name=l2 sig_type=std_logic lab=clk}
+C {devices/lab_wire.sym} 780 -180 0 1 {name=l3 sig_type=std_logic lab=diff}
+C {devices/lab_wire.sym} 620 -360 0 1 {name=l4 sig_type=std_logic lab=in}
+C {devices/lab_wire.sym} 920 -360 0 1 {name=l5 sig_type=std_logic lab=ip}
+C {devices/lab_wire.sym} 780 -100 0 1 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 660 -640 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 450 -640 0 1 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 140 -640 0 1 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 900 -640 0 1 {name=l10 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1100 -640 0 0 {name=l11 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1400 -640 0 0 {name=l12 sig_type=std_logic lab=vdd}
+C {devices/ipin.sym} 110 -50 0 0 {name=p8 lab=trim[4:0]}
+C {sar_10b/comparator/trim.sym} 140 -240 0 0 {name=x2}
+C {devices/lab_wire.sym} 140 -140 3 1 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 20 -250 0 1 {name=l14 sig_type=std_logic lab=trim[4:0]}
+C {sar_10b/comparator/trim.sym} 1400 -240 0 1 {name=x3}
+C {devices/lab_wire.sym} 1400 -140 1 0 {name=l15 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1520 -250 0 0 {name=l16 sig_type=std_logic lab=trimb[4:0]}
+C {devices/ipin.sym} 110 -10 0 0 {name=p9 lab=trimb[4:0]}
diff --git a/xschem/sub/sar_10b/comparator/comparator.sym b/xschem/sub/sar_10b/comparator/comparator.sym
new file mode 100644
index 0000000..254a0fa
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/comparator.sym
@@ -0,0 +1,41 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -80 -130 -60 -130 {}
+L 4 -80 -190 -60 -190 {}
+L 4 -60 -210 -60 -110 {}
+B 5 -32.5 -92.5 -27.5 -87.5 {name=vss dir=inout }
+B 5 -32.5 -232.5 -27.5 -227.5 {name=vdd dir=inout }
+B 5 -2.5 -112.5 2.5 -107.5 {name=clk dir=in }
+B 5 47.5 -182.5 52.5 -177.5 {name=outp dir=out}
+B 5 -82.5 -192.5 -77.5 -187.5 {name=vp dir=in }
+B 5 47.5 -142.5 52.5 -137.5 {name=outn dir=out }
+B 5 -82.5 -132.5 -77.5 -127.5 {name=vn dir=in }
+B 5 -2.5 -212.5 2.5 -207.5 {name=trim[4:0] dir=in }
+B 5 17.5 -202.5 22.5 -197.5 {name=trimb[4:0] dir=in }
+P 4 5 -60 -210 -60 -90 60 -160 -60 -230 -60 -210 {}
+P 4 2 -30 -90 -30 -107 {}
+P 4 2 -30 -213 -30 -230 {}
+P 4 2 -0 -110 0 -125 {}
+P 4 2 -0 -209 0 -195 {}
+P 4 4 -34 -148 -17 -148 -17 -174 0 -174 {}
+P 4 2 50 -180 26 -180 {}
+P 4 2 50 -140 26 -140 {}
+P 4 2 20 -200 20 -183.5 {}
+T {@symname} 13 -126 0 0 0.2 0.2 {}
+T {@name} 13 -113 0 0 0.2 0.2 {}
+T {clk} -8 -141 0 0 0.2 0.2 {}
+T {outp} 34 -175 0 1 0.2 0.2 {}
+T {vp} -58 -196 0 0 0.2 0.2 {}
+T {outn} 34 -157 0 1 0.2 0.2 {}
+T {vn} -58 -136 0 0 0.2 0.2 {}
+T {trim} -26 -197 0 0 0.2 0.2 {}
+T {vdd} -49 -213 0 0 0.2 0.2 {}
+T {vss} -41 -121 0 0 0.2 0.2 {}
+T {trimb} -9 -186 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/comparator/trim.sch b/xschem/sub/sar_10b/comparator/trim.sch
new file mode 100644
index 0000000..f20f734
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/trim.sch
@@ -0,0 +1,126 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 440 -630 440 -590 {lab=n4}
+N 440 -560 470 -560 {lab=vss}
+N 440 -710 440 -690 {lab=drain}
+N 360 -560 400 -560 {lab=d[4]}
+N 440 -530 440 -500 {lab=vss}
+N 630 -630 630 -590 {lab=n3}
+N 630 -560 660 -560 {lab=vss}
+N 630 -710 630 -690 {lab=drain}
+N 550 -560 590 -560 {lab=d[3]}
+N 630 -530 630 -500 {lab=vss}
+N 820 -500 1010 -500 {lab=vss}
+N 820 -630 820 -590 {lab=n2}
+N 820 -560 850 -560 {lab=vss}
+N 820 -710 820 -690 {lab=drain}
+N 740 -560 780 -560 {lab=d[2]}
+N 820 -530 820 -500 {lab=vss}
+N 630 -500 820 -500 {lab=vss}
+N 440 -500 630 -500 {lab=vss}
+N 1010 -500 1200 -500 {lab=vss}
+N 1010 -630 1010 -590 {lab=n1}
+N 1010 -710 1010 -690 {lab=drain}
+N 930 -560 970 -560 {lab=d[1]}
+N 1010 -530 1010 -500 {lab=vss}
+N 1200 -630 1200 -590 {lab=n0}
+N 1200 -560 1230 -560 {lab=vss}
+N 1200 -710 1200 -690 {lab=drain}
+N 1120 -560 1160 -560 {lab=d[0]}
+N 1200 -530 1200 -500 {lab=vss}
+N 1230 -560 1230 -500 {lab=vss}
+N 1200 -500 1230 -500 {lab=vss}
+N 1040 -560 1040 -500 {lab=vss}
+N 850 -560 850 -500 {lab=vss}
+N 660 -560 660 -500 {lab=vss}
+N 470 -560 470 -500 {lab=vss}
+N 440 -710 1310 -710 {lab=drain}
+N 1010 -560 1040 -560 {lab=vss}
+N 310 -500 440 -500 {lab=vss}
+C {devices/lab_wire.sym} 400 -560 0 0 {name=l34 sig_type=std_logic lab=d[4]}
+C {devices/iopin.sym} 310 -500 2 0 {name=p5 lab=vss}
+C {devices/ipin.sym} 310 -560 0 0 {name=p8 lab=d[4:0]}
+C {devices/opin.sym} 1310 -710 0 0 {name=p1 lab=drain}
+C {sky130_primitives/nfet_01v8_lvt.sym} 420 -560 0 0 {name=M4[7:0]
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 590 -560 0 0 {name=l1 sig_type=std_logic lab=d[3]}
+C {sky130_primitives/nfet_01v8_lvt.sym} 610 -560 0 0 {name=M3[3:0]
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 780 -560 0 0 {name=l2 sig_type=std_logic lab=d[2]}
+C {sky130_primitives/nfet_01v8_lvt.sym} 800 -560 0 0 {name=M2[1:0]
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 970 -560 0 0 {name=l3 sig_type=std_logic lab=d[1]}
+C {sky130_primitives/nfet_01v8_lvt.sym} 990 -560 0 0 {name=M1
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1160 -560 0 0 {name=l4 sig_type=std_logic lab=d[0]}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1180 -560 0 0 {name=M0
+L=0.3
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 440 -600 0 0 {name=l5 sig_type=std_logic lab=n4}
+C {devices/lab_wire.sym} 630 -600 0 0 {name=l6 sig_type=std_logic lab=n3}
+C {devices/lab_wire.sym} 820 -600 0 0 {name=l7 sig_type=std_logic lab=n2}
+C {devices/lab_wire.sym} 1010 -600 0 0 {name=l8 sig_type=std_logic lab=n1}
+C {devices/lab_wire.sym} 1200 -600 0 0 {name=l9 sig_type=std_logic lab=n0}
+C {sar_10b/comparator/trimcap.sym} 420 -620 0 0 {name=x4[7:0]}
+C {sar_10b/comparator/trimcap.sym} 610 -620 0 0 {name=x3[3:0]}
+C {sar_10b/comparator/trimcap.sym} 800 -620 0 0 {name=x2[1:0]}
+C {sar_10b/comparator/trimcap.sym} 990 -620 0 0 {name=x1}
+C {sar_10b/comparator/trimcap.sym} 1180 -620 0 0 {name=x0}
diff --git a/xschem/sub/sar_10b/comparator/trim.sym b/xschem/sub/sar_10b/comparator/trim.sym
new file mode 100644
index 0000000..b565c62
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/trim.sym
@@ -0,0 +1,23 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 0 -90 0 -70 {}
+L 4 -40 -10 -20 -10 {}
+L 4 0 50 0 70 {}
+B 5 -2.5 -92.5 2.5 -87.5 {name=drain dir=out }
+B 5 -42.5 -12.5 -37.5 -7.5 {name=d[4:0] dir=in }
+B 5 -2.5 67.5 2.5 72.5 {name=vss dir=inout }
+A 4 0 26 31.01612483854165 69.22774531795417 41.54450936409165 {}
+P 4 2 -11 -15 11 -15 {}
+P 4 2 -0 -5 -0 50 {}
+P 4 2 0 -70 0 -15 {}
+P 4 5 -12 2 13 -23 8 -23 13 -18 13 -23 {}
+T {@symname} 4 -76 0 0 0.3 0.3 {}
+T {@name} 5 -52 0 0 0.2 0.2 {}
+T {d[4:0]} -45 -34 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/comparator/trim_pex.sp b/xschem/sub/sar_10b/comparator/trim_pex.sp
new file mode 100644
index 0000000..18a7355
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/trim_pex.sp
@@ -0,0 +1,37 @@
+* pex extracted trim circuit
+
+.subckt trim drain d_4 d_3 d_2 d_1 d_0 vss
+X0 n4 d_4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=2.61e+12p ps=2.322e+07u w=1e+06u l=300000u
+X2 n4 d_4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X5 vss d_4 n4 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X6 vss d_4 n4 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X7 vss d_4 n4 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X10 vss d_4 n4 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X12 n4 d_4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X14 n4 d_4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+
+X4 n3 d_3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=8.7e+11p pd=7.74e+06u as=0p ps=0u w=1e+06u l=300000u
+X8 vss d_3 n3 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X11 vss d_3 n3 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X15 n3 d_3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+
+X1 n2 d_2 vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=300000u
+X9 vss d_2 n2 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+
+X13 n1 d_1 vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+
+X3 vss d_0 n0 vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+
+C6 drain n4 29.02fF
+C3 drain n3 14.55fF
+C2 drain n2 7.38fF
+C4 drain n1 3.69fF
+C5 drain n0 3.69fF
+
+C1 n4 n3 6.78fF
+C0 n4 n2 3.57fF
+C7 n3 n2 2.16fF
+
+C8 drain vss 13.51fF
+.ends
+
diff --git a/xschem/sub/sar_10b/comparator/trimcap.sch b/xschem/sub/sar_10b/comparator/trimcap.sch
new file mode 100644
index 0000000..7096903
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/trimcap.sch
@@ -0,0 +1,18 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+T {Custom made MOM cap} 160 -120 0 0 0.4 0.4 {}
+N 70 -260 70 -230 {lab=cp}
+N 70 -260 80 -260 {lab=cp}
+N 70 -80 70 -50 {lab=cn}
+N 70 -50 80 -50 {lab=cn}
+N 70 -230 70 -220 { lab=cp}
+N 70 -160 70 -140 { lab=#net1}
+C {devices/iopin.sym} 80 -260 0 0 {name=p1 lab=cp}
+C {devices/iopin.sym} 80 -50 0 0 {name=p2 lab=cn}
+C {devices/capa.sym} 70 -110 0 0 {name=c0 m=1 value=2f}
+C {devices/capa.sym} 70 -190 0 0 {name=c1 m=1 value=1f
+}
diff --git a/xschem/sub/sar_10b/comparator/trimcap.sym b/xschem/sub/sar_10b/comparator/trimcap.sym
new file mode 100644
index 0000000..3a8163e
--- /dev/null
+++ b/xschem/sub/sar_10b/comparator/trimcap.sym
@@ -0,0 +1,17 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 20 -35 20 -10 {}
+L 4 20 -70 20 -45 {}
+L 4 10 -45 30 -45 {}
+B 5 17.5 -72.5 22.5 -67.5 {name=cp dir=inout }
+B 5 17.5 -12.5 22.5 -7.5 {name=cn dir=inout }
+A 4 20 -13.75 21.25 61.92751306414704 56.14497387170592 {}
+T {@symname} 30.5 -66 0 0 0.2 0.2 {}
+T {@name} 35 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/control/cmos_cells_digital.sp b/xschem/sub/sar_10b/control/cmos_cells_digital.sp
new file mode 100644
index 0000000..2353e4c
--- /dev/null
+++ b/xschem/sub/sar_10b/control/cmos_cells_digital.sp
@@ -0,0 +1,34 @@
+.SUBCKT BUF A Y
+.model buffer d_buffer
+Abuf A Y buffer
+.ENDS NOT
+
+.SUBCKT NOT A Y
+.model not d_inverter
+Anot A Y not
+.ENDS NOT
+
+.SUBCKT NAND A B Y
+.model nand d_nand
+Anand [A B] Y nand
+.ENDS NAND
+
+.SUBCKT NOR A B Y
+.model nor d_nor
+Anor [A B] Y nor
+.ENDS NOR
+
+.SUBCKT DLATCH E D Q
+.model latch d_latch
+Alatch D E null null Q nQ latch
+.ENDS DLATCH
+
+.SUBCKT DFF C D Q
+.model dff d_dff
+Adff D C null null Q null dff
+.ENDS DFF
+
+.SUBCKT DFFSR C D Q S R
+.model dff d_dff
+Adsrff D C S R Q null dff
+.ENDS DFF
diff --git a/xschem/sub/sar_10b/control/sarlogic.ext.spice b/xschem/sub/sar_10b/control/sarlogic.ext.spice
new file mode 100644
index 0000000..daa68bf
--- /dev/null
+++ b/xschem/sub/sar_10b/control/sarlogic.ext.spice
@@ -0,0 +1,3661 @@
+* SPICE3 file created from sarlogic.ext - technology: sky130A
+
+.subckt sarlogic trim_4_ trim_3_ trim_2_ trim_1_ trim_0_ trimb_4_ trimb_3_ trimb_2_ trimb_1_ trimb_0_ clkc
++ comp cal en ctlp_9_ ctlp_8_ ctlp_7_ ctlp_6_ ctlp_5_ ctlp_4_ ctlp_3_ ctlp_2_ ctlp_1_ ctlp_0_ clk
++ result_9_ result_8_ result_7_ result_6_ result_5_ result_4_ result_3_ result_2_ result_1_ result_0_ ctln_9_
++ ctln_8_ ctln_7_ ctln_6_ ctln_5_ ctln_4_ ctln_3_ ctln_2_ ctln_1_ ctln_0_ valid rstn sample VPWR VGND
+X0 a_5782_8983# a_5878_8725# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=2.27593e+14p ps=2.3678e+09u w=420000u l=150000u
+X1 a_13239_2601# a_12889_2229# a_13144_2589# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2 a_13359_9839# a_13269_10071# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=3.38429e+14p ps=3.28145e+09u w=1e+06u l=150000u
+X3 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X4 VGND a_6200_5719# a_4713_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X5 a_10865_4405# a_10699_4405# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X6 a_6177_7663# a_6000_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X7 a_14096_8573# a_13091_8207# a_14020_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X8 a_1307_7637# a_1151_7905# a_1452_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X9 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X10 a_14839_743# a_3340_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X11 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X12 a_4779_1653# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X13 a_2255_11169# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X14 VGND a_7967_10535# a_7939_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X15 a_6169_11471# a_4608_9929# a_5823_11721# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X16 VPWR a_4487_2919# a_6085_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X17 a_9523_10525# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X18 ctln_9_ a_13643_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X19 a_9493_3631# a_8763_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X20 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X21 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X22 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X23 a_13416_8983# a_13631_8764# a_13558_8790# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=1.533e+11p ps=1.57e+06u w=420000u l=150000u
+X24 VGND a_3639_6164# a_8767_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X25 a_13703_4943# a_13257_4943# a_13607_4943# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X26 VPWR a_9811_4373# a_14839_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X27 VGND a_9240_6807# a_10699_4405# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X28 a_8787_1377# a_9240_6807# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X29 VGND a_5227_6183# a_1719_4917# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X30 a_5905_11721# a_4608_9929# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X31 a_8105_7119# a_7939_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X32 a_13715_3133# a_13091_2767# a_13607_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X33 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X34 VGND a_4976_7119# a_5398_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X35 a_1265_1679# a_1221_1921# a_1099_1679# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X36 VGND a_579_7663# a_1503_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X37 a_2931_7637# a_3057_11471# a_3362_7983# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X38 a_9305_1679# a_8879_2006# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X39 a_13457_2197# a_13239_2601# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X40 VGND a_813_591# a_13869_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X41 VPWR a_813_591# a_1360_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X42 VGND a_12773_8970# a_11251_9447# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X43 a_7242_7271# a_9195_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X44 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X45 a_1184_5461# a_1363_5469# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X46 a_9679_10620# a_9523_10525# a_9824_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X47 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.24e+12p pd=2.048e+07u as=0p ps=0u w=1e+06u l=150000u
+X48 a_4391_2919# a_11955_4703# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X49 VGND a_13828_3285# a_14839_1143# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X50 VPWR a_10658_12711# a_10607_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X51 a_13327_3285# a_10660_5719# a_13545_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X52 a_10977_3631# a_8619_2741# a_10543_3543# VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X53 a_4822_3901# a_4792_3875# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X54 VGND a_448_11989# result_7_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X55 a_10770_6707# a_11048_6691# a_11004_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X56 VGND a_11260_12711# a_11037_12533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X57 a_7297_11471# a_5939_12692# a_6863_11623# VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X58 a_10786_4105# a_8619_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.35e+11p pd=5.07e+06u as=0p ps=0u w=1e+06u l=150000u
+X59 a_1761_7119# a_1170_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X60 a_5048_3855# a_4220_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=3.8675e+11p pd=3.79e+06u as=0p ps=0u w=650000u l=150000u
+X61 a_2835_4020# a_2927_3829# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X62 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X63 ctlp_4_ a_4535_12023# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X64 a_2767_6575# a_2143_6581# a_2659_6953# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X65 a_1238_7663# a_1112_7779# a_834_7795# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X66 a_448_11989# a_627_11997# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X67 VGND a_13420_7895# a_14653_9071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X68 a_11889_4777# a_10699_4405# a_11780_4777# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X69 VPWR a_813_591# a_11388_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X70 a_2111_2455# a_2221_1679# a_2285_2561# VGND sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X71 VGND a_3340_9269# a_4711_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X72 VPWR a_813_591# a_1360_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X73 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X74 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X75 a_2787_10633# a_1811_11445# a_2869_10383# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X76 a_6981_7093# a_1981_5088# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X77 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.053e+12p ps=1.104e+07u w=650000u l=150000u
+X78 a_10663_4943# a_10147_4943# a_10568_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X79 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X80 a_8273_6183# a_8369_6005# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X81 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X82 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X83 VPWR a_8443_4399# a_9230_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X84 VPWR a_4601_1109# a_5744_565# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X85 VGND a_9240_6807# a_13091_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X86 VPWR a_6808_11989# a_6746_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X87 a_4792_3875# a_5727_7485# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X88 VPWR a_8215_1135# a_11742_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X89 a_13715_5309# a_13091_4943# a_13607_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X90 a_10935_10901# a_11138_11059# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X91 a_10459_6005# a_9823_7895# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X92 a_6654_10927# a_5935_11169# a_6091_10901# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X93 VGND a_4048_3829# a_5717_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X94 a_6729_7895# a_4735_6575# a_6892_7779# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X95 VPWR a_2877_6549# a_2767_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X96 VGND a_10568_565# ctln_7_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X97 VPWR a_6964_8359# a_13214_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X98 VGND a_3887_8977# a_4061_7895# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X99 VGND a_5257_1679# a_5766_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X100 a_777_6031# a_742_6283# a_539_6005# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X101 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.62e+12p ps=1.524e+07u w=1e+06u l=150000u
+X102 VPWR a_5521_565# a_5455_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X103 VPWR a_9240_6807# a_12723_2229# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X104 VGND a_13643_591# ctln_9_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X105 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X106 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X107 a_2974_10927# a_2216_11043# a_2411_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X108 a_1617_8029# a_1238_7663# a_1545_8029# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X109 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X110 a_6065_8983# a_6161_8725# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X111 a_13257_8207# a_13091_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X112 a_10753_1109# a_11771_2527# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X113 VPWR a_4043_8181# a_4030_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X114 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X115 a_3513_6031# a_3483_6005# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X116 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X117 VGND a_11851_8983# a_11803_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X118 a_8945_7663# a_8767_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X119 a_6200_5719# a_3003_5095# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X120 a_6746_12015# a_6027_12257# a_6183_11989# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X121 a_2931_7637# a_3057_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X122 a_5253_3133# a_5257_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.297e+11p pd=3.25e+06u as=0p ps=0u w=420000u l=150000u
+X123 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X124 VPWR a_2957_4399# a_4018_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X125 VGND a_1215_10620# a_1146_10749# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X126 VPWR a_2073_11616# a_3697_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X127 VPWR a_11211_10357# a_11159_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X128 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X129 VPWR a_1215_6268# a_1146_6397# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X130 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X131 VGND a_2957_4399# a_4018_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X132 a_6097_1109# a_5879_1513# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X133 a_7343_11445# a_9195_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X134 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X135 VPWR a_482_1831# a_1775_1141# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X136 a_11780_4777# a_10699_4405# a_11433_4373# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X137 VGND a_1840_4104# a_1778_4221# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X138 a_8211_12711# a_9287_11231# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X139 a_5547_7485# a_3887_8977# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X140 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X141 VPWR a_11455_11169# a_11416_11043# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X142 VPWR a_8945_7663# a_9871_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X143 VPWR a_10584_2767# a_10759_2741# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X144 a_3391_5461# a_3513_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X145 a_627_8733# a_4043_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X146 VGND a_4487_2919# a_6167_6895# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.08e+11p ps=1.94e+06u w=650000u l=150000u
+X147 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X148 VGND a_13698_6183# a_14641_4007# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X149 a_13511_3829# a_10660_5719# a_13729_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X150 ctlp_2_ a_2787_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X151 a_11311_4777# a_10865_4405# a_11215_4777# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X152 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X153 VGND a_4779_1653# a_4713_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X154 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X155 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X156 a_10313_4943# a_10147_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X157 VGND a_8259_3285# a_8205_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X158 VGND a_1868_8181# a_2787_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X159 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X160 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X161 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X162 VGND a_5396_6417# a_8084_5461# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.5425e+11p ps=3.69e+06u w=650000u l=150000u
+X163 trim_1_ a_14839_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X164 VPWR a_3107_2741# a_2219_2455# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X165 a_3862_4105# a_4048_3829# a_4006_3855# VGND sky130_fd_pr__nfet_01v8 ad=3.9325e+11p pd=2.51e+06u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X166 VPWR a_813_591# a_6328_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X167 VGND a_448_11445# result_6_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X168 VGND a_13605_7093# a_11748_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X169 VGND a_4931_10535# a_4903_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X170 result_9_ a_1184_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X171 a_6559_2767# a_4487_2919# a_6465_2767# VGND sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.08e+11p ps=1.94e+06u w=650000u l=150000u
+X172 VGND a_14641_4007# a_12355_5095# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X173 VPWR a_3111_4917# a_3762_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.478e+11p ps=2.86e+06u w=420000u l=150000u
+X174 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=9.408e+11p pd=1.12e+07u as=0p ps=0u w=420000u l=150000u
+X175 VGND a_3615_11471# a_4353_11247# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X176 trimb_1_ a_14839_9847# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X177 a_10129_8207# a_9963_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X178 a_1677_1679# a_487_1679# a_1568_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X179 a_11237_12015# a_10646_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X180 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X181 VPWR a_6979_9813# a_627_10909# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X182 VGND a_7881_2223# a_8618_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X183 a_2213_11293# a_1735_10901# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X184 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X185 a_7992_565# a_8171_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X186 VGND a_10941_12711# a_10754_12533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X187 a_8084_5461# a_4792_3875# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X188 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X189 VGND a_1840_10632# a_1778_10749# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X190 VGND a_5047_10357# a_6169_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X191 a_12194_1831# a_13979_2527# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X192 VPWR a_10869_6031# a_11806_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X193 VPWR a_2921_11619# a_2879_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X194 a_6331_6183# a_487_3855# a_6571_6031# VGND sky130_fd_pr__nfet_01v8 ad=3.8025e+11p pd=3.77e+06u as=3.38e+11p ps=2.34e+06u w=650000u l=150000u
+X195 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X196 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X197 VGND a_7939_3855# a_8483_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X198 a_1778_10749# a_1059_10525# a_1215_10620# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X199 a_11429_10633# a_627_11997# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X200 VGND a_9195_7093# a_9129_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X201 a_4443_3424# a_3883_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X202 a_13420_7895# a_14666_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X203 VGND a_813_591# a_3905_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X204 VGND a_5894_4399# a_6000_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X205 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X206 VGND a_8084_5461# a_4220_5719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X207 a_742_6283# a_1020_6299# a_976_6397# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X208 VGND a_1215_6268# a_1146_6397# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X209 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X210 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X211 a_4958_1679# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X212 a_6612_2197# a_6997_2197# a_6741_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X213 a_2277_3677# a_2233_3285# a_2111_3689# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X214 VGND a_9240_6807# a_12999_4405# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X215 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X216 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X217 a_13558_9117# a_6721_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X218 a_2556_10927# a_2342_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X219 a_6892_7779# a_4713_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X220 a_13942_6031# a_6546_3311# a_13647_6031# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X221 a_7060_12809# a_627_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X222 a_12439_11989# a_12283_12257# a_12584_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X223 a_1683_11721# a_1811_11445# a_1765_11471# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X224 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X225 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X226 a_5648_8359# a_5744_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X227 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X228 a_11487_7093# a_11748_7271# a_11706_7119# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X229 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X230 a_8194_5195# a_8511_5085# a_8469_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X231 VGND a_5415_10901# a_4608_9929# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X232 VGND a_6844_9447# a_5939_12692# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X233 a_9679_10620# a_9484_10651# a_9989_10383# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X234 a_3944_1679# a_3785_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X235 a_9887_12559# a_9636_12809# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X236 a_3208_8207# a_2879_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X237 a_7991_9269# a_8274_9269# a_8209_9545# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X238 a_7279_8207# a_7006_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X239 VGND a_8443_4399# a_9230_5309# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X240 VGND a_7991_4917# a_3853_3285# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X241 a_3961_9071# a_3887_8977# a_3615_8751# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X242 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X243 VPWR a_908_1109# ctln_1_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X244 a_7753_8725# a_7535_9129# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X245 a_1307_7637# a_1112_7779# a_1617_8029# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X246 a_4797_3311# a_4443_3424# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X247 a_3057_9545# a_627_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X248 VPWR a_2411_10901# a_2342_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X249 VPWR a_4976_7119# a_8307_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X250 a_10655_9460# a_10747_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X251 a_14189_4777# a_12999_4405# a_14080_4777# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X252 VGND a_621_8355# a_579_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X253 a_9945_1679# a_9779_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X254 VPWR a_5257_1679# a_5766_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X255 VGND a_813_591# a_5745_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X256 a_2606_12015# a_1887_12257# a_2043_11989# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X257 VGND a_13845_9049# a_13779_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X258 a_9374_7119# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X259 VGND a_2835_5461# a_7847_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=6.565e+11p ps=5.92e+06u w=650000u l=150000u
+X260 a_6842_4399# a_6651_4399# a_7032_4719# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=5.135e+11p ps=5.48e+06u w=650000u l=150000u
+X261 a_11363_11613# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X262 ctln_5_ a_7992_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X263 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X264 a_1184_5461# a_1363_5469# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X265 VGND a_8943_1109# a_8874_1135# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X266 a_2877_6549# a_2659_6953# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X267 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X268 VPWR a_579_8207# a_757_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X269 VGND a_11771_2527# a_11705_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X270 a_2233_3285# a_2015_3689# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X271 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X272 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X273 a_1868_8181# a_1810_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X274 VGND a_14839_10071# a_14839_9847# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X275 VPWR a_6161_8725# a_7991_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X276 VPWR a_12525_4943# a_14839_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X277 a_7067_10907# a_9871_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X278 a_5347_2947# a_6619_1439# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X279 VGND a_4505_1109# a_7097_1831# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X280 VPWR a_14839_591# ctln_0_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X281 ctln_0_ a_14839_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X282 VPWR a_13919_12023# ctlp_8_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X283 a_3224_6953# a_2309_6581# a_2877_6549# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X284 a_10479_7093# a_14247_6549# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X285 a_2304_4777# a_1389_4405# a_1957_4373# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X286 a_5046_8359# a_5142_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X287 a_2877_6549# a_2659_6953# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X288 VPWR a_11851_8983# a_11803_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X289 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X290 VPWR a_3832_4007# a_6842_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X291 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X292 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X293 a_13558_8790# a_6721_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X294 a_11487_7093# a_11846_7093# a_11623_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=5.35e+11p ps=5.07e+06u w=1e+06u l=150000u
+X295 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X296 VPWR a_7067_10907# a_8031_10933# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X297 VGND a_813_591# a_8717_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X298 VPWR a_6612_2197# a_3107_2741# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X299 a_4093_5176# a_3233_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X300 VPWR a_8151_12015# a_9091_565# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X301 VPWR a_813_591# a_7991_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X302 VGND a_7097_1831# a_5648_743# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X303 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X304 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X305 a_1087_1117# a_757_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X306 a_3870_11723# a_4187_11613# a_4145_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X307 a_9253_1501# a_8874_1135# a_9181_1501# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X308 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X309 VGND a_12525_4943# a_14839_10071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X310 VPWR a_11596_2601# a_11771_2527# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X311 a_6427_6183# a_3832_4007# a_7117_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X312 a_14347_4917# a_14172_4943# a_14526_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X313 VGND a_10383_7271# a_10207_7304# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X314 VPWR a_8763_2919# a_12294_1929# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X315 a_7142_12809# a_627_11445# a_7060_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X316 a_13635_10383# a_11889_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X317 VPWR a_11545_7961# a_11575_7702# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X318 VGND a_11845_6183# a_11793_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X319 a_6377_3631# a_6427_6183# a_6546_3311# VGND sky130_fd_pr__nfet_01v8 ad=7.085e+11p pd=7.38e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X320 a_2839_7093# a_3340_7093# a_3270_7119# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X321 a_5975_6575# a_1981_5088# a_5881_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=6.5e+11p pd=5.3e+06u as=3.2e+11p ps=2.64e+06u w=1e+06u l=150000u
+X322 VGND a_11035_1653# a_10969_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X323 a_2445_9117# a_2066_8751# a_2373_9117# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X324 VPWR a_9240_6807# a_9779_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X325 a_11780_4777# a_10865_4405# a_11433_4373# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X326 a_5783_12533# a_5939_12692# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X327 result_1_ a_448_6549# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X328 a_14012_3829# a_14046_5487# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X329 VGND a_2073_11616# a_2029_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X330 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X331 a_11950_2589# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X332 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X333 a_13257_9295# a_13091_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X334 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X335 a_3832_4007# a_4938_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X336 a_10513_1921# a_10295_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X337 a_2304_4777# a_1223_4405# a_1957_4373# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X338 a_14096_9661# a_13257_9295# a_14120_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X339 a_11706_7119# a_11622_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X340 VPWR a_3057_11471# a_3791_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X341 VPWR a_13420_7895# a_13823_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X342 a_757_8207# a_579_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X343 VPWR a_813_591# a_11756_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X344 a_7893_10205# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X345 VPWR a_1761_7119# a_1778_6397# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X346 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X347 VPWR a_621_8355# a_579_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X348 a_6729_7895# a_4713_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X349 a_13457_2197# a_13239_2601# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X350 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X351 a_9029_2767# a_8491_3017# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X352 a_12283_12257# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X353 a_448_3285# a_627_3293# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X354 result_7_ a_448_11989# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X355 a_12370_12015# a_12283_12257# a_11966_12147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X356 a_14120_8207# a_13540_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X357 a_7657_1455# a_4505_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X358 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X359 a_10770_6707# a_11087_6817# a_11045_6941# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X360 VPWR a_813_591# a_8812_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X361 VPWR a_8667_5180# a_8598_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X362 a_13804_2601# a_12889_2229# a_13457_2197# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X363 VGND a_11251_9447# a_11251_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X364 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X365 a_5894_4399# a_5717_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X366 a_8977_4943# a_8598_5309# a_8905_4943# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X367 a_9274_10927# a_8197_10933# a_9112_11305# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X368 a_2066_8751# a_1979_8993# a_1662_8883# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X369 a_8455_7119# a_8105_7119# a_8360_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X370 a_7992_565# a_8171_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X371 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X372 a_11545_7961# a_6721_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X373 a_9182_7485# a_8105_7119# a_9020_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X374 a_448_5461# a_627_5469# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X375 a_7603_2561# a_4797_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X376 a_4343_11708# a_4148_11739# a_4653_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X377 VGND a_14347_2741# a_14281_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X378 VPWR a_2233_3285# a_2123_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X379 a_4266_5526# a_4220_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X380 VPWR a_8470_12015# a_8576_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X381 a_2965_1513# a_1775_1141# a_2856_1513# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X382 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X383 a_6545_3855# a_6368_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X384 VPWR a_13919_11471# ctlp_9_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X385 a_4711_2223# a_3340_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X386 VGND a_5411_3543# a_2927_3829# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X387 a_8259_3285# a_3003_5095# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X388 VPWR a_9187_9813# a_9135_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X389 VPWR a_3832_4007# a_4566_6691# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X390 a_3944_1679# a_3785_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X391 a_6183_11989# a_6027_12257# a_6328_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X392 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X393 a_4801_7369# a_4713_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.95e+11p pd=5.19e+06u as=0p ps=0u w=1e+06u l=150000u
+X394 a_1765_11721# a_1315_12015# a_1683_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X395 a_11118_8421# a_10968_8573# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X396 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X397 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X398 a_8912_565# a_9091_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X399 a_8667_5180# a_8511_5085# a_8812_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X400 VGND a_2043_11989# a_1974_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X401 a_8275_9055# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X402 a_10746_3133# a_9669_2767# a_10584_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X403 VGND a_813_591# a_1605_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X404 a_1887_12257# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X405 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X406 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X407 VPWR a_6262_1679# a_6368_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X408 VGND a_6027_12257# a_5988_12131# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X409 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X410 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X411 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X412 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X413 a_4976_7119# a_4735_6575# a_4801_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X414 a_9415_6037# a_4792_3875# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.226e+11p pd=2.74e+06u as=0p ps=0u w=420000u l=150000u
+X415 VPWR a_813_591# a_9088_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X416 a_1460_6549# a_1639_6557# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X417 VGND a_1761_7119# a_1778_6397# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X418 VPWR a_10843_11445# a_1363_5469# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X419 a_10689_1135# a_8483_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X420 VGND a_9240_6807# a_10515_2229# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X421 a_3133_10383# a_1683_10927# a_2787_10633# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X422 VPWR a_813_591# a_10567_6549# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X423 VGND a_10753_1109# a_10977_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X424 a_10331_6281# a_10459_6005# a_10413_6031# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X425 VGND a_13324_7895# a_13269_10071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X426 a_4048_3829# a_5398_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X427 VPWR a_4535_12023# ctlp_4_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X428 ctln_3_ a_3983_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X429 VPWR en a_430_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X430 a_4006_3855# a_3883_3311# a_3707_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.2575e+11p ps=3.91e+06u w=650000u l=150000u
+X431 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X432 VGND a_8211_12711# a_9636_12809# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X433 a_1367_11989# a_1570_12147# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X434 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X435 VPWR a_13828_3285# a_14089_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X436 a_2689_5719# a_7131_5526# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X437 a_6177_7663# a_6000_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X438 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X439 VPWR a_5598_5719# a_5547_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X440 a_3521_8449# a_3303_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X441 a_2099_5706# a_2191_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X442 a_5598_5719# a_5694_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X443 a_8360_7119# a_6929_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X444 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X445 a_6546_3311# a_6427_6183# a_6377_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X446 VGND a_3667_11445# a_3615_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X447 a_7117_4105# a_3111_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X448 VPWR a_813_591# a_10935_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X449 a_12294_1929# a_12194_1831# a_12212_1929# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X450 a_13347_2223# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X451 a_14255_4703# a_14080_4777# a_14434_4765# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X452 a_11575_7702# a_11295_8725# a_11116_7895# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X453 a_3697_9071# a_1683_10927# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X454 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X455 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X456 a_9397_2223# a_9220_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X457 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X458 VPWR a_4705_6031# a_5727_7485# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X459 VPWR a_6721_2741# a_10413_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X460 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X461 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X462 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X463 a_2291_1513# a_1941_1141# a_2196_1501# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X464 VGND a_607_2223# a_3707_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X465 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X466 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X467 VGND a_3853_3285# a_3883_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X468 a_10941_12711# a_11037_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X469 a_13753_7961# a_13420_7895# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X470 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X471 VGND a_4487_2919# a_6085_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X472 VPWR a_2957_4399# a_4349_6295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X473 a_13511_6005# a_10660_5719# a_13729_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X474 result_6_ a_448_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X475 VGND a_9240_6807# a_9503_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X476 a_11120_4765# a_10515_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X477 VGND a_11895_12559# a_12082_11837# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X478 a_13540_9295# a_13091_9295# a_13445_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.44e+11p pd=1.52e+06u as=1.87e+11p ps=1.93e+06u w=360000u l=150000u
+X479 a_10564_5719# a_10779_5500# a_10706_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=1.533e+11p ps=1.57e+06u w=420000u l=150000u
+X480 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X481 a_9100_1679# a_8215_1135# a_8879_2006# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X482 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X483 VGND a_6427_6183# a_9125_5807# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X484 VPWR a_1887_12257# a_1848_12131# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X485 a_4030_8573# a_2953_8207# a_3868_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X486 VPWR a_11243_6549# a_11174_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X487 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X488 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X489 a_4508_6037# a_3853_3285# a_4436_6037# VGND sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X490 a_7881_2223# a_7603_2561# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X491 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X492 a_9841_4399# a_627_4917# a_9687_4719# VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X493 VPWR a_1315_2919# a_1315_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X494 a_7935_4719# a_4583_2741# a_7847_4719# VGND sky130_fd_pr__nfet_01v8 ad=2.665e+11p pd=2.12e+06u as=0p ps=0u w=650000u l=150000u
+X495 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X496 VGND a_1981_5088# a_1937_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X497 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X498 a_11403_4917# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X499 a_5678_841# a_5648_743# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=9.65e+11p pd=7.93e+06u as=0p ps=0u w=1e+06u l=150000u
+X500 VGND a_719_2932# a_671_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X501 VGND a_813_591# a_14360_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X502 a_1585_8457# a_579_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X503 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X504 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X505 VPWR a_2304_4777# a_2479_4703# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X506 VPWR a_813_591# a_539_3829# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X507 a_2309_6581# a_2143_6581# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X508 a_1389_4405# a_1223_4405# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X509 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X510 VPWR a_3667_11445# a_3615_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X511 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X512 a_3362_7983# a_1302_7271# a_3067_7983# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X513 VGND a_10660_5719# a_12124_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X514 a_8673_11713# a_8455_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X515 a_8105_11471# a_7939_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X516 a_7006_8207# a_1981_5088# a_6837_8457# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X517 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X518 a_7987_1844# a_5347_2947# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X519 a_14080_4777# a_13165_4405# a_13733_4373# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X520 VPWR a_4903_10383# a_4906_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X521 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X522 a_4566_6691# a_3033_5193# a_4484_6691# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X523 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X524 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X525 a_9506_1135# a_8787_1377# a_8943_1109# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X526 a_3689_1679# a_3523_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X527 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X528 ctlp_8_ a_13919_12023# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X529 a_10892_8573# a_10412_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X530 a_5529_1141# a_5363_1141# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X531 VGND a_3111_4917# a_5398_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X532 VPWR a_14012_3829# a_14365_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X533 VGND a_14839_10935# trimb_0_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X534 VPWR a_3883_3311# a_3862_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.025e+12p ps=6.05e+06u w=1e+06u l=150000u
+X535 VGND a_813_591# a_13501_2589# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X536 a_10881_5185# a_10663_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X537 a_2698_8751# a_1979_8993# a_2135_8725# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X538 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X539 VPWR a_14839_743# a_14839_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X540 a_8455_7119# a_7939_7119# a_8360_7119# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X541 a_5987_1135# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X542 VPWR a_9568_1109# a_9506_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X543 a_10317_8207# a_10280_8361# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.87e+11p pd=1.93e+06u as=0p ps=0u w=640000u l=150000u
+X544 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X545 trimb_4_ a_14839_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X546 a_11232_8207# a_11118_8421# a_11160_8207# VGND sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X547 a_1239_9839# a_988_9955# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X548 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X549 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X550 VPWR a_7067_10907# a_9963_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X551 VPWR a_11037_12533# a_13919_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X552 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X553 a_10865_4405# a_10699_4405# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X554 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X555 trim_4_ a_12447_5495# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X556 VPWR a_6027_12257# a_5988_12131# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X557 a_11934_2767# a_6546_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X558 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X559 VPWR a_4391_2919# a_10689_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X560 a_9611_12015# a_9360_12131# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X561 VPWR a_11037_12533# a_13359_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X562 VGND a_1151_7905# a_1112_7779# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X563 a_7655_9813# a_7499_10081# a_7800_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X564 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X565 VGND a_2043_2767# a_2787_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X566 a_11035_1653# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X567 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X568 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X569 VGND a_908_1109# ctln_1_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X570 VGND en a_430_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X571 a_11023_5526# a_9841_4399# a_10564_5719# VPWR sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X572 VPWR a_11955_4703# a_4391_2919# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X573 a_4583_2741# a_5253_3133# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X574 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X575 a_10543_3543# a_10753_1109# a_10689_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X576 a_12773_8970# a_9679_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X577 VGND a_6559_591# ctln_4_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X578 VGND a_4048_3829# a_5684_3543# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X579 a_9857_3855# a_9687_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X580 a_1979_8993# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X581 a_1059_3997# a_482_1831# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X582 VPWR a_7343_11445# a_9442_12131# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X583 VPWR a_13540_8207# a_13716_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X584 result_0_ a_448_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X585 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X586 VGND a_5434_4943# a_5540_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X587 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X588 VPWR a_6292_10071# a_5047_10357# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X589 a_15009_9071# a_13420_7895# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.72e+06u as=0p ps=0u w=650000u l=150000u
+X590 ctlp_0_ a_14839_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X591 VPWR a_8369_6005# a_9569_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X592 VGND a_2073_11616# a_3133_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X593 a_13860_9295# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X594 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X595 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X596 a_10413_6281# a_10383_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X597 a_6619_1439# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X598 a_10588_8181# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X599 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X600 a_12124_2767# a_12194_1831# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X601 a_13257_4943# a_13091_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X602 a_11372_10927# a_10935_10901# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X603 a_2066_8751# a_1940_8867# a_1662_8883# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X604 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X605 VPWR a_7987_1844# a_4601_1109# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X606 VPWR a_8619_2741# a_8937_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X607 a_7345_5526# a_4391_2919# a_7131_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X608 VPWR a_10317_11471# a_10599_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X609 a_3210_1501# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X610 result_3_ a_448_8725# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X611 VPWR a_11087_6817# a_11048_6691# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X612 VPWR a_6161_8725# a_11947_12533# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X613 VPWR a_14839_10935# trimb_0_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X614 a_9568_1109# a_9595_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X615 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X616 a_5774_591# a_5744_565# a_5684_591# VGND sky130_fd_pr__nfet_01v8 ad=2.925e+11p pd=2.2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X617 a_4797_3311# a_4443_3424# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X618 VPWR a_5744_565# a_5678_841# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X619 a_1778_6397# a_1020_6299# a_1215_6268# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X620 VGND a_6721_2741# a_10677_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X621 a_7343_11445# a_9195_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X622 a_11921_11293# a_11542_10927# a_11849_11293# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X623 VGND a_10601_12247# a_12219_565# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X624 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X625 ctlp_9_ a_13919_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X626 a_7279_8207# a_7006_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X627 a_7440_9117# a_7279_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X628 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X629 VGND a_11211_10357# a_11159_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X630 VGND a_4583_2741# a_4541_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X631 a_6114_12015# a_5988_12131# a_5710_12147# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X632 a_1003_1679# a_653_1679# a_908_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X633 a_1981_5088# a_3762_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X634 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X635 VPWR a_719_2932# a_671_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X636 VPWR a_5227_6183# a_1719_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.75e+11p ps=2.55e+06u w=1e+06u l=150000u
+X637 VGND a_3483_6005# a_3513_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X638 a_8084_5461# a_4792_3875# a_8476_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
+X639 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X640 VGND a_6612_2197# a_3107_2741# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X641 VPWR a_11159_9071# a_12349_8457# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.45e+11p ps=5.09e+06u w=1e+06u l=150000u
+X642 VGND a_14839_10383# trimb_4_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X643 a_7182_9971# a_7499_10081# a_7457_10205# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X644 a_10869_6031# a_10331_6281# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X645 a_8505_1501# a_8470_1267# a_8267_1109# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X646 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X647 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X648 a_7059_5526# a_6877_5526# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X649 VGND a_11622_7271# a_12435_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.9175e+11p ps=1.89e+06u w=650000u l=150000u
+X650 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X651 VGND a_813_591# a_2553_1501# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X652 VGND a_14542_5487# a_14648_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X653 a_539_10357# a_742_10635# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X654 a_7991_9269# a_2191_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X655 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X656 a_12001_12381# a_11966_12147# a_11763_11989# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X657 VPWR a_9112_11305# a_9287_11231# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X658 a_13845_9049# a_6721_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X659 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X660 a_742_10635# a_1020_10651# a_976_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X661 a_4312_1109# a_3509_1135# a_4441_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X662 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X663 VPWR a_908_12533# ctlp_1_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X664 a_6863_11623# a_7343_11445# a_7009_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X665 a_8197_10933# a_8031_10933# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X666 a_1697_9117# a_1662_8883# a_1459_8725# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X667 VPWR a_448_10901# result_5_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X668 a_13733_4373# a_13515_4777# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X669 a_10242_10749# a_9484_10651# a_9679_10620# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X670 a_10317_8207# a_10280_8361# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.499e+11p pd=2.35e+06u as=0p ps=0u w=840000u l=150000u
+X671 a_10425_7119# a_10383_7271# a_9823_7895# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=5.005e+11p ps=2.84e+06u w=650000u l=150000u
+X672 a_1973_11293# a_1938_11059# a_1735_10901# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X673 a_3697_8751# a_3887_8977# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X674 VPWR a_13716_8181# a_13626_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X675 VPWR a_813_591# a_14096_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X676 VPWR a_4735_9839# a_6594_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X677 VGND a_1059_10525# a_1020_10651# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X678 VPWR a_8211_12711# a_9718_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X679 VGND a_2787_591# ctln_2_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X680 a_5147_9269# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X681 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X682 VPWR clk a_7102_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.6e+11p ps=5.12e+06u w=1e+06u l=150000u
+X683 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X684 a_3340_7093# a_3983_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X685 VPWR a_4514_4399# a_4620_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X686 a_5717_4943# a_5540_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X687 a_13687_8029# a_6721_2741# a_13324_7895# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X688 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X689 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X690 a_448_5461# a_627_5469# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X691 VPWR a_11771_2527# a_11758_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X692 VGND a_14279_10927# a_14839_12023# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X693 VPWR a_448_6549# result_1_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X694 VPWR a_14531_7271# a_13738_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X695 VPWR a_813_591# a_9003_10357# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X696 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X697 a_4597_3677# a_3883_3311# a_4525_3677# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X698 a_8551_11471# a_8105_11471# a_8455_11471# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X699 a_11455_11169# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X700 VPWR a_4124_5719# a_2191_5461# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X701 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X702 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X703 VGND a_8151_12015# a_13091_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X704 a_14246_8421# a_14096_8573# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X705 VGND a_8483_3855# a_8625_2006# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X706 VGND a_8912_565# ctln_6_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X707 valid a_448_3285# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X708 a_9112_11305# a_8197_10933# a_8765_10901# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X709 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X710 a_4147_2045# a_3523_1679# a_4039_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X711 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X712 VPWR a_3862_4105# a_7387_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X713 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X714 a_3067_7983# a_1402_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X715 a_5227_6183# a_2781_5807# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X716 a_1146_10749# a_1020_10651# a_742_10635# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X717 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X718 a_13511_3829# a_14012_3829# a_13942_3855# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X719 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X720 a_2342_10927# a_2216_11043# a_1938_11059# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X721 a_10968_8573# a_9963_8207# a_10892_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=0p ps=0u w=420000u l=150000u
+X722 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X723 VPWR a_14641_4007# a_12355_5095# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X724 a_10568_4943# a_9857_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X725 VPWR a_448_4917# sample VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X726 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X727 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X728 a_1568_1679# a_487_1679# a_1221_1921# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X729 VPWR a_14255_4703# a_14242_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X730 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X731 a_1896_8751# a_1459_8725# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X732 a_11519_11708# a_11363_11613# a_11664_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X733 a_5973_10071# a_5047_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X734 a_2839_9269# a_3340_9269# a_3270_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X735 a_2196_1501# a_1485_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X736 VPWR a_4257_1921# a_4147_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X737 a_14347_2741# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X738 a_13825_5185# a_13607_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X739 VPWR a_9287_11231# a_9274_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X740 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X741 a_13165_4405# a_12999_4405# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X742 a_9636_12809# a_1639_6557# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X743 VGND a_11037_12533# a_13359_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X744 VPWR a_5329_8359# a_5142_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X745 VPWR a_4312_1109# a_3167_2239# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X746 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X747 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X748 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X749 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X750 VGND a_4061_7895# a_3983_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X751 a_4604_1679# a_3523_1679# a_4257_1921# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X752 a_8211_12711# a_9287_11231# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X753 VGND a_11846_7093# a_11487_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X754 a_13463_3631# a_10660_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X755 VGND a_1887_12257# a_1848_12131# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X756 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X757 a_1683_11721# a_1811_11445# a_1765_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X758 a_908_1109# a_1087_1117# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X759 VPWR a_9240_6807# a_13091_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X760 a_13716_9269# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X761 a_1402_7271# a_5398_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X762 VPWR a_813_591# a_1452_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X763 VPWR a_813_591# a_8267_1109# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X764 a_3399_6879# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X765 ctln_8_ a_12040_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X766 a_6167_6895# a_3003_5095# a_6071_6895# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X767 a_448_11989# a_627_11997# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X768 a_7340_7093# a_9415_6037# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X769 VGND a_487_3855# a_6377_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X770 a_6242_5095# a_6338_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X771 VPWR a_5047_10357# a_5905_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X772 a_6525_9447# a_5939_12692# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X773 a_6863_11623# a_5455_12015# a_7009_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X774 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X775 VPWR a_1402_7271# a_5717_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X776 a_2781_5807# a_2689_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X777 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X778 VPWR a_11159_9071# a_11851_8983# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X779 a_1151_7905# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X780 a_10281_2767# a_10237_3009# a_10115_2767# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X781 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X782 a_13650_9295# a_13257_9295# a_13540_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X783 a_1545_8029# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X784 a_5905_11471# a_5455_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X785 VPWR a_448_7093# result_2_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X786 VGND a_14012_3829# a_14839_3319# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X787 VGND comp a_12631_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X788 VPWR a_3233_3311# a_4938_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X789 VGND a_1979_8993# a_1940_8867# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X790 a_12525_4943# a_12355_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X791 a_8619_2741# a_8618_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X792 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X793 a_12024_2767# a_11889_2919# a_11934_2767# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X794 a_14347_4917# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X795 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X796 a_7032_4719# a_6651_4399# a_6842_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X797 a_11045_6941# a_10567_6549# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X798 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X799 a_9858_7663# a_9823_7895# a_9555_7895# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.05e+11p pd=2.61e+06u as=3.9e+11p ps=2.78e+06u w=1e+06u l=150000u
+X800 a_13445_8207# a_13359_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.87e+11p pd=1.93e+06u as=0p ps=0u w=640000u l=150000u
+X801 a_10200_1679# a_9949_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X802 VPWR a_813_591# a_1367_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X803 a_4822_3901# a_4792_3875# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X804 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X805 a_13359_10927# a_11037_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X806 VPWR a_9095_9269# a_2073_11616# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X807 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X808 VGND a_6242_5095# a_6191_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X809 a_14542_5487# a_14365_5487# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X810 a_3270_7119# a_1302_7271# a_2975_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X811 VGND a_1868_8181# a_2129_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X812 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X813 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X814 a_1840_4104# a_1591_5193# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X815 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X816 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X817 VPWR a_9240_6807# a_13091_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X818 a_5817_7119# a_5547_7485# a_5727_7485# VGND sky130_fd_pr__nfet_01v8 ad=1.008e+11p pd=1.32e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X819 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X820 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X821 VPWR a_9114_2223# a_9220_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X822 a_2869_10633# a_1683_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X823 a_9618_10159# a_6161_8725# a_9323_10159# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X824 a_8511_5085# a_9240_6807# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X825 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X826 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X827 VGND a_8511_5085# a_8472_5211# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X828 a_5784_1501# a_5455_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X829 a_6336_2741# a_4391_2919# a_6559_2767# VGND sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X830 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X831 a_13445_9295# a_12525_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.499e+11p pd=2.35e+06u as=0p ps=0u w=840000u l=150000u
+X832 a_4343_11708# a_4187_11613# a_4488_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X833 a_3033_5193# a_3111_4917# a_2879_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X834 a_8563_7485# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X835 a_6979_9813# a_7182_9971# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X836 a_8263_4399# a_4220_5719# a_8155_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.3e+11p pd=2.66e+06u as=3.9e+11p ps=2.78e+06u w=1e+06u l=150000u
+X837 VGND a_1743_1653# a_1677_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X838 VGND a_3983_591# ctln_3_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X839 VGND a_2411_10901# a_2342_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X840 a_1003_1679# a_487_1679# a_908_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X841 a_13002_12015# a_12244_12131# a_12439_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X842 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X843 VPWR a_3615_2919# a_3615_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X844 a_2191_10357# a_7531_7637# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X845 VGND a_7067_10907# a_7939_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X846 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X847 a_10771_5309# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X848 a_9440_10749# a_9003_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X849 a_5648_8359# a_5744_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X850 a_8171_565# a_2191_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X851 VGND a_3340_7093# a_14839_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X852 a_6262_1679# a_6085_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X853 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X854 a_9093_2006# a_6997_2197# a_8879_2006# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X855 a_11237_12015# a_10646_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X856 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X857 a_8763_2919# a_10759_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X858 a_9841_4399# a_9811_4373# a_9769_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X859 a_9374_11471# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X860 VPWR a_11251_9447# a_11251_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X861 a_1059_6173# a_482_1831# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X862 a_5554_2262# a_4505_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X863 VPWR a_3003_5095# a_3615_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X864 VGND a_11487_7093# a_9919_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X865 a_1941_1141# a_1775_1141# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X866 a_4505_1109# a_5766_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X867 VPWR a_3887_8977# a_5547_7485# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X868 VGND a_14839_6031# trim_1_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X869 a_11211_10357# a_10317_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X870 a_13416_8983# a_13624_9019# a_13558_9117# VGND sky130_fd_pr__nfet_01v8 ad=1.995e+11p pd=1.79e+06u as=0p ps=0u w=420000u l=150000u
+X871 a_2835_5461# a_5881_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.7225e+11p pd=1.83e+06u as=0p ps=0u w=650000u l=150000u
+X872 a_9305_1679# a_8879_2006# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X873 VGND a_3832_4007# a_5257_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X874 a_11450_11837# a_11363_11613# a_11046_11723# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X875 a_3018_1135# a_1941_1141# a_2856_1513# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X876 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X877 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X878 VGND a_4735_6575# a_6729_7895# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X879 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X880 trimb_1_ a_14839_9847# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X881 a_10655_9460# a_10747_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X882 VPWR a_4061_7895# a_3983_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X883 VGND a_4601_1109# a_6012_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X884 VPWR a_6242_5095# a_6191_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X885 VPWR a_2012_12533# ctlp_5_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X886 VGND a_2839_7093# a_2447_6746# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X887 a_6493_12381# a_6114_12015# a_6421_12381# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X888 a_8171_565# a_2191_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X889 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X890 VGND rstn a_477_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X891 VPWR a_13979_2527# a_13966_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X892 a_12370_12015# a_12244_12131# a_11966_12147# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X893 a_9241_10383# a_9206_10635# a_9003_10357# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X894 VPWR a_13269_10071# a_13359_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X895 a_1981_5088# a_3762_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X896 a_11260_12711# a_11356_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X897 a_6242_5095# a_6338_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X898 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X899 a_9287_11231# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X900 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X901 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X902 a_10658_12711# a_10754_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X903 a_4312_9295# a_4153_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X904 a_5329_8359# a_1302_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X905 a_5717_4943# a_5540_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X906 VGND a_4043_8181# a_3977_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X907 VGND a_13964_7093# a_13908_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X908 a_2012_12533# a_2191_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X909 a_7009_11721# a_5939_12692# a_6863_11623# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X910 VGND a_12525_4943# a_14839_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X911 a_8763_2919# a_10759_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X912 a_5905_11721# a_5455_12015# a_5823_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X913 result_5_ a_448_10901# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X914 a_11757_11471# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X915 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X916 VGND a_4735_6575# a_4976_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.1125e+11p ps=1.95e+06u w=650000u l=150000u
+X917 a_8573_3017# a_8215_1135# a_8491_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X918 VGND a_3233_3311# a_4938_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X919 VPWR a_3111_4917# a_3033_5193# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.48e+11p ps=2.78e+06u w=700000u l=150000u
+X920 VGND a_1402_7271# a_1360_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X921 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X922 VPWR a_6651_4399# a_6842_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X923 VGND a_10658_12711# a_10607_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X924 a_4065_10927# a_1811_11445# a_3919_11159# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X925 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X926 VGND a_2012_12533# ctlp_5_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X927 a_12082_11837# a_11324_11739# a_11519_11708# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X928 a_10693_2767# a_9503_2767# a_10584_2767# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X929 a_6027_12257# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X930 a_8275_9055# a_8100_9129# a_8454_9117# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X931 a_2043_2767# a_1868_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X932 VGND a_908_12533# ctlp_1_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X933 VGND a_6619_1439# a_6553_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X934 a_10870_3855# a_10383_7271# a_10703_4105# VGND sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X935 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X936 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X937 VPWR a_5455_12015# a_7142_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X938 VGND a_4608_9929# a_5365_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X939 VPWR a_8592_6183# a_8369_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X940 a_9003_10357# a_9206_10635# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X941 a_3333_6953# a_2143_6581# a_3224_6953# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X942 a_477_591# rstn VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X943 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X944 a_12219_565# a_10601_12247# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X945 VGND a_2306_9839# a_2412_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X946 a_10207_7304# a_10383_7271# a_10593_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X947 VPWR a_5347_2947# a_5253_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X948 VPWR a_2839_9269# a_2760_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X949 a_11022_2045# a_9945_1679# a_10860_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X950 VGND a_1239_9839# a_1810_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X951 VGND a_8369_6005# a_9415_6037# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X952 VGND a_6361_11471# a_6654_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X953 VGND a_2957_4399# a_4508_6037# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X954 a_4391_2919# a_11955_4703# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X955 VPWR a_13261_1367# a_13229_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X956 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X957 VPWR a_1367_11989# a_1315_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X958 VPWR a_2787_591# ctln_2_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X959 a_12584_12015# a_12370_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X960 VPWR a_2073_11616# a_2869_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X961 a_11081_11471# a_11046_11723# a_10843_11445# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X962 a_6553_1513# a_5363_1141# a_6444_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X963 trim_3_ a_12447_1143# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X964 a_8765_10901# a_8547_11305# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X965 a_12525_9295# a_12355_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X966 a_2123_3311# a_1499_3317# a_2015_3689# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X967 a_6027_12257# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X968 VPWR a_8673_11713# a_8563_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X969 a_1804_12015# a_1367_11989# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X970 a_13512_2767# a_13275_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X971 VPWR a_4048_3829# a_5684_3543# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X972 a_5985_12381# a_5507_11989# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X973 a_9206_10635# a_9523_10525# a_9481_10383# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X974 a_7457_10205# a_6979_9813# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X975 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X976 VPWR a_10543_3543# a_10515_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X977 a_9679_6575# a_9412_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X978 VPWR a_9240_6807# a_10699_4405# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X979 VPWR a_3853_3285# a_6651_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X980 a_4274_11837# a_4187_11613# a_3870_11723# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X981 a_9287_11231# a_9112_11305# a_9466_11293# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X982 VGND a_2879_11471# a_3057_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X983 a_4065_10927# a_2073_11616# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X984 a_11138_11059# a_11416_11043# a_11372_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X985 a_448_7093# a_579_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X986 a_9020_11471# a_8105_11471# a_8673_11713# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X987 VPWR a_5894_7663# a_6000_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X988 a_3340_9269# a_3575_11989# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X989 VPWR a_8273_6183# a_8086_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X990 a_11793_6031# a_11803_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X991 VGND a_3615_2919# a_3615_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X992 a_8093_4105# a_8259_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X993 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X994 VGND a_5147_9269# a_5081_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X995 a_3399_8207# a_2953_8207# a_3303_8207# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X996 a_2233_3285# a_2015_3689# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X997 a_2564_6941# a_2447_6746# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X998 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X999 a_12085_9117# a_11159_9071# a_12013_9117# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1000 a_7586_9839# a_7499_10081# a_7182_9971# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1001 a_13647_3855# a_10660_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X1002 a_9187_9813# a_8151_12015# a_9618_10159# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X1003 a_10588_8181# a_10412_8207# a_10732_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1004 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1005 a_9811_4373# a_11857_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1006 a_10242_10749# a_9523_10525# a_9679_10620# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X1007 a_9309_6077# a_2689_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1008 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1009 VGND a_813_591# a_3565_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1010 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1011 trim_1_ a_14839_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1012 a_9669_2767# a_9503_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1013 a_13511_6005# a_12525_4943# a_13942_6031# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X1014 a_11477_4765# a_11433_4373# a_11311_4777# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1015 a_1840_10632# a_1683_11721# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1016 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1017 a_12463_1679# a_12212_1929# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1018 a_2975_7119# a_1402_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1019 a_10331_6281# a_10459_6005# a_10413_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1020 a_448_11445# a_627_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1021 VGND a_6863_11623# a_6808_11989# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1022 a_4939_7271# a_10479_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1023 VPWR a_10479_7093# a_10237_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.3e+11p ps=5.06e+06u w=1e+06u l=150000u
+X1024 a_13512_4943# a_13395_5156# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1025 a_11241_9071# a_10479_7093# a_11159_9071# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1026 VGND a_2755_3615# a_2689_3689# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1027 a_6746_12015# a_5988_12131# a_6183_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1028 VPWR a_813_591# a_539_10357# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1029 a_2659_6953# a_2143_6581# a_2564_6941# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1030 a_1739_4777# a_1223_4405# a_1644_4765# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1031 a_9683_3537# a_11035_1653# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1032 a_11046_11723# a_11363_11613# a_11321_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1033 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1034 a_1957_4373# a_1739_4777# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1035 a_13908_7119# a_13420_7895# a_13605_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X1036 VPWR a_7311_12559# a_7974_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1037 a_3791_2223# a_3057_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1038 a_11403_4917# a_11228_4943# a_11582_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1039 a_448_9269# a_627_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1040 VGND a_2073_11616# a_3961_9071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1041 a_1941_1141# a_1775_1141# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1042 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1043 VPWR a_8767_7663# a_8945_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1044 a_12212_1929# a_12194_1831# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1045 ctln_4_ a_6559_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1046 a_5526_6281# a_2781_5807# a_5453_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.365e+11p pd=1.49e+06u as=9.03e+10p ps=1.27e+06u w=420000u l=150000u
+X1047 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1048 VPWR a_10935_10901# a_627_11997# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1049 a_5879_1513# a_5363_1141# a_5784_1501# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1050 VGND a_7340_7093# a_7284_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X1051 VGND a_607_2223# a_749_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1052 a_9411_3311# a_8619_2741# a_9493_3631# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X1053 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1054 VGND a_7242_7271# a_9497_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1055 a_7311_12559# a_7060_12809# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1056 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1057 VGND a_813_591# a_777_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1058 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1059 VPWR a_6161_8725# a_9187_9813# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X1060 a_8285_12559# a_8211_12711# a_7939_12809# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X1061 VPWR a_2255_11169# a_2216_11043# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1062 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1063 a_3111_4917# a_4018_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1064 a_13359_10927# a_11037_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1065 a_4153_8751# a_3615_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1066 VGND a_10869_6031# a_11806_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1067 VPWR a_14266_1679# a_14372_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1068 VPWR a_2755_3615# a_2742_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1069 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1070 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1071 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1072 VGND a_813_591# a_11173_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1073 a_9240_6807# a_9739_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1074 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1075 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1076 a_11433_4373# a_11215_4777# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1077 VPWR a_6842_4399# a_6877_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1078 a_8455_11471# a_8105_11471# a_8360_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1079 VGND a_10935_10901# a_627_11997# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1080 VPWR a_2191_10357# a_3891_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1081 a_13466_1225# a_14347_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1082 a_11260_12711# a_11356_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1083 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1084 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1085 a_2934_3677# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1086 VGND a_12447_5495# trim_4_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1087 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1088 a_7689_2561# a_4797_3311# a_7603_2561# VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1089 a_6844_5095# a_3853_3285# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1090 a_5411_3543# a_5684_3543# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X1091 a_477_591# rstn VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1092 a_1673_5193# a_565_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X1093 VPWR a_2879_11471# a_3057_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1094 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1095 a_2073_11616# a_9095_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1096 a_3303_8207# a_2953_8207# a_3208_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1097 VPWR a_539_3829# a_487_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1098 a_12304_7779# a_11295_8725# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1099 a_11388_6575# a_11174_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1100 VPWR a_3853_3285# a_3883_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1101 a_1360_4221# a_1146_4221# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1102 VGND a_13979_2527# a_13913_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1103 VGND a_7067_10907# a_13091_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1104 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1105 a_14242_4399# a_13165_4405# a_14080_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1106 VPWR a_5455_12015# a_7009_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1107 a_10677_6031# a_10383_7271# a_10331_6281# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1108 VPWR a_7992_565# ctln_5_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1109 a_13825_5185# a_13607_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1110 VPWR a_631_7637# a_579_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1111 VGND a_4679_4007# a_1803_3482# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1112 a_9020_11471# a_7939_11471# a_8673_11713# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1113 ctln_1_ a_908_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1114 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1115 VGND a_448_5461# result_0_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1116 a_10860_1679# a_9779_1679# a_10513_1921# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1117 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1118 a_4301_1679# a_4257_1921# a_4135_1679# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1119 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1120 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1121 ctln_2_ a_2787_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1122 VGND a_1059_3997# a_1020_4123# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1123 VGND a_14096_9661# a_14666_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1124 a_11243_6549# a_11087_6817# a_11388_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1125 a_1215_4092# a_1059_3997# a_1360_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1126 VGND a_10968_8573# a_11538_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1127 a_2015_3689# a_1499_3317# a_1920_3677# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1128 a_1059_3997# a_482_1831# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1129 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1130 VGND a_4903_10383# a_4906_11837# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1131 a_6842_4719# a_3832_4007# a_7032_4719# VGND sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X1132 a_13257_8207# a_13091_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1133 a_6177_4399# a_6000_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1134 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1135 a_2219_2455# a_2965_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1136 a_2839_9269# a_1402_7271# a_3057_9545# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X1137 a_11243_6549# a_11048_6691# a_11553_6941# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1138 a_4906_11837# a_4187_11613# a_4343_11708# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1139 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1140 a_13323_6794# a_11911_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1141 VGND a_9871_9295# a_7067_10907# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1142 a_12749_12381# a_12370_12015# a_12677_12381# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1143 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1144 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1145 VGND a_448_10901# result_5_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1146 a_9091_565# a_8151_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1147 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1148 a_4436_6037# a_4249_6077# a_4349_6295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X1149 VPWR a_1868_8181# a_2787_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1150 a_7284_7119# a_7242_7271# a_6981_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1151 a_12525_9295# a_12355_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1152 a_9020_7119# a_7939_7119# a_8673_7361# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X1153 a_5841_2521# a_4505_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1154 a_14246_9509# a_14096_9661# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1155 a_4535_1455# a_4505_1109# a_4441_1455# VGND sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.08e+11p ps=1.94e+06u w=650000u l=150000u
+X1156 VGND a_3762_4917# a_1981_5088# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1157 a_13261_1367# a_13466_1225# a_13424_1251# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1158 a_11211_10357# a_8274_9269# a_11429_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1159 a_448_10901# a_627_10909# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1160 VGND a_3141_10071# a_2921_11619# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1161 a_3868_8207# a_2953_8207# a_3521_8449# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1162 a_3922_10633# a_627_9269# a_3840_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1163 ctln_7_ a_10568_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1164 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1165 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1166 a_6329_11293# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1167 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1168 VPWR a_813_591# a_2280_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1169 a_607_2223# a_430_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1170 a_5396_6417# a_4583_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1171 VPWR a_1307_7637# a_1238_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1172 VGND a_12040_565# ctln_8_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1173 VPWR a_2957_4399# a_4443_3424# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1174 a_976_10749# a_539_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1175 a_1059_6173# a_482_1831# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1176 a_12439_11989# a_12244_12131# a_12749_12381# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1177 VPWR a_1302_7271# a_2839_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1178 VPWR a_9871_9295# a_7067_10907# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1179 VPWR a_4343_11708# a_4274_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1180 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1181 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1182 VGND a_6808_11989# a_6746_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1183 VPWR a_13475_5487# a_14046_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1184 VGND a_10479_7093# a_10425_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1185 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1186 a_12773_8970# a_9679_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1187 a_8551_7119# a_8105_7119# a_8455_7119# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X1188 VGND a_4705_6031# a_5817_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1189 VPWR a_8275_9055# a_8262_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1190 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1191 a_11323_4399# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1192 VGND a_813_591# a_2001_4765# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1193 VPWR a_11118_8421# a_11076_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1194 VPWR a_2043_2767# a_2787_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1195 VPWR a_6981_7093# a_6929_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1196 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1197 VGND a_3983_7119# a_3340_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1198 a_13347_2223# a_12723_2229# a_13239_2601# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1199 a_12525_2767# a_11934_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1200 a_4343_3017# a_4487_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.95e+11p pd=5.19e+06u as=0p ps=0u w=1e+06u l=150000u
+X1201 VGND a_3340_9269# a_4535_12023# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1202 a_3270_9295# a_1302_7271# a_2975_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1203 VPWR a_1460_6549# result_8_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1204 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1205 VGND a_3862_4105# a_7387_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X1206 a_7102_6575# clk VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1207 a_5881_5719# a_4713_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1208 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1209 a_1870_7663# a_1112_7779# a_1307_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1210 VGND a_813_591# a_6141_1501# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1211 a_11295_8725# a_11538_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1212 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1213 a_11611_10901# a_11416_11043# a_11921_11293# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1214 VGND a_5047_10357# a_8285_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1215 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1216 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1217 VPWR a_12040_565# ctln_8_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1218 a_11249_2197# a_11031_2601# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1219 VGND a_448_4917# sample VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1220 VGND a_13091_12559# ctlp_6_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1221 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1222 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1223 ctlp_3_ a_3983_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1224 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1225 a_4625_9537# a_4407_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1226 a_1146_6397# a_1059_6173# a_742_6283# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1227 VPWR a_13457_2197# a_13347_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1228 a_9610_10749# a_9523_10525# a_9206_10635# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1229 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1230 a_11214_1679# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1231 VGND a_8483_3855# a_9757_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X1232 VGND a_11237_12015# a_13002_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1233 a_5415_10901# a_5618_11059# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1234 a_13716_8181# a_13540_8207# a_13860_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1235 VGND a_6546_3311# a_10515_4221# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X1236 a_6920_8207# a_2073_11616# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X1237 a_5257_1679# a_4779_1653# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1238 VPWR a_13327_3285# a_13275_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1239 a_13804_2601# a_12723_2229# a_13457_2197# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1240 VGND a_14839_3319# trim_0_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1241 a_7217_10205# a_7182_9971# a_6979_9813# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1242 a_1478_7369# a_1402_7271# a_1170_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X1243 a_12013_9117# a_11622_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1244 a_5529_1141# a_5363_1141# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1245 VPWR a_8483_3855# a_9493_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X1246 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1247 VGND a_7991_9269# a_7939_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1248 a_7797_9117# a_7753_8725# a_7631_9129# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1249 VPWR a_1239_9839# a_1810_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1250 VGND a_3036_10901# a_2974_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1251 VGND a_9287_11231# a_9221_11305# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1252 a_4713_1679# a_3523_1679# a_4604_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1253 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1254 VPWR a_6336_2741# a_5620_2491# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1255 a_11228_4943# a_10313_4943# a_10881_5185# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1256 a_2012_12533# a_2191_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1257 VPWR a_813_591# a_2556_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1258 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1259 a_9949_3311# a_9411_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1260 a_11623_7369# a_11748_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1261 VGND a_539_3829# a_487_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1262 a_5823_11721# a_5939_12692# a_5905_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1263 VPWR a_2191_12533# a_6913_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1264 a_9091_565# a_8151_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1265 VPWR a_4583_2741# a_7603_2561# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1266 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1267 a_13647_6031# a_10660_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1268 VGND a_813_591# a_8229_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1269 VGND a_813_591# a_10557_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1270 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1271 a_3057_11471# a_2879_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1272 VPWR a_4711_2223# a_6559_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1273 VPWR a_6384_8983# a_6161_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1274 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1275 a_11127_2601# a_10681_2229# a_11031_2601# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1276 a_10019_2767# a_9669_2767# a_9924_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1277 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1278 VPWR a_9240_6807# a_10515_2229# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1279 VPWR a_9687_4007# a_9687_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1280 VPWR a_10317_11471# a_13827_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1281 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1282 a_13424_1251# a_9683_3537# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1283 a_13698_6183# a_14255_4703# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1284 a_14020_9661# a_13540_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X1285 a_13466_8029# a_13420_7895# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1286 VGND clk a_7102_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.352e+11p ps=2.8e+06u w=420000u l=150000u
+X1287 VPWR a_11403_4917# a_11390_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1288 VGND a_2839_9269# a_2760_8725# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1289 a_2099_5706# a_2191_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1290 a_14526_2767# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1291 VPWR a_11487_7093# a_9919_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1292 VGND a_2835_5461# a_2781_5807# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1293 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1294 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1295 VPWR a_11363_11613# a_11324_11739# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1296 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1297 trimb_0_ a_14839_10935# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1298 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1299 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1300 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1301 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1302 VGND a_8592_6183# a_8369_6005# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1303 VPWR a_10759_2741# a_10746_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1304 a_4541_2767# a_4487_2919# a_4438_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X1305 VPWR a_8483_3855# a_8625_2006# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1306 a_6721_2741# a_7387_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1307 ctlp_3_ a_3983_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1308 VPWR a_7067_10907# a_13091_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1309 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1310 a_13466_7702# a_13420_7895# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1311 a_4735_6575# a_4484_6691# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1312 a_3791_2223# a_3057_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1313 VPWR a_9020_7119# a_9195_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1314 a_2553_1501# a_2509_1109# a_2387_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1315 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1316 VGND a_3057_11471# a_3983_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1317 a_8428_5309# a_7991_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1318 VPWR a_4093_5176# a_3762_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1319 a_1570_12147# a_1848_12131# a_1804_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1320 a_5521_565# a_5620_2491# a_5678_841# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X1321 a_1209_2223# a_1032_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1322 a_448_4917# a_627_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1323 a_10646_12015# a_6161_8725# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X1324 ctln_7_ a_10568_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1325 VGND a_813_591# a_777_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1326 VPWR a_6097_1109# a_5987_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1327 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1328 a_3862_4105# a_3832_4007# a_3790_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X1329 VGND a_13475_5487# a_14046_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1330 a_3057_11471# a_2879_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1331 a_11076_8573# a_10129_8207# a_10968_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1332 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1333 VGND a_2668_11989# a_2606_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1334 a_5598_5719# a_5694_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1335 VGND a_5507_11989# a_5455_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1336 a_5690_10071# a_5786_9813# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1337 VPWR a_9555_7895# a_9503_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X1338 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1339 a_8809_11293# a_8765_10901# a_8643_11305# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1340 VPWR a_14839_10071# a_14839_9847# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1341 a_777_10383# a_742_10635# a_539_10357# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1342 VPWR a_6065_8983# a_5878_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1343 trim_2_ a_14839_1143# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1344 a_4484_9955# a_627_10909# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1345 a_6444_1513# a_5363_1141# a_6097_1109# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1346 VGND a_13753_7961# a_13687_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1347 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1348 VGND a_7933_4007# a_7939_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.9975e+11p ps=3.83e+06u w=650000u l=150000u
+X1349 a_3340_9269# a_3575_11989# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1350 a_4931_10535# a_4608_9929# a_5077_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X1351 a_8753_12015# a_8576_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1352 a_11215_4777# a_10865_4405# a_11120_4765# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1353 a_627_5469# a_3399_6879# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1354 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1355 a_11356_12533# a_13132_11721# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1356 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1357 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1358 VPWR a_2221_1679# a_2111_2455# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1359 VPWR a_2221_1679# a_5087_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1360 a_13511_3829# a_14012_3829# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1361 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1362 a_10498_8573# a_9963_8207# a_10412_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.89e+11p pd=1.74e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1363 a_7987_1844# a_5347_2947# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1364 a_10925_4943# a_10881_5185# a_10759_4943# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1365 VPWR a_813_591# a_1735_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1366 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1367 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1368 a_10317_11471# a_10147_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1369 VPWR a_11035_1653# a_11022_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1370 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1371 a_908_12533# a_757_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1372 VGND a_6065_8983# a_5878_8725# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1373 VGND a_7531_7637# a_2191_10357# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1374 a_6741_2543# a_4505_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X1375 a_7847_4719# a_4220_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1376 a_1739_4777# a_1389_4405# a_1644_4765# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1377 VPWR a_2931_7637# a_2879_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1378 a_2466_4399# a_1389_4405# a_2304_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1379 a_1840_4104# a_1591_5193# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1380 VGND a_4711_2223# a_6559_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1381 VPWR a_4601_1109# a_6741_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1382 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1383 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1384 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1385 a_10753_1109# a_11771_2527# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1386 trim_0_ a_14839_3319# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1387 a_742_4107# a_1059_3997# a_1017_3855# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1388 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1389 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1390 VPWR a_11295_8725# a_11159_9071# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1391 a_14839_743# a_3340_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1392 VGND a_2835_4020# a_627_3293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1393 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1394 VGND a_8951_4399# a_6997_2197# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1395 VGND a_813_591# a_869_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1396 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1397 a_10567_6549# a_10770_6707# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1398 a_9493_3311# a_9683_3537# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1399 VPWR a_4187_11613# a_4148_11739# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1400 a_1367_8181# a_1402_7271# a_1585_8457# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X1401 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1402 a_10601_12247# a_11159_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1403 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1404 a_8945_7663# a_8767_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1405 a_5453_6281# a_5396_6417# a_5362_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1406 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1407 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1408 a_8592_6183# a_5396_6417# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1409 VGND a_13919_12023# ctlp_8_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1410 VPWR a_3111_4917# a_5398_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1411 VGND a_1059_6173# a_1020_6299# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1412 a_3707_3855# a_3832_4007# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1413 a_4735_9839# a_4484_9955# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1414 a_7009_11721# a_5047_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1415 VGND a_11455_11169# a_11416_11043# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1416 VPWR a_2856_1513# a_3031_1439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1417 a_14288_8207# a_13091_8207# a_14096_8573# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1418 a_14247_6549# a_12801_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X1419 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1420 a_2306_9839# a_2129_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1421 a_7102_6575# clk VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1422 a_5653_11293# a_5618_11059# a_5415_10901# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1423 a_2975_9295# a_1402_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1424 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1425 a_10968_8573# a_10129_8207# a_10992_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1426 a_6421_12381# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1427 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1428 a_2309_6581# a_2143_6581# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1429 VPWR a_539_6005# a_487_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1430 a_9187_9813# a_8151_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1431 a_2742_3311# a_1665_3317# a_2580_3689# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1432 a_10200_1679# a_9949_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1433 a_10881_5185# a_10663_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1434 a_14542_5487# a_14365_5487# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1435 VGND a_11955_4703# a_4391_2919# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1436 a_12241_12381# a_11763_11989# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1437 a_12349_8457# a_11748_7271# a_11845_6183# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1438 a_6741_2223# a_3509_1135# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1439 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1440 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1441 VGND a_627_10909# a_8127_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1442 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1443 a_8655_10927# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1444 a_6384_8983# a_5744_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1445 VGND a_926_2223# a_1032_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1446 a_1735_10901# a_1938_11059# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1447 a_9088_1135# a_8874_1135# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1448 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1449 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1450 a_482_1831# a_3391_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1451 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1452 a_8452_11293# a_8335_11098# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1453 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1454 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1455 VPWR a_3883_3311# a_5411_3543# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1456 VPWR a_6844_5095# a_3003_5095# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1457 a_4906_11837# a_4148_11739# a_4343_11708# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1458 a_2787_10633# a_1811_11445# a_2869_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1459 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1460 a_11249_2197# a_11031_2601# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1461 a_3790_4105# a_607_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1462 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1463 a_4048_3829# a_5398_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1464 a_7373_10383# a_7196_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1465 a_6022_10927# a_5896_11043# a_5618_11059# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1466 a_2921_6941# a_2877_6549# a_2755_6953# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1467 a_7102_6575# clk VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1468 a_2001_4765# a_1957_4373# a_1835_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1469 result_5_ a_448_10901# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1470 a_14172_4943# a_13257_4943# a_13825_5185# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1471 a_11664_11837# a_11450_11837# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1472 a_8943_1109# a_8787_1377# a_9088_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1473 ctlp_7_ a_13827_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1474 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1475 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1476 a_11596_2601# a_10681_2229# a_11249_2197# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X1477 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1478 a_1059_10525# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1479 VPWR a_5147_9269# a_5134_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1480 a_13420_7895# a_14666_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1481 VGND a_12801_9839# a_14531_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1482 a_4976_7119# a_4939_7271# a_4873_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X1483 a_5618_11059# a_5935_11169# a_5893_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1484 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1485 a_6377_3631# a_487_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1486 VGND a_1367_11989# a_1315_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1487 VGND a_12447_1143# trim_3_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1488 a_13512_2767# a_13275_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X1489 VGND a_10317_11471# a_13827_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1490 a_539_3829# a_742_4107# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1491 a_1811_11445# a_5783_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1492 VGND a_6721_2741# a_6336_2741# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1493 VPWR a_448_5461# result_0_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1494 VGND a_13624_9019# a_14749_7983# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1495 a_14281_2767# a_13091_2767# a_14172_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1496 a_11331_7676# a_8369_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=0p ps=0u w=700000u l=150000u
+X1497 VGND a_5412_2455# a_3615_2919# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1498 a_9195_7093# a_9020_7119# a_9374_7119# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1499 a_10479_7093# a_14247_6549# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1500 ctln_0_ a_14839_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1501 a_7935_4399# a_4583_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=4.1e+11p pd=2.82e+06u as=0p ps=0u w=1e+06u l=150000u
+X1502 a_6842_4719# a_3111_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1503 a_1591_5193# a_1719_4917# a_1673_5193# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1504 a_11519_11708# a_11324_11739# a_11829_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1505 a_4057_9295# a_3891_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1506 VPWR a_1568_1679# a_1743_1653# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1507 VPWR a_482_1831# a_3523_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1508 a_7939_3855# a_5396_6417# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1509 VGND a_8767_7663# a_8945_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1510 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1511 VPWR a_6444_1513# a_6619_1439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1512 VPWR a_6525_5095# a_6338_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1513 a_3224_6953# a_2143_6581# a_2877_6549# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1514 a_3887_8977# a_5147_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1515 a_8673_7361# a_8455_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1516 VPWR a_7939_9295# a_8218_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1517 a_6997_2197# a_8951_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1518 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1519 VPWR a_11159_10383# a_12174_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1520 a_13828_3285# a_13770_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1521 a_8470_1267# a_8787_1377# a_8745_1501# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1522 a_3575_11989# a_3731_12233# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1523 a_14063_1109# a_12463_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1524 a_6741_2223# a_4505_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1525 VPWR a_14096_9661# a_14666_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1526 VGND a_13919_11471# ctlp_9_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1527 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1528 a_10295_1679# a_9779_1679# a_10200_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1529 VGND a_11116_7895# a_10747_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1530 a_2172_10927# a_1735_10901# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1531 VPWR a_2191_10357# a_2787_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1532 VGND a_3575_11989# a_3340_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1533 VPWR a_813_591# a_1459_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1534 a_1525_3855# a_1146_4221# a_1453_3855# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1535 a_11955_4703# a_11780_4777# a_12134_4765# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1536 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1537 VPWR a_14347_2741# a_14334_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1538 VGND clk a_7102_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1539 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1540 VGND a_8151_12015# a_8293_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1541 VGND a_6262_1679# a_6368_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1542 VPWR a_14063_1109# a_11889_2919# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1543 VGND a_6729_7895# a_5744_8181# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1544 a_9029_2767# a_8491_3017# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1545 VPWR rstn a_477_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1546 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1547 a_6361_11471# a_5823_11721# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1548 a_3036_10901# a_2787_10633# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1549 a_2589_9839# a_2412_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1550 ctlp_6_ a_13091_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1551 VGND a_2191_10357# a_7019_8757# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1552 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1553 a_11031_2601# a_10515_2229# a_10936_2589# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1554 a_1868_8181# a_1810_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1555 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1556 a_7097_1831# a_4505_1109# a_7260_1929# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1557 VGND a_11857_5461# a_12447_5495# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1558 VGND a_11243_6549# a_11174_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X1559 VGND a_3057_11471# a_3791_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1560 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1561 VGND a_539_6005# a_487_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1562 a_13623_4399# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1563 a_2839_9269# a_3340_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1564 VGND a_1459_8725# a_627_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1565 VGND a_8763_2919# a_12212_1929# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1566 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1567 a_8619_2741# a_8618_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1568 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1569 a_13716_8181# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1570 a_13466_1225# a_14347_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1571 a_4488_11837# a_4274_11837# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1572 VGND a_10843_11445# a_1363_5469# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1573 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1574 VPWR a_482_1831# a_487_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1575 VGND a_3509_1135# a_4312_1109# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X1576 a_2957_4399# a_2479_4703# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1577 a_11545_7961# a_6721_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1578 a_12124_2767# a_6546_3311# a_12024_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1579 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1580 a_8673_11713# a_8455_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1581 a_13607_2767# a_13091_2767# a_13512_2767# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1582 VGND a_3832_4007# a_5766_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1583 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1584 a_10836_12335# a_1639_6557# VGND VGND sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X1585 a_10543_1367# a_10753_1109# a_10689_1455# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X1586 VPWR a_4972_9295# a_5147_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1587 VGND a_6091_10901# a_6022_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1588 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1589 VGND a_6525_5095# a_6338_4917# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1590 a_4136_4943# a_4093_5176# a_4064_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1591 a_8209_9129# a_7019_8757# a_8100_9129# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1592 a_4484_6691# a_3033_5193# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1593 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1594 VPWR a_14347_4917# a_14334_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1595 a_5227_6183# a_4713_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1596 a_5077_10633# a_1811_11445# a_4931_10535# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1597 a_11553_6941# a_11174_6575# a_11481_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1598 VPWR a_12439_11989# a_12370_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1599 VPWR a_2135_8725# a_2066_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1600 a_8563_7485# a_7939_7119# a_8455_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1601 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1602 VGND a_10588_8181# a_10522_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.341e+11p ps=1.5e+06u w=420000u l=150000u
+X1603 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1604 a_627_8733# a_4043_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1605 a_8113_10633# a_5939_12692# a_7967_10535# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X1606 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1607 a_10779_5500# a_11403_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1608 VPWR a_3983_12559# ctlp_3_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1609 VPWR a_7939_3855# a_8483_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1610 a_7199_7369# a_7340_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X1611 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1612 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1613 VPWR a_4505_1109# a_6085_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1614 VPWR a_813_591# a_5415_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1615 VGND a_12355_5095# a_12355_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1616 VGND a_9095_9269# a_2073_11616# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1617 a_9230_5309# a_8472_5211# a_8667_5180# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1618 VGND a_4187_11613# a_4148_11739# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1619 VGND a_10479_7093# a_12304_7779# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1620 VPWR a_13091_12559# ctlp_6_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1621 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1622 VGND a_6979_9813# a_627_10909# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1623 VPWR a_8673_7361# a_8563_7485# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1624 VPWR a_482_1831# a_5363_1141# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1625 a_13257_9295# a_13091_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1626 a_13445_8207# a_13359_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.499e+11p pd=2.35e+06u as=0p ps=0u w=840000u l=150000u
+X1627 VPWR a_8151_12015# a_8293_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1628 VGND a_8211_12711# a_8401_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1629 a_2306_9839# a_2129_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1630 VPWR a_13324_7895# a_13269_10071# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1631 VGND a_7990_6183# a_7939_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1632 a_8155_4399# a_7933_4007# a_7935_4719# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.9e+11p ps=2.78e+06u w=1e+06u l=150000u
+X1633 VGND a_10564_5719# a_9687_4007# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1634 a_10513_1921# a_10295_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1635 VGND a_2191_10357# a_3891_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1636 a_1662_8883# a_1940_8867# a_1896_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1637 a_6545_1679# a_6368_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1638 VPWR a_1840_10632# a_1778_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1639 a_12349_8457# a_11622_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1640 ctlp_5_ a_2012_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1641 VGND a_13635_10383# a_14839_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1642 a_1221_1921# a_1003_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1643 VGND a_813_591# a_11293_2589# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1644 VPWR a_14172_2767# a_14347_2741# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1645 VGND a_14839_9847# trimb_1_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1646 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1647 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1648 a_11139_2223# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1649 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1650 a_2135_8725# a_1940_8867# a_2445_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1651 VPWR a_4048_3829# a_5591_6011# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1652 a_14360_8207# a_14246_8421# a_14288_8207# VGND sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X1653 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1654 a_5077_10633# a_5047_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1655 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1656 a_7032_4719# a_3832_4007# a_6842_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1657 a_4553_5785# a_4220_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1658 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1659 a_719_2932# a_811_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1660 a_8113_10633# a_5047_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1661 VGND a_8470_12015# a_8576_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1662 VPWR a_3224_6953# a_3399_6879# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1663 a_4153_8751# a_3615_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1664 VGND a_4976_7119# a_8307_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X1665 a_10732_8207# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1666 a_742_6283# a_1059_6173# a_1017_6031# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1667 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1668 a_5894_7663# a_5717_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1669 a_9679_6575# a_9412_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1670 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1671 a_7260_1929# a_4601_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1672 a_2755_6953# a_2309_6581# a_2659_6953# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1673 a_1835_4777# a_1389_4405# a_1739_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1674 a_12677_12381# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1675 a_3887_8977# a_5147_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1676 a_10992_8207# a_10412_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1677 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1678 a_1453_10383# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1679 VGND a_8151_12015# a_9091_565# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1680 a_5678_841# a_4601_1109# a_5521_565# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1681 a_10317_11471# a_10147_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1682 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1683 a_976_6397# a_539_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1684 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1685 ctln_8_ a_12040_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1686 a_1840_10632# a_1683_11721# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1687 a_13511_6005# a_12525_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1688 a_3731_12233# a_3840_10633# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1689 VPWR a_14172_4943# a_14347_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1690 a_1673_4943# a_487_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1691 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1692 a_10927_5853# a_10779_5500# a_10564_5719# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1693 a_8563_11837# a_7939_11471# a_8455_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1694 a_2649_11293# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1695 a_14653_9071# a_14611_8983# a_14055_9813# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X1696 VPWR a_11519_11708# a_11450_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1697 VPWR a_4487_2919# a_5975_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1698 a_5975_1513# a_5529_1141# a_5879_1513# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X1699 a_2869_10633# a_487_10383# a_2787_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1700 a_9095_9269# a_4735_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X1701 VGND a_2191_12533# a_6913_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1702 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1703 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1704 VGND a_6842_4399# a_5396_6417# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1705 ctlp_0_ a_14839_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1706 a_11622_7271# a_12304_7779# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1707 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1708 a_13515_4777# a_13165_4405# a_13420_4765# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1709 a_13605_7093# a_13738_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1710 a_5048_3855# a_4822_3901# a_4679_4007# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1711 a_5710_12147# a_6027_12257# a_5985_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1712 VPWR a_3575_11989# a_3340_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1713 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1714 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1715 a_2509_1109# a_2291_1513# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1716 ctlp_8_ a_13919_12023# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1717 VPWR a_2073_11616# a_6837_8457# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1718 VGND a_1981_5088# a_7006_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X1719 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1720 a_1485_2767# a_1315_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1721 VPWR a_1315_12015# a_4065_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1722 VGND a_4048_3829# a_5591_6011# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1723 VPWR a_1215_4092# a_1146_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1724 a_1068_7663# a_631_7637# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1725 a_1402_7271# a_5398_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1726 a_9757_3631# a_9683_3537# a_9411_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1727 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1728 a_3113_2561# a_2219_2455# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1729 a_8912_565# a_9091_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1730 VGND a_2135_8725# a_2066_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1731 VPWR a_3521_8449# a_3411_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1732 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1733 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1734 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1735 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1736 a_8598_5309# a_8472_5211# a_8194_5195# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X1737 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1738 a_8267_1109# a_8470_1267# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1739 a_448_11445# a_627_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1740 VPWR a_9003_10357# a_627_11445# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1741 a_7753_8725# a_7535_9129# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1742 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1743 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1744 a_13165_4405# a_12999_4405# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1745 a_8879_1679# a_8625_2006# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1746 a_4039_1679# a_3523_1679# a_3944_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1747 a_1922_1679# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1748 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1749 a_11159_9071# a_10479_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1750 a_8221_5487# a_4713_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.45e+11p pd=5.09e+06u as=0p ps=0u w=1e+06u l=150000u
+X1751 a_9227_6575# a_9240_6807# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1752 VGND a_2787_12559# ctlp_2_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1753 a_4187_11613# a_2191_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1754 VPWR a_5415_10901# a_4608_9929# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1755 VGND a_3399_6879# a_3333_6953# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1756 VGND a_2479_4703# a_2413_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1757 a_11413_11293# a_10935_10901# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1758 a_8274_9269# a_8307_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1759 a_14839_10071# a_12525_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1760 a_7939_12809# a_5939_12692# a_8021_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1761 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1762 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1763 VPWR a_11947_12533# a_11895_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1764 VPWR a_2939_2455# a_1315_2919# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X1765 a_5852_10927# a_5415_10901# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1766 VGND a_7343_11445# a_7297_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1767 a_13214_11721# a_1363_5469# a_13132_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1768 a_2073_11616# a_9095_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1769 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1770 VPWR a_10568_565# ctln_7_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1771 a_8218_9839# a_7460_9955# a_7655_9813# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1772 a_4766_2045# a_3689_1679# a_4604_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1773 VPWR a_6997_2197# a_8093_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1774 VPWR a_12283_12257# a_12244_12131# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1775 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1776 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1777 VPWR a_607_2223# a_5975_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1778 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1779 VPWR a_3615_11471# a_5077_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1780 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1781 a_11479_8029# a_11331_7676# a_11116_7895# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1782 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1783 VPWR a_2191_12533# a_8171_565# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1784 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1785 VGND a_6842_4399# a_7352_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1786 a_2413_4777# a_1223_4405# a_2304_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1787 VGND a_14279_10383# a_14839_10935# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1788 a_742_10635# a_1059_10525# a_1017_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1789 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1790 VGND a_3340_7093# a_14839_743# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1791 a_1938_11059# a_2255_11169# a_2213_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1792 VPWR a_813_591# a_7800_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1793 a_11806_6575# a_11087_6817# a_11243_6549# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1794 VGND a_14012_3829# a_14365_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1795 VPWR a_13845_9049# a_13875_8790# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1796 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1797 a_9411_3311# a_8619_2741# a_9493_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1798 VGND a_11955_4703# a_11889_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1799 a_4801_7369# a_4939_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1800 a_1525_6031# a_1146_6397# a_1453_6031# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1801 VGND a_9309_6077# a_9415_6037# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1802 a_5046_8359# a_5142_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1803 VGND a_4124_5719# a_2191_5461# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1804 VPWR a_3340_7093# a_14839_743# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1805 a_10786_4105# a_10515_4221# a_10703_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1806 a_8021_12559# a_6964_8359# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1807 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1808 a_10736_12335# a_10601_12247# a_10646_12015# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X1809 VGND a_6242_9447# a_6191_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1810 a_11611_10901# a_11455_11169# a_11756_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1811 a_3578_6941# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1812 a_4312_1109# a_4601_1109# a_4535_1455# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1813 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1814 a_9129_11471# a_7939_11471# a_9020_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1815 VPWR a_4505_1109# a_4441_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1816 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1817 a_2658_4765# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1818 a_4222_8207# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1819 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1820 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1821 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1822 a_11846_7093# a_11159_9071# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1823 a_8717_7119# a_8673_7361# a_8551_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1824 ctlp_9_ a_13919_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1825 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1826 VGND a_6336_2741# a_5620_2491# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X1827 VGND a_6842_4399# a_8951_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X1828 a_8105_11471# a_7939_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1829 a_9824_10749# a_9610_10749# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1830 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1831 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1832 result_8_ a_1460_6549# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1833 VGND a_13416_8983# a_12355_9447# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1834 a_5710_12147# a_5988_12131# a_5944_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1835 VGND rstn a_477_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1836 VGND a_7387_3311# a_6721_2741# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1837 VGND a_448_7093# result_2_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1838 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1839 a_5134_9661# a_4057_9295# a_4972_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1840 a_3057_7369# a_627_5469# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1841 a_9181_1501# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1842 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1843 VGND a_9135_9839# a_10242_10749# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1844 VGND a_13716_8181# a_13650_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.341e+11p ps=1.5e+06u w=420000u l=150000u
+X1845 a_3031_1439# a_2856_1513# a_3210_1501# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1846 a_6798_1501# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1847 a_8100_9129# a_7185_8757# a_7753_8725# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1848 VGND a_13269_10071# a_13359_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1849 VPWR a_10993_5785# a_11023_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1850 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1851 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1852 a_2373_9117# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1853 VGND a_3983_12559# ctlp_3_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1854 VPWR a_2668_11989# a_2606_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1855 a_719_2932# a_811_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1856 VGND a_14347_4917# a_14281_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1857 ctlp_6_ a_13091_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1858 a_10954_12015# a_8274_9269# a_10646_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1859 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1860 VGND a_8267_1109# a_8215_1135# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1861 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1862 a_2221_1679# a_1743_1653# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1863 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1864 VPWR a_3141_10071# a_2921_11619# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X1865 a_11174_6575# a_11048_6691# a_10770_6707# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1866 a_14120_9295# a_13540_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1867 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1868 a_4487_5853# a_2781_5807# a_4124_5719# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1869 a_4441_1135# a_4601_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1870 a_13913_2601# a_12723_2229# a_13804_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1871 VGND a_7343_11445# a_9360_12131# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1872 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1873 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1874 a_4873_7119# a_4048_3829# a_4801_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X1875 a_6071_6895# a_607_2223# a_5881_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X1876 VGND a_813_591# a_8505_1501# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1877 a_5775_2589# a_4797_3311# a_5412_2455# VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1878 a_3053_2767# a_2965_2919# a_2219_2455# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1879 a_14080_4777# a_12999_4405# a_13733_4373# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1880 VPWR a_627_4917# a_9841_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=700000u l=150000u
+X1881 VPWR a_6842_4399# a_8951_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1882 VPWR a_3111_4917# a_4337_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1883 result_3_ a_448_8725# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1884 VPWR a_4553_5785# a_4583_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1885 VPWR a_10941_12711# a_10754_12533# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1886 a_1938_11059# a_2216_11043# a_2172_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1887 VGND a_4608_9929# a_4484_9955# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1888 a_6842_4399# a_6651_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1889 a_13348_5577# a_14347_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1890 VGND a_11857_5461# a_9811_4373# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1891 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1892 a_6141_1501# a_6097_1109# a_5975_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1893 VGND a_813_591# a_1697_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1894 ctln_6_ a_8912_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1895 VPWR a_11857_5461# a_9811_4373# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1896 a_1503_8207# a_1402_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1897 VGND a_13327_3285# a_13275_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1898 a_8874_1135# a_8787_1377# a_8470_1267# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1899 a_14334_3133# a_13257_2767# a_14172_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1900 a_10568_4943# a_9857_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1901 a_8905_4943# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1902 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1903 a_10993_5785# a_10660_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1904 a_5326_9295# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1905 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1906 VPWR a_4391_2919# a_4343_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1907 VPWR a_6546_3311# a_13327_3285# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1908 a_4679_4007# a_4822_3901# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X1909 VPWR a_7067_10907# a_7939_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1910 VPWR a_7991_9269# a_7939_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1911 VPWR a_6729_7895# a_5744_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X1912 a_10593_7369# a_10479_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1913 a_11337_4943# a_10147_4943# a_11228_4943# VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1914 a_6242_9447# a_6338_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1915 a_13979_2527# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1916 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1917 a_4124_5719# a_2781_5807# a_4266_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=0p ps=0u w=420000u l=150000u
+X1918 a_9309_6077# a_2689_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1919 ctlp_5_ a_2012_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1920 a_10805_6941# a_10770_6707# a_10567_6549# VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1921 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1922 a_9739_5461# a_8945_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X1923 a_742_4107# a_1020_4123# a_976_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1924 a_13875_8790# a_13624_9019# a_13416_8983# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1925 a_5227_6183# a_5591_6011# a_5526_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1926 VPWR a_3791_2223# a_3983_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1927 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1928 a_10936_2589# a_10515_1135# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1929 VGND a_5935_11169# a_5896_11043# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1930 a_448_7093# a_579_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1931 a_8573_2767# a_8215_1135# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1932 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1933 a_4553_5785# a_4220_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1934 a_6844_9447# a_4705_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1935 a_8745_1501# a_8267_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1936 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1937 VPWR a_14279_10927# a_14839_12023# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1938 a_13002_12015# a_12283_12257# a_12439_11989# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1939 VPWR a_5046_8359# a_4995_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1940 a_5881_5719# a_4713_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1941 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1942 a_1665_3317# a_1499_3317# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1943 a_14334_5309# a_13257_4943# a_14172_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1944 VPWR a_9611_12015# a_10147_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1945 a_13324_7895# a_8369_6005# a_13466_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1946 a_10557_1679# a_10513_1921# a_10391_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1947 a_5841_2521# a_4505_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1948 VPWR a_1735_10901# a_1683_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1949 VGND a_5881_5719# a_5694_5461# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1950 a_1937_9117# a_1459_8725# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1951 VPWR a_13964_7093# a_14611_8983# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1952 a_4505_1109# a_5766_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1953 a_10759_2741# a_10584_2767# a_10938_2767# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1954 a_1778_4221# a_1059_3997# a_1215_4092# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1955 a_5144_4105# a_5090_4007# a_4679_4007# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X1956 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1957 a_14246_8421# a_14096_8573# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1958 VPWR a_2111_2455# a_811_2741# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X1959 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1960 a_4441_1135# a_2965_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1961 a_10689_3311# a_8619_2741# a_10543_3543# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1962 a_1017_3855# a_539_3829# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1963 a_7967_10535# a_8211_12711# a_8113_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1964 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1965 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1966 VPWR a_14246_9509# a_14204_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1967 a_11966_12147# a_12283_12257# a_12241_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1968 VGND a_3391_5461# a_482_1831# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1969 a_8229_4943# a_8194_5195# a_7991_4917# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1970 result_4_ a_448_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1971 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1972 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1973 VPWR a_8307_8207# a_8274_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1974 a_10413_6281# a_9503_7663# a_10331_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1975 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1976 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1977 a_9405_9839# a_627_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1978 a_10237_7369# a_10383_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1979 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1980 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1981 a_12174_10927# a_11455_11169# a_11611_10901# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X1982 a_6525_9447# a_5939_12692# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1983 a_6331_6183# a_4797_3311# a_6800_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=4.15e+11p ps=2.83e+06u w=1e+06u l=150000u
+X1984 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1985 VPWR a_11433_4373# a_11323_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1986 a_13327_3285# a_13828_3285# a_13758_3631# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X1987 VGND a_14255_4703# a_14189_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1988 a_10836_12335# a_6161_8725# a_10736_12335# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1989 a_8151_12015# a_7974_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1990 VPWR a_813_591# a_12584_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1991 a_8360_11471# a_7939_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1992 a_2564_6941# a_2447_6746# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1993 a_1644_4765# a_1527_4570# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1994 VPWR a_813_591# a_4488_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1995 a_13869_2767# a_13825_3009# a_13703_2767# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1996 a_10869_6031# a_10331_6281# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1997 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1998 a_9240_6807# a_9739_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1999 a_8765_10901# a_8547_11305# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2000 ctln_2_ a_2787_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2001 a_14001_10159# a_8369_6005# a_13631_8764# VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2002 a_4583_5526# a_4048_3829# a_4124_5719# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2003 a_8335_11098# a_7939_12809# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2004 a_5783_12533# a_5939_12692# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2005 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2006 a_11363_11613# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2007 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2008 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2009 a_5784_1501# a_5455_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2010 a_1389_4405# a_1223_4405# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2011 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2012 a_4093_5176# a_3233_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2013 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2014 a_2015_3689# a_1665_3317# a_1920_3677# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2015 VGND a_5783_12533# a_1811_11445# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2016 a_5782_8983# a_5878_8725# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2017 VGND a_10543_1367# a_10515_1135# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2018 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2019 ctlp_4_ a_4535_12023# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2020 VPWR a_3868_8207# a_4043_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2021 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2022 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2023 a_1209_2223# a_1032_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2024 VGND a_11087_6817# a_11048_6691# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2025 VPWR a_813_591# a_1360_6397# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2026 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2027 a_2869_10383# a_487_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2028 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2029 a_1974_12015# a_1848_12131# a_1570_12147# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2030 VPWR a_11260_12711# a_11037_12533# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2031 VPWR a_14839_3319# trim_0_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2032 a_8084_5461# a_4713_7271# a_8304_5807# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X2033 VPWR a_3983_7119# a_3340_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2034 VGND a_5648_8359# a_1302_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2035 a_14434_4765# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2036 VPWR a_10601_12247# a_12219_565# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2037 VPWR a_11622_7271# a_11623_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2038 a_10689_1455# a_8483_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2039 ctln_6_ a_8912_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2040 a_1570_12147# a_1887_12257# a_1845_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2041 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2042 a_3697_8751# a_1683_10927# a_3615_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2043 VGND a_4705_6031# a_6331_6183# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2044 VGND a_1315_2919# a_1315_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2045 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2046 VPWR a_9679_10620# a_9610_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2047 VGND a_482_1831# a_3523_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2048 VPWR a_8259_3285# a_627_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2049 a_13501_2589# a_13457_2197# a_13335_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2050 a_14561_7663# a_12801_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=0p ps=0u w=1e+06u l=150000u
+X2051 a_3785_2767# a_3615_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2052 a_3883_3311# a_3853_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2053 VPWR a_6842_4399# a_9125_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X2054 a_9230_5309# a_8511_5085# a_8667_5180# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X2055 a_7185_8757# a_7019_8757# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2056 a_3509_1135# a_3031_1439# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2057 VPWR a_1840_4104# a_1778_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2058 VPWR a_539_10357# a_487_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2059 a_11160_8207# a_9963_8207# a_10968_8573# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2060 VGND a_607_2223# a_2879_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2061 a_11947_12533# a_11037_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2062 VGND a_487_10383# a_988_9955# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2063 a_2953_8207# a_2787_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2064 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2065 a_4312_9295# a_4153_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X2066 a_653_1679# a_487_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2067 a_869_8029# a_834_7795# a_631_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2068 a_14012_3829# a_14046_5487# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2069 a_12378_12559# a_6161_8725# a_12083_12559# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2070 a_9611_12015# a_9360_12131# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2071 VGND a_12439_11989# a_12370_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2072 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2073 a_8483_3855# a_7939_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2074 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2075 a_5894_7663# a_5717_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2076 a_13631_8764# a_8369_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2077 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2078 VGND a_813_591# a_13777_4765# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2079 a_3141_10071# a_627_8733# a_3304_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2080 a_14020_8573# a_13540_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2081 a_10941_12711# a_11037_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2082 a_5081_9295# a_3891_9295# a_4972_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2083 a_6844_9447# a_4705_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2084 a_10969_1679# a_9779_1679# a_10860_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2085 VPWR a_813_591# a_11763_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2086 a_14204_9661# a_13257_9295# a_14096_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X2087 a_14611_8983# a_13964_7093# a_15009_9071# VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2088 a_4653_11471# a_4274_11837# a_4581_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2089 a_3303_8207# a_2787_8207# a_3208_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2090 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2091 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2092 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2093 a_13515_4777# a_12999_4405# a_13420_4765# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X2094 a_4487_2919# a_5766_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2095 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2096 VPWR a_7067_10907# a_13091_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2097 VGND a_3832_4007# a_4484_6691# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2098 VPWR a_4583_2741# a_4343_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2099 a_6460_3311# a_487_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=6.3e+11p pd=5.26e+06u as=0p ps=0u w=1e+06u l=150000u
+X2100 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2101 VGND a_5396_6417# a_5227_6183# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2102 VGND a_2099_5706# a_1527_4570# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2103 a_1920_3677# a_1803_3482# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2104 VPWR a_3340_7093# a_14839_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2105 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2106 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2107 a_11642_10383# a_6161_8725# a_11347_10383# VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2108 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2109 a_13626_9661# a_13091_9295# a_13540_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.89e+11p pd=1.74e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2110 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2111 a_2399_1135# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2112 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2113 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2114 VGND a_813_591# a_8717_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2115 a_5618_11059# a_5896_11043# a_5852_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2116 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2117 a_13144_2589# a_12525_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2118 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2119 a_5411_3543# a_4487_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2120 VGND a_6292_10071# a_5047_10357# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2121 a_3785_2767# a_3615_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2122 VPWR a_10567_6549# a_10383_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2123 a_12283_12257# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2124 VGND a_5690_10071# a_5639_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2125 VPWR a_487_6031# a_1478_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2126 a_12525_2767# a_11934_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2127 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2128 VPWR a_5411_3543# a_2927_3829# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2129 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2130 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2131 VPWR a_10753_1109# a_10689_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2132 VPWR a_6842_4399# a_7345_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2133 a_4735_6575# a_4484_6691# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2134 a_10658_12711# a_10754_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2135 a_13359_9839# a_13269_10071# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2136 VPWR a_12447_1143# trim_3_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2137 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2138 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2139 a_6022_10927# a_5935_11169# a_5618_11059# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2140 a_4187_11613# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2141 a_13823_7369# a_13738_7271# a_13605_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2142 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2143 ctln_5_ a_7992_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2144 VPWR a_1868_8181# a_2043_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2145 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2146 a_8304_5807# a_4487_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2147 VPWR a_14012_3829# a_14279_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2148 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2149 VPWR a_13420_7895# a_14761_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X2150 VGND a_7067_10907# a_9963_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2151 a_11660_4105# a_10779_5500# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2152 result_9_ a_1184_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2153 a_10543_1367# a_9683_3537# a_10689_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X2154 a_2043_11989# a_1887_12257# a_2188_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2155 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2156 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2157 a_7990_6183# a_8086_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2158 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2159 a_10313_4943# a_10147_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2160 a_7535_9129# a_7185_8757# a_7440_9117# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2161 a_631_7637# a_834_7795# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2162 a_8262_8751# a_7185_8757# a_8100_9129# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2163 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2164 a_4407_9295# a_3891_9295# a_4312_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2165 a_10391_1679# a_9945_1679# a_10295_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2166 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2167 a_6384_8983# a_5744_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2168 a_1099_1679# a_653_1679# a_1003_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2169 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2170 a_7655_9813# a_7460_9955# a_7965_10205# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X2171 a_1765_11471# a_1315_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2172 a_10403_2045# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2173 a_7933_4007# a_8259_3285# a_8865_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X2174 result_8_ a_1460_6549# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2175 VPWR a_12525_4943# a_14839_10071# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2176 a_10681_2229# a_10515_2229# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2177 VGND a_813_591# a_1265_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2178 a_9125_4105# a_4583_2741# a_5396_6417# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2179 VPWR a_6546_3311# a_10515_4221# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2180 a_1453_3855# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2181 a_12165_12809# a_1363_5469# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X2182 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2183 a_7933_4007# a_487_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2184 a_2280_8751# a_2066_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2185 a_2580_3689# a_1499_3317# a_2233_3285# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2186 VGND cal a_395_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2187 a_8021_12809# a_8211_12711# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X2188 a_14246_9509# a_14096_9661# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X2189 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2190 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2191 a_5529_2767# a_5257_1679# a_5429_2767# VGND sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.47e+11p ps=1.54e+06u w=420000u l=150000u
+X2192 a_10660_5719# a_10703_4105# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2193 a_2961_5193# a_607_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2194 VPWR a_7655_9813# a_7586_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2195 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2196 VGND a_6331_6183# a_5090_4007# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2197 VGND a_13964_7093# a_14653_9071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2198 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2199 a_14839_10071# a_12525_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2200 a_6262_1679# a_6085_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2201 a_4525_3677# a_2957_4399# a_4443_3424# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2202 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2203 a_3304_9955# a_1315_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2204 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2205 a_8194_5195# a_8472_5211# a_8428_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2206 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2207 VGND a_4514_4399# a_4620_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2208 VPWR cal a_395_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2209 a_2879_4943# a_3003_5095# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2210 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2211 a_13703_2767# a_13257_2767# a_13607_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2212 a_2135_8725# a_1979_8993# a_2280_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2213 a_6065_8983# a_6161_8725# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2214 a_9020_7119# a_8105_7119# a_8673_7361# VGND sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X2215 a_11116_7895# a_11331_7676# a_11258_7702# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.533e+11p ps=1.57e+06u w=420000u l=150000u
+X2216 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2217 a_1778_6397# a_1059_6173# a_1215_6268# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X2218 a_2589_9839# a_2412_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2219 a_14055_9813# a_14611_8983# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X2220 VGND a_813_591# a_13869_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2221 VGND a_448_9269# result_4_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2222 a_1017_6031# a_539_6005# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2223 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2224 a_834_7795# a_1151_7905# a_1109_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2225 VPWR clk a_7102_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2226 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2227 a_477_591# rstn VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2228 a_5411_3543# a_5684_3543# a_5642_3671# VGND sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2229 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2230 VGND a_631_7637# a_579_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2231 a_2939_2455# a_3167_2239# a_3113_2561# VGND sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2232 VGND a_9555_7895# a_9503_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2233 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2234 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2235 a_9683_3537# a_11035_1653# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2236 a_5253_3133# a_5087_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2237 a_12200_12015# a_11763_11989# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2238 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2239 a_10977_1455# a_8619_2741# a_10543_1367# VGND sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X2240 a_9669_2767# a_9503_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2241 a_10127_3133# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2242 a_11947_12533# a_11037_12533# a_12378_12559# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2243 VGND a_482_1831# a_1775_1141# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2244 a_1215_10620# a_1020_10651# a_1525_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X2245 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2246 a_10663_4943# a_10313_4943# a_10568_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2247 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2248 a_11390_5309# a_10313_4943# a_11228_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2249 a_2411_10901# a_2216_11043# a_2721_11293# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X2250 a_3832_4007# a_4938_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2251 VGND a_3111_4917# a_4227_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2252 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2253 VPWR a_2479_4703# a_2466_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2254 VGND a_5973_10071# a_5786_9813# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2255 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2256 a_8787_1377# a_9240_6807# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2257 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2258 a_13327_3285# a_13828_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2259 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2260 VPWR a_3983_591# ctln_3_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2261 a_13966_2223# a_12889_2229# a_13804_2601# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2262 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2263 a_8547_11305# a_8031_10933# a_8452_11293# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2264 a_4625_9537# a_4407_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X2265 VGND a_8307_8207# a_8274_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2266 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2267 a_9323_10159# a_8274_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2268 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2269 VGND a_9240_6807# a_13091_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2270 VGND a_13828_3285# a_14089_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2271 a_10568_565# a_10599_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2272 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2273 a_4515_9661# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2274 a_14761_8751# a_13964_7093# a_14055_9813# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2275 a_9195_7093# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2276 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2277 a_9195_11445# a_9020_11471# a_9374_11471# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2278 a_12219_565# a_10601_12247# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2279 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2280 VPWR a_11780_4777# a_11955_4703# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2281 a_2353_12381# a_1974_12015# a_2281_12381# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2282 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2283 a_10706_5853# a_10660_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2284 a_11211_10357# a_10317_11471# a_11642_10383# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2285 VGND a_627_11445# a_9323_10159# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2286 valid a_448_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2287 VGND a_8259_3285# a_8218_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.665e+11p ps=2.12e+06u w=650000u l=150000u
+X2288 a_11542_10927# a_11416_11043# a_11138_11059# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2289 VGND a_2111_2455# a_811_2741# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X2290 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2291 a_3036_10901# a_2787_10633# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2292 a_13445_9295# a_12525_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2293 a_3411_8573# a_2787_8207# a_3303_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2294 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2295 VPWR a_926_2223# a_1032_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2296 VGND a_1868_8181# a_2043_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2297 ctlp_1_ a_908_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2298 VPWR a_4604_1679# a_4779_1653# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2299 a_6236_10927# a_6022_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2300 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2301 VPWR a_14096_8573# a_14666_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2302 VPWR a_5690_10071# a_5639_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2303 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2304 a_8865_3311# a_487_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2305 a_10759_2741# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2306 a_10703_4105# a_10515_4221# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2307 a_13261_1367# a_9683_3537# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2308 a_8021_4105# a_7933_4007# a_7939_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2309 VGND a_813_591# a_9241_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2310 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2311 a_5684_591# a_5648_743# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2312 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2313 VGND a_7067_10907# a_8031_10933# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2314 a_7199_7369# a_1981_5088# a_6981_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2315 a_2111_2455# a_2219_2455# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2316 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2317 a_10412_8207# a_10129_8207# a_10317_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2318 a_6262_3855# a_6085_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2319 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2320 a_11806_6575# a_11048_6691# a_11243_6549# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2321 a_10295_1679# a_9945_1679# a_10200_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2322 VPWR a_4487_2919# a_6465_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X2323 a_1778_4221# a_1020_4123# a_1215_4092# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2324 a_448_9269# a_627_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2325 VPWR a_6863_11623# a_6808_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2326 a_14561_7663# a_14531_7637# a_13964_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X2327 VPWR a_13733_4373# a_13623_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2328 VPWR a_7499_10081# a_7460_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2329 VPWR a_6091_10901# a_6022_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2330 VPWR a_11803_8751# a_11901_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X2331 a_5507_11989# a_5710_12147# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2332 VPWR a_1981_5088# a_1673_5193# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2333 VGND a_10993_5785# a_10927_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2334 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2335 VPWR a_3919_11159# a_2668_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2336 VPWR comp a_12631_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2337 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2338 VPWR a_3832_4007# a_5766_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2339 VGND a_7933_4007# a_7847_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2340 a_13715_3133# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2341 a_6460_3311# a_3883_3311# a_6546_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.6e+11p ps=5.12e+06u w=1e+06u l=150000u
+X2342 a_8470_12015# a_8293_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2343 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2344 a_10860_1679# a_9945_1679# a_10513_1921# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2345 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2346 VGND a_1460_6549# result_8_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2347 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2348 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2349 a_3731_12233# a_3840_10633# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2350 a_11851_8983# a_11622_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2351 VGND a_5090_4007# a_5048_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2352 a_1568_1679# a_653_1679# a_1221_1921# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2353 trimb_2_ a_14839_12023# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2354 VGND a_2931_7637# a_2879_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2355 a_13239_2601# a_12723_2229# a_13144_2589# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X2356 a_1887_12257# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2357 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2358 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2359 a_6964_8359# a_8275_9055# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2360 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2361 a_5879_1513# a_5529_1141# a_5784_1501# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2362 a_6606_1135# a_5529_1141# a_6444_1513# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2363 VPWR a_5841_2521# a_5871_2262# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2364 a_1845_12381# a_1367_11989# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2365 VPWR a_13416_8983# a_12355_9447# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2366 a_10237_3009# a_10019_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X2367 VGND a_813_591# a_2277_3677# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2368 VPWR a_3639_6164# a_8767_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2369 a_4514_4399# a_4337_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2370 VGND a_813_591# a_11081_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2371 a_3031_1439# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2372 a_988_9955# a_579_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2373 clkc a_11251_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2374 a_2387_1513# a_1941_1141# a_2291_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X2375 VPWR a_7242_7271# a_7199_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2376 a_14266_1679# a_14089_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2377 a_6177_4399# a_6000_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2378 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2379 VPWR a_14247_6549# a_10479_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2380 a_6465_3017# a_4391_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2381 VPWR a_482_1831# a_2143_6581# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2382 a_7131_5526# a_4705_6031# a_7059_5526# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2383 VGND a_11295_8725# a_11241_9071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2384 a_11258_8029# a_6721_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2385 VPWR a_8483_3855# a_9093_2006# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2386 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2387 VPWR a_7343_11445# a_8113_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2388 VGND a_1315_8207# a_1870_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2389 a_11139_2223# a_10515_2229# a_11031_2601# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X2390 a_11542_10927# a_11455_11169# a_11138_11059# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2391 a_9412_6575# a_9227_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.218e+11p pd=1.42e+06u as=0p ps=0u w=420000u l=150000u
+X2392 VGND a_3107_2741# a_3053_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2393 a_10412_8207# a_9963_8207# a_10317_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.44e+11p pd=1.52e+06u as=0p ps=0u w=360000u l=150000u
+X2394 a_8879_2006# a_6997_2197# a_8879_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2395 VGND a_477_591# a_813_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2396 a_5412_2455# a_4797_3311# a_5554_2262# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=0p ps=0u w=420000u l=150000u
+X2397 a_13715_5309# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2398 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2399 VPWR a_8951_4399# a_6997_2197# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2400 a_4135_1679# a_3689_1679# a_4039_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2401 a_14279_10383# a_14012_3829# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2402 a_6457_6281# a_487_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=6.55e+11p pd=5.31e+06u as=0p ps=0u w=1e+06u l=150000u
+X2403 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2404 VPWR a_6427_6183# a_6546_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2405 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2406 VGND a_11611_10901# a_11542_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2407 VPWR a_11889_2919# a_12447_1143# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2408 a_448_10901# a_627_10909# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2409 a_14158_2589# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X2410 VPWR a_3036_10901# a_2974_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2411 a_2953_8207# a_2787_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2412 a_7935_4719# a_6997_2197# a_7935_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2413 VPWR a_13323_6794# a_11857_5461# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2414 ctln_1_ a_908_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2415 a_6012_591# a_5620_2491# a_5521_565# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.86e+11p ps=2.18e+06u w=650000u l=150000u
+X2416 VGND a_813_591# a_11232_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2417 a_2957_4399# a_2479_4703# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2418 a_6331_6183# a_4797_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2419 a_14063_1109# a_12463_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2420 VPWR a_11249_2197# a_11139_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2421 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2422 VPWR a_4220_5719# a_5144_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2423 a_14825_5487# a_14648_5487# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2424 a_9497_6941# a_9227_6575# a_9412_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2425 a_10936_2589# a_10515_1135# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2426 VGND a_11545_7961# a_11479_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2427 VPWR rstn a_477_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2428 a_12174_10927# a_11416_11043# a_11611_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2429 a_11596_2601# a_10515_2229# a_11249_2197# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2430 a_6336_2741# a_6721_2741# a_6465_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X2431 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2432 a_4407_9295# a_4057_9295# a_4312_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2433 VPWR a_7387_3311# a_6721_2741# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2434 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2435 VGND a_3883_3311# a_6377_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2436 VGND a_14839_12559# ctlp_0_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2437 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2438 VGND a_13828_3285# a_14279_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2439 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2440 VPWR a_7097_1831# a_5648_743# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2441 VGND a_8667_5180# a_8598_5309# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2442 a_3905_11471# a_3870_11723# a_3667_11445# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2443 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2444 a_653_1679# a_487_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2445 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2446 VGND a_3003_5095# a_3615_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2447 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2448 VPWR a_14839_6031# trim_1_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2449 a_5561_3671# a_4487_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X2450 VPWR a_11889_2919# a_11934_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2451 a_1673_5193# a_487_3855# a_1591_5193# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2452 a_1743_1653# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2453 a_13758_3631# a_6546_3311# a_13463_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2454 a_11901_6281# a_11845_6183# a_11331_7676# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2455 a_11035_1653# a_10860_1679# a_11214_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2456 a_3509_1135# a_3031_1439# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2457 VPWR a_14839_9847# trimb_1_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2458 VPWR a_813_591# a_539_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2459 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2460 a_6465_3017# a_4583_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2461 trimb_3_ a_14839_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2462 a_1453_6031# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2463 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2464 a_4266_5853# a_4220_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2465 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2466 a_8753_12015# a_8576_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2467 VPWR a_8765_10901# a_8655_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2468 VPWR a_10479_7093# a_12386_7779# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2469 VPWR a_8267_1109# a_8215_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2470 a_5554_2589# a_4505_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2471 a_7991_4917# a_8194_5195# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2472 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2473 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2474 a_13540_9295# a_13257_9295# a_13445_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2475 VGND a_5329_8359# a_5142_8181# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2476 a_3149_7663# a_627_8733# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X2477 ctlp_1_ a_908_12533# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2478 a_5823_11721# a_5939_12692# a_5905_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2479 a_5871_2262# a_5620_2491# a_5412_2455# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2480 a_926_2223# a_749_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2481 a_1459_8725# a_1662_8883# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2482 VPWR a_13828_3285# a_14839_1143# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2483 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2484 VPWR a_7531_7637# a_2191_10357# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2485 a_3483_6005# a_3639_6164# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2486 VPWR a_6262_3855# a_6368_3855# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2487 a_13607_2767# a_13257_2767# a_13512_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2488 VPWR a_5648_8359# a_1302_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2489 a_11258_7702# a_6721_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2490 VGND a_3983_7271# a_3983_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2491 result_0_ a_448_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2492 a_11889_2919# a_14063_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2493 a_10689_3311# a_8483_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2494 a_621_8355# a_1632_9545# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2495 a_9857_3855# a_9687_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2496 VPWR a_14055_9813# a_13631_8764# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2497 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2498 a_5745_12381# a_5710_12147# a_5507_11989# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2499 VPWR a_813_591# a_11664_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2500 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2501 VPWR a_3483_6005# a_3513_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2502 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2503 a_8360_7119# a_6929_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2504 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2505 a_14096_8573# a_13257_8207# a_14120_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2506 VPWR a_2835_4020# a_627_3293# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2507 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2508 a_621_8355# a_1632_9545# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2509 a_14347_2741# a_14172_2767# a_14526_2767# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2510 VGND a_11889_2919# a_13635_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2511 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2512 a_3565_8207# a_3521_8449# a_3399_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2513 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2514 VGND a_4553_5785# a_4487_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2515 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2516 VPWR a_2839_7093# a_2447_6746# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2517 VPWR a_3391_5461# a_482_1831# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2518 a_2659_6953# a_2309_6581# a_2564_6941# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2519 a_3386_6575# a_2309_6581# a_3224_6953# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2520 VGND a_5841_2521# a_5775_2589# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2521 a_2043_2767# a_1868_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2522 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2523 a_9182_11837# a_8105_11471# a_9020_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2524 VPWR a_7881_2223# a_8618_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2525 VPWR a_12447_5495# trim_4_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2526 VPWR a_4487_2919# a_8221_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2527 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2528 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2529 a_8218_9839# a_7499_10081# a_7655_9813# VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X2530 VGND a_627_8733# a_3067_7983# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2531 a_2835_4020# a_2927_3829# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2532 a_7067_10907# a_9871_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2533 VPWR a_2073_11616# a_1765_11721# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2534 a_2191_12533# a_6594_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2535 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2536 a_1632_9545# a_487_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2537 a_1215_10620# a_1059_10525# a_1360_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2538 a_13348_5577# a_14347_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2539 a_4353_11247# a_1811_11445# a_3919_11159# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X2540 a_4939_7271# a_10479_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2541 a_2509_1109# a_2291_1513# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X2542 VPWR a_14012_3829# a_14839_3319# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2543 a_8443_4399# a_7935_4719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2544 a_10601_12247# a_11159_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2545 a_6465_2767# a_4583_2741# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2546 a_13607_4943# a_13257_4943# a_13512_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2547 VPWR a_10543_1367# a_10515_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2548 a_3639_6164# a_7102_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2549 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2550 VGND a_9003_10357# a_627_11445# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2551 a_11087_6817# a_9240_6807# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2552 a_2856_1513# a_1941_1141# a_2509_1109# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2553 VPWR a_3167_2239# a_2939_2455# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2554 a_11323_4399# a_10699_4405# a_11215_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2555 VGND a_11403_4917# a_11337_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2556 VPWR a_9412_6575# a_9679_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2557 a_6377_3631# a_3883_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2558 a_448_4917# a_627_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2559 VGND a_14012_3829# a_14279_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2560 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2561 a_7535_9129# a_7019_8757# a_7440_9117# VGND sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X2562 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2563 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2564 VGND a_14247_6549# a_10479_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2565 VGND a_13466_1225# a_13463_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2566 VGND a_4535_12023# ctlp_4_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2567 VGND a_9611_12015# a_10147_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2568 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2569 VPWR a_13624_9019# a_14531_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2570 a_8483_3855# a_7939_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2571 VGND a_9195_11445# a_9129_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2572 a_448_3285# a_627_3293# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2573 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2574 a_5329_8359# a_1302_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2575 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2576 a_3689_1679# a_3523_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2577 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2578 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2579 a_1847_4399# a_1223_4405# a_1739_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2580 a_6964_8359# a_8275_9055# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2581 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2582 a_9360_12131# a_627_11997# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2583 a_8470_12015# a_8293_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2584 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2585 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2586 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2587 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2588 VPWR a_1221_1921# a_1111_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2589 VGND a_7067_10907# a_7939_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2590 a_8547_11305# a_8197_10933# a_8452_11293# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2591 VPWR a_1367_8181# a_1315_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2592 VGND a_6525_9447# a_6338_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2593 a_14917_7663# a_13624_9019# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2594 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2595 a_11433_4373# a_11215_4777# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2596 VPWR a_9887_12559# a_11159_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2597 a_11622_7271# a_12304_7779# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2598 a_13144_2589# a_12525_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2599 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2600 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2601 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2602 VPWR a_1868_8181# a_2129_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2603 a_4669_9295# a_4625_9537# a_4503_9295# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2604 VPWR a_813_591# a_10843_11445# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2605 a_12386_7779# a_11295_8725# a_12304_7779# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2606 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2607 VPWR a_13511_3829# a_13395_5156# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2608 a_7991_9269# a_2191_12533# a_8422_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X2609 a_834_7795# a_1112_7779# a_1068_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2610 VPWR a_1957_4373# a_1847_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2611 a_11966_12147# a_12244_12131# a_12200_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2612 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2613 a_3233_3311# a_2755_3615# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2614 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2615 a_4581_11471# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2616 a_4972_9295# a_3891_9295# a_4625_9537# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2617 sample a_448_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2618 VPWR a_8084_5461# a_4220_5719# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2619 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2620 VGND a_448_6549# result_1_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2621 VGND a_9683_3537# a_10977_1455# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2622 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2623 VGND a_12355_9447# a_12355_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2624 a_7643_8751# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2625 a_10706_5526# a_10660_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2626 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2627 a_9112_11305# a_8031_10933# a_8765_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2628 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2629 VGND a_13261_1367# a_13229_1135# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2630 a_8105_7119# a_7939_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2631 VPWR a_2787_12559# ctlp_2_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2632 a_5347_2947# a_6619_1439# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2633 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2634 VPWR a_12355_5095# a_12355_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2635 VGND a_579_8207# a_757_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2636 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2637 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2638 a_14825_5487# a_14648_5487# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2639 VPWR a_14080_4777# a_14255_4703# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2640 a_10759_4943# a_10313_4943# a_10663_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2641 a_7340_7093# a_9415_6037# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.75e+11p pd=2.55e+06u as=0p ps=0u w=1e+06u l=150000u
+X2642 VPWR a_14839_12023# trimb_2_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2643 VPWR a_7990_6183# a_7939_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2644 a_5744_565# a_4601_1109# a_7657_1455# VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2645 VPWR a_3111_4917# a_6842_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2646 a_13540_8207# a_13091_8207# a_13445_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.44e+11p pd=1.52e+06u as=0p ps=0u w=360000u l=150000u
+X2647 a_8717_11471# a_8673_11713# a_8551_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2648 a_13698_6183# a_14255_4703# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2649 VGND a_10601_12247# a_13919_12023# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2650 a_1605_12381# a_1570_12147# a_1367_11989# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2651 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2652 a_908_1679# a_671_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2653 a_11955_4703# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2654 VPWR a_11955_4703# a_11942_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2655 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2656 VPWR a_6361_11471# a_6654_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2657 a_3977_8207# a_2787_8207# a_3868_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2658 a_6835_2543# a_4601_1109# a_6741_2543# VGND sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X2659 a_14247_6549# a_12801_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2660 a_5521_565# a_4797_3311# a_5774_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2661 VGND a_2221_1679# a_5087_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2662 a_3575_11989# a_3731_12233# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2663 VPWR a_4779_1653# a_4766_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2664 VGND a_813_591# a_14360_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2665 VPWR a_9240_6807# a_9227_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2666 VGND a_4505_1109# a_6085_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2667 VGND a_6183_11989# a_6114_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2668 a_8470_1267# a_8748_1251# a_8704_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2669 a_9506_1135# a_8748_1251# a_8943_1109# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2670 a_14172_2767# a_13091_2767# a_13825_3009# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2671 VGND a_813_591# a_7217_10205# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2672 VPWR a_11763_11989# a_1639_6557# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2673 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2674 a_5429_2767# a_5347_2947# a_5357_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2675 a_11613_3631# a_9823_7895# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2676 a_1811_11445# a_5783_12533# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2677 a_13224_5603# a_4391_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2678 a_1146_10749# a_1059_10525# a_742_10635# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2679 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2680 a_1460_6549# a_1639_6557# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2681 clkc a_11251_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2682 VGND a_1184_5461# result_9_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2683 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2684 VPWR a_12194_1831# a_12242_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X2685 VPWR a_3509_1135# a_5253_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2686 VGND a_6384_8983# a_6161_8725# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2687 VGND a_9887_12559# a_11159_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2688 a_5362_6281# a_4713_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2689 a_13825_3009# a_13607_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2690 VPWR a_2835_5461# a_8263_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2691 VPWR a_448_11989# result_7_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2692 VPWR a_4976_7119# a_5398_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2693 a_10522_8207# a_10129_8207# a_10412_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2694 VGND a_1307_7637# a_1238_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2695 a_12194_1831# a_13979_2527# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2696 a_7185_8757# a_7019_8757# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2697 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2698 a_7090_10383# a_6913_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2699 a_4779_1653# a_4604_1679# a_4958_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2700 a_10681_2229# a_10515_2229# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2701 VPWR a_813_591# a_5507_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2702 VPWR a_757_8207# a_1170_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2703 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2704 VPWR a_10383_7271# a_10786_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2705 ctlp_2_ a_2787_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2706 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2707 a_2196_1501# a_1485_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X2708 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2709 a_4257_1921# a_4039_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X2710 a_1591_5193# a_1719_4917# a_1673_4943# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2711 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2712 VPWR a_9240_6807# a_12999_4405# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2713 a_7499_10081# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2714 VGND a_8259_3285# a_7933_4007# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2715 VGND a_8619_2741# a_10870_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2716 VGND a_7311_12559# a_7974_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2717 a_627_5469# a_3399_6879# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2718 VPWR a_487_3855# a_6460_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2719 a_11356_12533# a_13132_11721# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2720 VGND a_11363_11613# a_11324_11739# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2721 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2722 a_14172_4943# a_13091_4943# a_13825_5185# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2723 a_2721_11293# a_2342_10927# a_2649_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2724 a_2839_7093# a_1402_7271# a_3057_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X2725 a_8592_6183# a_5396_6417# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2726 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2727 ctln_3_ a_3983_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2728 VGND a_9811_4373# a_14839_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2729 a_5434_4943# a_5257_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2730 a_8401_10383# a_5939_12692# a_7967_10535# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X2731 a_10993_5785# a_10660_5719# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2732 a_6837_8457# a_6964_8359# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2733 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2734 a_7090_10383# a_6913_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2735 VPWR a_5434_4943# a_5540_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2736 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X2737 a_1974_12015# a_1887_12257# a_1570_12147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2738 VPWR a_14839_11471# trimb_3_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2739 a_9989_10383# a_9610_10749# a_9917_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2740 a_7416_9839# a_6979_9813# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2741 a_3411_8573# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2742 a_4061_7895# a_3887_8977# a_4224_7779# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2743 a_13716_9269# a_13540_9295# a_13860_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2744 VPWR a_1315_8207# a_1870_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2745 a_13611_4777# a_13165_4405# a_13515_4777# VGND sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2746 VPWR a_14246_8421# a_14204_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2747 a_14279_10927# a_13828_3285# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2748 a_8360_11471# a_7939_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X2749 VGND a_813_591# a_777_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2750 VPWR a_13827_12559# ctlp_7_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2751 a_11280_11837# a_10843_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2752 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2753 a_13860_8207# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2754 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2755 a_1360_7119# a_487_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2756 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2757 a_4514_4399# a_4337_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2758 VPWR a_1302_7271# a_2839_7093# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2759 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2760 VPWR a_13229_1135# a_13770_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2761 a_11763_11989# a_11966_12147# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2762 a_9493_3311# a_8763_2919# a_9411_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2763 VPWR a_3233_3311# a_4443_3424# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2764 a_14526_4943# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2765 a_908_1679# a_671_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2766 VPWR a_448_8725# result_3_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2767 a_6997_2197# a_8951_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2768 a_5894_4399# a_5717_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2769 a_3667_11445# a_3870_11723# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2770 a_2029_11471# a_487_10383# a_1683_11721# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2771 VGND a_10655_9460# a_10280_8361# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2772 a_11455_11169# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2773 a_8476_5487# a_5396_6417# a_8221_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2774 a_8273_6183# a_8369_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2775 a_1059_10525# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2776 a_2221_1679# a_1743_1653# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2777 a_2606_12015# a_1848_12131# a_2043_11989# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2778 a_1662_8883# a_1979_8993# a_1937_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2779 a_9949_3311# a_9411_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2780 VPWR a_448_11445# result_6_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2781 a_2255_11169# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2782 VPWR a_813_591# a_10968_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2783 VPWR a_9919_7637# a_10459_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2784 VPWR a_11857_5461# a_12447_5495# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2785 VGND a_5591_6011# a_5227_6183# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2786 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2787 a_9769_4399# a_6997_2197# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2788 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2789 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2790 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2791 a_11829_11471# a_11450_11837# a_11757_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2792 a_12889_2229# a_12723_2229# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2793 VPWR a_13804_2601# a_13979_2527# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2794 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2795 a_13257_2767# a_13091_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2796 a_11889_2919# a_14063_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2797 a_1957_4373# a_1739_4777# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2798 VGND a_10317_11471# a_10599_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2799 a_7800_9839# a_7586_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2800 VPWR a_11116_7895# a_10747_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2801 VGND a_13348_5577# a_13647_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2802 a_1870_7663# a_1151_7905# a_1307_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2803 VPWR a_13753_7961# a_13783_7702# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2804 VGND a_4312_1109# a_3167_2239# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X2805 VGND a_13359_10927# a_13643_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2806 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2807 a_6114_12015# a_6027_12257# a_5710_12147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2808 a_9924_2767# a_9029_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2809 a_2281_12381# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2810 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2811 a_3111_4917# a_4018_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2812 a_9887_12559# a_9636_12809# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2813 a_11771_2527# a_11596_2601# a_11950_2589# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2814 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2815 a_4249_6077# a_3233_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2816 VGND a_627_5469# a_2975_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2817 a_4503_9295# a_4057_9295# a_4407_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2818 a_13964_7093# a_14531_7637# VGND VGND sky130_fd_pr__nfet_01v8 ad=5.005e+11p pd=2.84e+06u as=0p ps=0u w=650000u l=150000u
+X2819 a_6545_1679# a_6368_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2820 VGND a_9523_10525# a_9484_10651# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2821 VGND a_482_1831# a_5363_1141# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2822 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2823 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2824 VGND a_11159_10383# a_12174_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2825 VGND a_813_591# a_5653_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2826 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2827 a_13545_3311# a_13466_1225# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2828 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2829 VGND a_3853_3285# a_6651_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2830 ctlp_7_ a_13827_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2831 VGND a_813_591# a_4669_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2832 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2833 a_1151_7905# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2834 VGND a_13466_1225# a_13261_1367# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2835 VGND a_3233_3311# a_4597_3677# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2836 a_10843_11445# a_11046_11723# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2837 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2838 a_13324_7895# a_6721_2741# a_13466_7702# VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=0p ps=0u w=420000u l=150000u
+X2839 a_1239_9839# a_988_9955# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2840 VPWR a_5507_11989# a_5455_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2841 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2842 a_14266_1679# a_14089_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2843 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2844 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2845 a_4104_11837# a_3667_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2846 a_14531_7637# a_13624_9019# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2847 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2848 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2849 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2850 a_5744_565# a_4505_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2851 a_5944_12015# a_5507_11989# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2852 VPWR a_448_9269# result_4_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2853 a_13753_7961# a_13420_7895# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2854 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2855 a_2689_5719# a_7131_5526# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2856 a_7373_10383# a_7196_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2857 VGND a_3111_4917# a_6842_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2858 a_8197_10933# a_8031_10933# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2859 a_9187_9813# a_8274_9269# a_9405_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2860 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2861 a_2191_12533# a_6594_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2862 VPWR a_813_591# a_9824_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2863 a_4224_7779# a_627_5469# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2864 a_14279_10383# a_14012_3829# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2865 a_14749_7983# a_12801_9839# a_13964_7093# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2866 a_1360_7119# a_1302_7271# a_1260_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X2867 VPWR a_1184_5461# result_9_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2868 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2869 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2870 a_4343_3017# a_3862_4105# a_2965_2919# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2871 a_1146_4221# a_1020_4123# a_742_4107# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2872 VGND a_7090_10383# a_7196_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2873 a_4583_2741# a_5253_3133# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2874 a_11120_4765# a_10515_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2875 VGND a_4735_9839# a_6594_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2876 a_14096_9661# a_13091_9295# a_14020_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2877 a_14204_8573# a_13257_8207# a_14096_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2878 VPWR a_13359_10927# a_13643_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2879 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2880 a_3840_10633# a_627_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2881 a_7352_5853# a_4705_6031# a_7131_5526# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X2882 VPWR a_9195_11445# a_9182_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2883 a_5365_10383# a_1811_11445# a_4931_10535# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X2884 a_2188_12015# a_1974_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2885 VPWR a_1215_10620# a_1146_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2886 VGND a_6844_5095# a_3003_5095# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2887 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2888 a_9114_2223# a_8937_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2889 VPWR a_9739_5461# a_9240_6807# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2890 VGND a_448_8725# result_3_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2891 a_2835_5461# a_5881_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2892 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2893 a_9568_1109# a_9595_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2894 a_2781_5807# a_2835_5461# a_2793_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X2895 VPWR a_13635_10383# a_14839_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2896 VPWR a_2509_1109# a_2399_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2897 a_6361_11471# a_5823_11721# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2898 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2899 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2900 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2901 a_5642_3671# a_3883_3311# a_5561_3671# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2902 a_13623_4399# a_12999_4405# a_13515_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2903 a_13626_8573# a_13091_8207# a_13540_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2904 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2905 a_10115_2767# a_9669_2767# a_10019_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X2906 a_14288_9295# a_13091_9295# a_14096_9661# VGND sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X2907 a_4274_11837# a_4148_11739# a_3870_11723# VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2908 VPWR a_13828_3285# a_14279_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2909 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2910 VPWR a_482_1831# a_1499_3317# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2911 VGND a_482_1831# a_1499_3317# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2912 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2913 VPWR a_5973_10071# a_5786_9813# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2914 a_5727_7485# a_5547_7485# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2915 a_2856_1513# a_1775_1141# a_2509_1109# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2916 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2917 VPWR a_5881_5719# a_5694_5461# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2918 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2919 a_9773_7983# a_8369_6005# a_9555_7895# VGND sky130_fd_pr__nfet_01v8 ad=3.705e+11p pd=3.74e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2920 a_12040_565# a_12219_565# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2921 a_11295_8725# a_11538_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2922 VPWR a_2043_11989# a_1974_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2923 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2924 VGND a_813_591# a_10281_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2925 VPWR a_13511_6005# a_13303_4570# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2926 VPWR a_10564_5719# a_9687_4007# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2927 a_6464_6031# a_6427_6183# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.5025e+11p pd=2.07e+06u as=0p ps=0u w=650000u l=150000u
+X2928 a_13729_4105# a_13348_5577# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2929 result_7_ a_448_11989# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2930 VPWR a_9240_6807# a_10147_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2931 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2932 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2933 VPWR a_5412_2455# a_3615_2919# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2934 a_13825_3009# a_13607_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X2935 VPWR a_5047_10357# a_8021_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2936 a_1087_1117# a_757_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2937 a_3141_10071# a_1315_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2938 a_13783_7702# a_8369_6005# a_13324_7895# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2939 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2940 a_3862_4105# a_4048_3829# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2941 a_13650_8207# a_13257_8207# a_13540_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2942 a_11174_6575# a_11087_6817# a_10770_6707# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2943 a_6427_6183# a_3111_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2944 a_13132_11721# a_1363_5469# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2945 a_3615_8751# a_1811_11445# a_3697_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2946 VGND a_9823_7895# a_9773_7983# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2947 a_1146_4221# a_1059_3997# a_742_4107# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2948 a_10564_5719# a_9841_4399# a_10706_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2949 a_8100_9129# a_7019_8757# a_7753_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2950 a_8274_9269# a_8307_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2951 a_13512_4943# a_13395_5156# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X2952 a_3762_4917# a_607_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2953 VPWR a_3340_9269# a_4535_12023# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2954 a_448_6549# a_487_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2955 a_8491_3017# a_8619_2741# a_8573_2767# VGND sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2956 VPWR a_13624_9019# a_14561_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2957 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2958 a_9718_12809# a_1639_6557# a_9636_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2959 a_2689_3689# a_1499_3317# a_2580_3689# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2960 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2961 VPWR a_2580_3689# a_2755_3615# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2962 a_14281_4943# a_13091_4943# a_14172_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2963 VPWR a_487_10383# a_1070_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2964 a_11705_2601# a_10515_2229# a_11596_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2965 VPWR a_11889_2919# a_13635_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2966 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2967 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2968 a_4349_6295# a_3853_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2969 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2970 VPWR a_10513_1921# a_10403_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2971 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2972 a_6091_10901# a_5935_11169# a_6236_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2973 VGND a_9679_10620# a_9610_10749# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2974 VGND a_14839_743# a_14839_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2975 a_1743_1653# a_1568_1679# a_1922_1679# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2976 a_11911_3855# a_11660_4105# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2977 a_13624_9019# a_14666_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2978 VPWR a_6331_6183# a_5090_4007# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.85e+11p ps=2.57e+06u w=1e+06u l=150000u
+X2979 a_7990_6183# a_8086_6005# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2980 VPWR a_9305_1679# a_9595_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2981 a_2767_6575# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2982 a_11756_10927# a_11542_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2983 VGND a_6997_2197# a_9687_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2984 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2985 a_1109_8029# a_631_7637# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2986 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2987 VPWR a_1639_6557# a_10954_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2988 VGND a_448_3285# valid VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2989 VPWR a_482_1831# a_1223_4405# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2990 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2991 a_11911_3855# a_11660_4105# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2992 a_7881_2223# a_7603_2561# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=4.75e+11p pd=2.95e+06u as=0p ps=0u w=1e+06u l=150000u
+X2993 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2994 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2995 VGND a_9240_6807# a_12723_2229# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2996 VPWR a_5782_8983# a_5731_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2997 a_4043_8181# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2998 a_7939_12809# a_5939_12692# a_8021_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X2999 VGND a_539_10357# a_487_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3000 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3001 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3002 a_908_12533# a_757_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3003 a_6091_10901# a_5896_11043# a_6401_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X3004 a_4972_9295# a_4057_9295# a_4625_9537# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3005 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3006 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3007 a_14255_4703# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3008 VGND a_9187_9813# a_9135_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3009 a_8874_1135# a_8748_1251# a_8470_1267# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3010 a_3233_3311# a_2755_3615# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3011 VGND a_6262_3855# a_6368_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3012 VGND a_5782_8983# a_5731_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3013 VGND a_11889_2919# a_12447_1143# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3014 VPWR a_14542_5487# a_14648_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3015 VPWR a_12355_9447# a_12355_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3016 a_13335_2601# a_12889_2229# a_13239_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3017 a_6842_4399# a_3111_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3018 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3019 a_3340_7093# a_3983_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3020 VPWR a_757_8207# a_1087_1117# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3021 VPWR a_477_591# a_813_591# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3022 VPWR a_11611_10901# a_11542_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3023 VPWR a_4931_10535# a_4903_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3024 a_9481_10383# a_9003_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3025 VPWR a_6242_9447# a_6191_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3026 a_8209_9545# a_627_10909# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3027 a_2974_10927# a_2255_11169# a_2411_10901# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3028 a_11942_4399# a_10865_4405# a_11780_4777# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3029 VPWR a_7967_10535# a_7939_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3030 VGND a_7499_10081# a_7460_9955# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3031 VGND a_11519_11708# a_11450_11837# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X3032 a_14705_7147# a_10479_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3033 a_627_4917# a_6842_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3034 a_9945_1679# a_9779_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3035 a_6183_11989# a_5988_12131# a_6493_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3036 result_6_ a_448_11445# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3037 VPWR a_12773_8970# a_11251_9447# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3038 VGND a_1367_8181# a_1315_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3039 a_2793_5487# a_2689_5719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3040 a_8643_11305# a_8197_10933# a_8547_11305# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3041 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3042 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3043 a_2111_3689# a_1665_3317# a_2015_3689# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3044 VGND a_13511_3829# a_13395_5156# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3045 a_11116_7895# a_11295_8725# a_11258_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3046 a_3399_6879# a_3224_6953# a_3578_6941# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3047 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3048 a_8879_2006# a_8215_1135# a_8807_2006# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3049 VPWR a_10237_3009# a_10127_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3050 a_2479_4703# a_2304_4777# a_2658_4765# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3051 a_4043_8181# a_3868_8207# a_4222_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3052 a_7006_8207# a_6964_8359# a_6920_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3053 a_11228_4943# a_10147_4943# a_10881_5185# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3054 VPWR a_5783_12533# a_1811_11445# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3055 VGND a_7655_9813# a_7586_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X3056 a_13607_4943# a_13091_4943# a_13512_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3057 a_10543_3543# a_4391_2919# a_10689_3631# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X3058 VGND a_8483_3855# a_9100_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3059 a_11031_2601# a_10681_2229# a_10936_2589# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3060 a_9195_11445# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3061 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3062 a_10584_2767# a_9503_2767# a_10237_3009# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X3063 VGND a_2921_11619# a_2879_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3064 a_757_8207# a_579_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3065 VGND a_14531_7271# a_13738_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X3066 a_1360_6397# a_1146_6397# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3067 a_1215_4092# a_1020_4123# a_1525_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3068 VGND a_14266_1679# a_14372_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3069 a_477_591# rstn VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3070 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3071 VPWR a_813_591# a_631_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3072 a_6619_1439# a_6444_1513# a_6798_1501# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3073 a_1979_8993# a_2191_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3074 VGND a_1683_10927# a_1632_9545# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3075 VPWR a_8483_3855# a_8573_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3076 VGND a_13827_12559# ctlp_7_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3077 VGND a_6997_2197# a_6612_2197# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X3078 a_926_2223# a_749_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3079 a_10237_3009# a_10019_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3080 a_10413_6031# a_9503_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3081 a_8021_12809# a_6964_8359# a_7939_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3082 VPWR a_4625_9537# a_4515_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3083 a_1170_7119# a_1302_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3084 a_11321_11471# a_10843_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3085 VPWR a_9195_7093# a_9182_7485# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3086 a_13420_4765# a_13303_4570# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3087 a_4065_11247# a_2073_11616# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X3088 a_8422_9295# a_6161_8725# a_8127_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3089 a_13624_9019# a_14666_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3090 VGND a_14839_591# ctln_0_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3091 a_5257_1679# a_4779_1653# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3092 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3093 a_1215_6268# a_1059_6173# a_1360_6397# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3094 VGND a_5598_5719# a_5547_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3095 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3096 a_1070_9955# a_579_7663# a_988_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3097 VPWR a_3340_9269# a_4711_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3098 a_10584_2767# a_9669_2767# a_10237_3009# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3099 VPWR a_6427_6183# a_8259_3285# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3100 VPWR a_6161_8725# a_11211_10357# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3101 VGND a_13698_6183# a_13647_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3102 a_4064_4943# a_3615_4943# a_3762_4917# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3103 VPWR a_4048_3829# a_4801_7369# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3104 VGND a_9687_4007# a_9687_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3105 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3106 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3107 a_6545_3855# a_6368_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3108 VGND a_6842_4399# a_6877_5526# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3109 VPWR a_8943_1109# a_8874_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3110 VGND a_14839_12023# trimb_2_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3111 VPWR a_1059_10525# a_1020_10651# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3112 a_11481_6941# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3113 a_8511_5085# a_9240_6807# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3114 VPWR a_8100_9129# a_8275_9055# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3115 a_7242_7271# a_9195_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3116 a_6097_1109# a_5879_1513# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X3117 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3118 a_12134_4765# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3119 a_10599_9839# a_10317_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3120 a_5147_9269# a_4972_9295# a_5326_9295# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3121 a_4792_3875# a_5727_7485# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3122 trim_3_ a_12447_1143# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3123 a_607_2223# a_430_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3124 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3125 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3126 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3127 a_11046_11723# a_11324_11739# a_11280_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3128 a_1367_8181# a_1868_8181# a_1798_8207# VGND sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X3129 a_4124_5719# a_4048_3829# a_4266_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3130 a_6444_1513# a_5529_1141# a_6097_1109# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3131 a_6546_3311# a_3883_3311# a_6460_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3132 VGND a_10567_6549# a_10383_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3133 VPWR a_13825_3009# a_13715_3133# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3134 a_5412_2455# a_5620_2491# a_5554_2589# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3135 VGND a_2191_12533# a_8171_565# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3136 VPWR a_813_591# a_3667_11445# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3137 VGND a_813_591# a_12001_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3138 a_2839_7093# a_3340_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3139 a_11851_8983# a_11748_7271# a_12085_9117# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3140 VGND a_813_591# a_10805_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3141 a_4797_4399# a_4620_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3142 VPWR a_11237_12015# a_13002_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3143 VPWR a_2099_5706# a_1527_4570# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3144 VGND a_11763_11989# a_1639_6557# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3145 a_11293_2589# a_11249_2197# a_11127_2601# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3146 a_2755_3615# a_2580_3689# a_2934_3677# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3147 a_14360_9295# a_14246_9509# a_14288_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3148 a_11947_12533# a_8274_9269# a_12165_12809# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3149 VGND a_813_591# a_1973_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3150 VGND a_8483_3855# a_8837_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X3151 VGND a_813_591# a_11477_4765# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3152 VPWR a_4048_3829# a_5717_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3153 a_14549_1679# a_14372_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3154 a_14279_10927# a_13828_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3155 a_6571_6031# a_4713_7271# a_6464_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3156 a_1111_2045# a_487_1679# a_1003_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3157 a_1146_6397# a_1020_6299# a_742_6283# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3158 a_1778_10749# a_1020_10651# a_1215_10620# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3159 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3160 a_2411_10901# a_2255_11169# a_2556_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3161 VGND a_1735_10901# a_1683_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3162 VPWR a_3615_11471# a_3922_10633# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3163 VPWR a_2760_8725# a_2698_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X3164 a_6457_6281# a_6427_6183# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3165 a_8667_5180# a_8472_5211# a_8977_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3166 VPWR a_9919_7637# a_9858_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3167 a_8807_2006# a_8625_2006# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3168 VPWR a_3031_1439# a_3018_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3169 VPWR a_4679_4007# a_1803_3482# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3170 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3171 a_4039_1679# a_3689_1679# a_3944_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3172 a_11215_4777# a_10699_4405# a_11120_4765# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3173 a_4705_6031# a_4349_6295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3174 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3175 VGND a_4343_11708# a_4274_11837# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3176 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3177 a_2043_11989# a_1848_12131# a_2353_12381# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3178 a_11347_10383# a_8274_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3179 a_4735_9839# a_4484_9955# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3180 a_6242_9447# a_6338_9269# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3181 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3182 VGND a_5455_12015# a_7060_12809# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3183 VGND a_8787_1377# a_8748_1251# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3184 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3185 VPWR a_13825_5185# a_13715_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3186 VGND a_627_11997# a_11347_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3187 a_6546_3311# a_6427_6183# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3188 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3189 VPWR a_448_3285# valid VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3190 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3191 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3192 a_6844_5095# a_3853_3285# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3193 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3194 a_1765_11721# a_487_10383# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3195 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3196 a_10459_6005# a_9919_7637# a_11613_3631# VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3197 VPWR a_11228_4943# a_11403_4917# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3198 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3199 a_8573_3017# a_8763_2919# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3200 a_1485_2767# a_1315_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3201 a_8655_10927# a_8031_10933# a_8547_11305# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3202 VGND a_13348_5577# a_13224_5603# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3203 a_7009_11471# a_5047_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3204 VGND a_11947_12533# a_11895_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3205 a_12463_1679# a_12212_1929# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3206 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3207 VGND a_2939_2455# a_1315_2919# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X3208 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3209 VPWR a_2306_9839# a_2412_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3210 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3211 a_9466_11293# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3212 a_6292_10071# a_4735_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3213 VPWR a_4713_7271# a_6457_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3214 a_2580_3689# a_1665_3317# a_2233_3285# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3215 a_2285_2561# a_2219_2455# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3216 a_1847_4399# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3217 a_3870_11723# a_4148_11739# a_4104_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3218 VGND a_14839_11471# trimb_3_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3219 a_5690_10071# a_5786_9813# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3220 VPWR a_10968_8573# a_11538_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3221 VGND a_9305_1679# a_9595_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3222 VPWR a_9240_6807# a_9503_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3223 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3224 a_7631_9129# a_7185_8757# a_7535_9129# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3225 a_3208_8207# a_2879_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3226 a_7531_7637# a_3513_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X3227 result_2_ a_448_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3228 a_9129_7119# a_7939_7119# a_9020_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3229 a_4711_2223# a_3340_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3230 a_4145_11471# a_3667_11445# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3231 VGND a_627_9269# a_2975_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3232 a_13420_4765# a_13303_4570# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3233 a_7499_10081# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3234 a_13635_10383# a_11889_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3235 VPWR a_13643_591# ctln_9_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3236 VPWR a_8787_1377# a_8748_1251# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3237 VPWR a_1459_8725# a_627_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3238 a_3615_8751# a_1811_11445# a_3697_9071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3239 VPWR a_1302_7271# a_1367_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3240 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3241 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3242 a_13823_7369# a_13964_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3243 a_8598_5309# a_8511_5085# a_8194_5195# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3244 a_13845_9049# a_6721_2741# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3245 a_4061_7895# a_627_5469# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3246 a_7131_5526# a_4391_2919# a_7131_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X3247 VPWR a_8912_565# ctln_6_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3248 a_10779_5500# a_11403_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3249 a_6525_5095# a_3003_5095# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3250 VGND a_9412_6575# a_9679_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3251 a_8469_4943# a_7991_4917# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3252 a_7586_9839# a_7460_9955# a_7182_9971# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3253 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3254 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3255 a_13475_5487# a_13224_5603# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3256 VPWR a_10601_12247# a_13919_12023# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3257 a_8812_5309# a_8598_5309# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3258 VPWR a_1743_1653# a_1730_2045# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3259 a_3919_11159# a_1315_12015# a_4065_11247# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3260 a_13729_6281# a_13698_6183# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3261 VPWR a_6546_3311# a_13511_3829# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3262 VPWR a_13348_5577# a_13306_5603# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3263 VPWR a_6619_1439# a_6606_1135# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3264 a_11849_11293# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3265 VGND a_7067_10907# a_13091_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3266 a_3762_4917# a_3615_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3267 a_11118_8421# a_10968_8573# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X3268 a_2123_3311# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3269 VPWR a_7090_10383# a_7196_10383# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3270 a_8113_10383# a_5047_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X3271 a_9917_10383# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3272 VGND a_813_591# a_4301_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3273 VGND a_1402_7271# a_5717_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3274 a_1360_10749# a_1146_10749# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3275 VPWR a_10860_1679# a_11035_1653# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3276 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3277 a_9811_4373# a_11857_5461# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3278 a_13540_8207# a_13257_8207# a_13445_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3279 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3280 a_2479_4703# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3281 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3282 VPWR a_7067_10907# a_7939_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3283 a_11742_4105# a_10779_5500# a_11660_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3284 a_13779_9117# a_13631_8764# a_13416_8983# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3285 a_8127_9295# a_8274_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3286 a_6292_10071# a_4735_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3287 VGND a_14096_8573# a_14666_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3288 a_6200_5719# a_3003_5095# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3289 VPWR a_1683_10927# a_1714_9545# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3290 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3291 VGND a_10479_7093# a_4939_7271# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3292 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3293 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3294 a_6800_6281# a_4705_6031# a_6457_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3295 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3296 VPWR a_3983_7271# a_3983_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3297 a_14641_4007# a_10753_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3298 VPWR a_1059_3997# a_1020_4123# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3299 a_11004_6575# a_10567_6549# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3300 a_11173_11293# a_11138_11059# a_10935_10901# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3301 a_2342_10927# a_2255_11169# a_1938_11059# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3302 VPWR a_10412_8207# a_10588_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3303 a_9221_11305# a_8031_10933# a_9112_11305# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3304 VGND a_8275_9055# a_8209_9129# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3305 a_8205_3631# a_6842_4399# a_627_4917# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3306 a_12082_11837# a_11363_11613# a_11519_11708# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3307 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3308 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3309 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3310 a_6612_2197# a_3509_1135# a_6835_2543# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3311 a_7847_4719# a_6997_2197# a_7935_4719# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3312 a_11087_6817# a_9240_6807# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3313 VGND a_13511_6005# a_13303_4570# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3314 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3315 trimb_2_ a_14839_12023# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3316 VPWR a_4608_9929# a_4566_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3317 VPWR a_9523_10525# a_9484_10651# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3318 VPWR a_3832_4007# a_5257_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3319 a_2755_3615# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3320 VGND a_14063_1109# a_11889_2919# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3321 a_14611_8983# a_13420_7895# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3322 VPWR a_813_591# a_2188_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3323 VPWR a_4249_6077# a_4349_6295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3324 VPWR a_10655_9460# a_10280_8361# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3325 a_813_591# a_477_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3326 a_5973_10071# a_5047_10357# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3327 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3328 a_1215_6268# a_1020_6299# a_1525_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3329 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3330 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3331 a_9924_2767# a_9029_2767# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X3332 VGND a_9739_5461# a_9240_6807# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3333 VGND a_8274_9269# a_10836_12335# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3334 VGND a_13716_9269# a_13650_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3335 a_5357_2767# a_5087_2767# a_5253_3133# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3336 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3337 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3338 a_1260_7119# a_757_8207# a_1170_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X3339 a_908_1109# a_1087_1117# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3340 VPWR a_813_591# a_6236_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3341 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3342 VPWR a_1059_6173# a_1020_6299# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3343 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3344 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3345 a_565_4399# a_395_4399# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3346 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3347 VGND a_3509_1135# a_5529_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3348 VPWR a_3057_11471# a_3983_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3349 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3350 VPWR a_5894_4399# a_6000_4399# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3351 a_5434_4943# a_5257_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3352 VPWR a_1979_8993# a_1940_8867# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3353 VGND a_4583_2741# a_7689_2561# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3354 VGND a_3111_4917# a_4337_4399# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3355 a_9114_2223# a_8937_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3356 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3357 a_11138_11059# a_11455_11169# a_11413_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3358 ctln_9_ a_13643_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3359 a_12040_565# a_12219_565# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3360 a_9523_10525# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3361 a_8454_9117# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3362 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3363 VGND a_3031_1439# a_2965_1513# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3364 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3365 VPWR a_8151_12015# a_13091_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3366 a_7643_8751# a_7019_8757# a_7535_9129# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3367 a_2931_7637# a_1402_7271# a_3149_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3368 a_9569_6281# a_4792_3875# a_9497_6281# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3369 a_4797_4399# a_4620_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3370 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3371 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3372 VGND a_13229_1135# a_13770_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3373 a_3639_6164# a_7102_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3374 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3375 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3376 a_7531_7637# a_3513_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3377 a_976_4221# a_539_3829# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3378 a_1937_4943# a_565_4399# a_1591_5193# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3379 a_13306_5603# a_4391_2919# a_13224_5603# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3380 VGND a_5894_7663# a_6000_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3381 a_10599_9839# a_10317_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3382 VPWR a_10588_8181# a_10498_8573# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3383 a_4801_7119# a_4713_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3384 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3385 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3386 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3387 a_6328_12015# a_6114_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3388 a_3483_6005# a_3639_6164# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3389 VGND a_813_591# a_7797_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3390 a_7102_6575# clk VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3391 a_4057_9295# a_3891_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3392 VPWR a_7753_8725# a_7643_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3393 VGND a_9240_6807# a_9779_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3394 a_6721_2741# a_7387_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3395 VPWR a_9135_9839# a_10242_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3396 a_3391_5461# a_3513_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3397 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3398 VPWR a_9020_11471# a_9195_11445# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3399 VGND a_8619_2741# a_8937_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3400 a_1714_9545# a_487_6031# a_1632_9545# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3401 VPWR a_1302_7271# a_2931_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3402 a_8335_11098# a_7939_12809# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3403 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3404 VPWR a_3399_6879# a_3386_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3405 trim_0_ a_14839_3319# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3406 trimb_3_ a_14839_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3407 a_4487_2919# a_5766_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3408 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3409 a_5077_10383# a_5047_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X3410 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3411 a_6525_5095# a_3003_5095# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3412 a_7097_1831# a_4601_1109# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3413 VPWR a_6183_11989# a_6114_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3414 VGND a_3615_11471# a_3840_10633# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3415 a_2698_8751# a_1940_8867# a_2135_8725# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3416 a_4604_1679# a_3689_1679# a_4257_1921# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3417 a_565_4399# a_395_4399# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3418 a_9739_5461# a_8945_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X3419 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3420 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3421 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3422 a_9823_7895# a_10207_7304# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3423 a_10019_2767# a_9503_2767# a_9924_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3424 a_1665_3317# a_1499_3317# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3425 VPWR a_7991_4917# a_3853_3285# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3426 VPWR a_10479_7093# a_4939_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3427 a_539_6005# a_742_6283# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3428 VPWR a_6559_591# ctln_4_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3429 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3430 a_1761_7119# a_1170_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3431 VPWR a_607_2223# a_749_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3432 a_6262_3855# a_6085_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3433 a_7967_10535# a_7343_11445# a_8113_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3434 VGND a_14839_1143# trim_2_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3435 a_9555_7895# a_8369_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3436 a_4566_9955# a_627_10909# a_4484_9955# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3437 a_5678_841# a_4797_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3438 VGND a_9568_1109# a_9506_1135# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3439 a_4249_6077# a_3233_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3440 trimb_0_ a_14839_10935# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3441 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3442 a_10660_5719# a_10703_4105# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3443 a_10237_7369# a_10207_7304# a_9823_7895# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X3444 VGND a_757_8207# a_1087_1117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3445 VGND a_2191_10357# a_2787_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3446 a_13257_2767# a_13091_2767# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3447 a_6401_11293# a_6022_10927# a_6329_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3448 a_1017_10383# a_539_10357# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3449 a_9095_9269# a_4735_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X3450 a_11758_2223# a_10681_2229# a_11596_2601# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3451 VPWR a_13540_9295# a_13716_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3452 result_1_ a_448_6549# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3453 VGND a_482_1831# a_487_1679# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3454 a_2191_10357# a_7531_7637# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3455 a_14549_1679# a_14372_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3456 VGND a_2760_8725# a_2698_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3457 a_813_591# a_477_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3458 VGND a_3832_4007# a_6427_6183# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3459 a_9773_7983# a_9919_7637# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3460 a_10568_565# a_10599_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3461 a_10771_5309# a_10147_4943# a_10663_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3462 a_10207_7304# a_10479_7093# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3463 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3464 a_13869_4943# a_13825_5185# a_13703_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3465 a_3033_5193# a_3003_5095# a_2961_5193# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3466 a_11582_4943# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3467 a_11793_6031# a_8369_6005# a_11331_7676# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3468 a_11851_8983# a_11748_7271# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3469 a_13828_3285# a_13770_1679# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3470 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3471 VGND a_627_8733# a_3141_10071# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3472 a_14531_7637# a_12801_9839# a_14917_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3473 a_3513_6031# a_3483_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3474 a_2399_1135# a_1775_1141# a_2291_1513# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3475 a_14641_4007# a_13698_6183# a_14804_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3476 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3477 VGND a_10759_2741# a_10693_2767# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3478 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3479 a_2291_1513# a_1775_1141# a_2196_1501# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3480 VGND a_12283_12257# a_12244_12131# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3481 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3482 a_13475_5487# a_13224_5603# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3483 a_9206_10635# a_9484_10651# a_9440_10749# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3484 a_777_3855# a_742_4107# a_539_3829# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3485 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3486 VGND a_6964_8359# a_13132_11721# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3487 a_8218_3855# a_6997_2197# a_7939_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3488 a_5935_11169# a_7067_10907# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3489 a_482_1831# a_3391_5461# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3490 a_7131_5853# a_6877_5526# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3491 VGND a_7992_565# ctln_5_ VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3492 VGND a_3919_11159# a_2668_11989# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3493 VGND a_10543_3543# a_10515_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3494 trim_4_ a_12447_5495# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3495 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3496 VPWR a_10881_5185# a_10771_5309# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3497 VGND a_7102_6575# a_3639_6164# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3498 VGND a_2255_11169# a_2216_11043# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3499 a_7965_10205# a_7586_9839# a_7893_10205# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3500 a_1644_4765# a_1527_4570# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3501 sample a_448_4917# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3502 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3503 a_9497_6281# a_9309_6077# a_9415_6037# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3504 a_9397_2223# a_9220_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3505 VGND a_813_591# a_10925_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3506 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3507 a_13257_4943# a_13091_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3508 a_8452_11293# a_8335_11098# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3509 a_12435_8207# a_11159_9071# a_11845_6183# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X3510 a_4705_6031# a_4349_6295# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3511 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3512 a_12889_2229# a_12723_2229# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3513 a_10689_3631# a_8483_3855# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3514 a_2965_2919# a_3862_4105# VGND VGND sky130_fd_pr__nfet_01v8 ad=2.1125e+11p pd=1.95e+06u as=0p ps=0u w=650000u l=150000u
+X3515 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3516 a_5935_11169# a_7067_10907# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3517 a_2939_2455# a_2219_2455# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3518 VGND a_9114_2223# a_9220_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3519 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3520 VPWR a_813_591# a_6979_9813# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3521 VPWR a_14839_10383# trimb_4_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3522 a_10129_8207# a_9963_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3523 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3524 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3525 a_8151_12015# a_7974_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3526 a_5893_11293# a_5415_10901# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3527 VGND a_7987_1844# a_4601_1109# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3528 a_4441_1455# a_2965_2919# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3529 VGND a_8215_1135# a_11660_4105# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3530 a_8943_1109# a_8748_1251# a_9253_1501# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3531 a_6842_4399# a_3832_4007# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3532 a_3868_8207# a_2787_8207# a_3521_8449# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X3533 a_14531_7271# a_10479_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3534 a_10403_2045# a_9779_1679# a_10295_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3535 a_12083_12559# a_8274_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3536 a_10689_1135# a_8619_2741# a_10543_1367# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3537 VPWR a_10601_12247# a_10646_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3538 VGND a_3791_2223# a_3983_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3539 a_10938_2767# a_813_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3540 a_7440_9117# a_7279_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3541 a_14531_7271# a_13624_9019# a_14705_7147# VGND sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3542 VGND a_5521_565# a_5455_591# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X3543 a_3919_11159# a_3615_11471# a_4065_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3544 VPWR a_13716_9269# a_13626_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3545 VPWR a_1151_7905# a_1112_7779# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3546 VPWR a_813_591# a_14096_9661# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3547 a_1920_3677# a_1803_3482# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3548 VGND a_1363_5469# a_12083_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3549 result_2_ a_448_7093# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3550 VGND a_9240_6807# a_10147_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3551 a_7311_12559# a_7060_12809# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3552 a_12801_9839# a_12631_9839# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3553 a_448_8725# a_627_8733# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3554 a_3521_8449# a_3303_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3555 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3556 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3557 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3558 a_12801_9839# a_12631_9839# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3559 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3560 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3561 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3562 a_9610_10749# a_9484_10651# a_9206_10635# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3563 a_448_6549# a_487_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3564 ctln_4_ a_6559_591# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3565 a_1525_10383# a_1146_10749# a_1453_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3566 a_11771_2527# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3567 VPWR a_5935_11169# a_5896_11043# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3568 trimb_4_ a_14839_10383# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3569 VGND a_6981_7093# a_6929_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3570 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3571 a_8673_7361# a_8455_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3572 a_5987_1135# a_5363_1141# a_5879_1513# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3573 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3574 trim_2_ a_14839_1143# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3575 a_11846_7093# a_11159_9071# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3576 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3577 VPWR a_6844_9447# a_5939_12692# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3578 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3579 VPWR a_14279_10383# a_14839_10935# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3580 VPWR a_6546_3311# a_13511_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3581 a_8563_11837# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3582 a_8443_4399# a_7935_4719# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=3.35e+11p pd=2.67e+06u as=0p ps=0u w=1e+06u l=150000u
+X3583 VPWR a_14839_12559# ctlp_0_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3584 a_8093_4105# a_5396_6417# a_8021_4105# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3585 a_6654_10927# a_5896_11043# a_6091_10901# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3586 VPWR a_8511_5085# a_8472_5211# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3587 VGND a_11037_12533# a_13919_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3588 VPWR a_3762_4917# a_1981_5088# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3589 VGND a_7939_9295# a_8218_9839# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3590 a_8455_11471# a_7939_11471# a_8360_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3591 a_1798_8207# a_1302_7271# a_1503_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3592 VGND a_1215_4092# a_1146_4221# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3593 a_4931_10535# a_3615_11471# a_5077_10383# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3594 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3595 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X3596 a_1111_2045# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3597 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3598 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3599 a_13777_4765# a_13733_4373# a_13611_4777# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3600 VGND a_14055_9813# a_14001_10159# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3601 a_8491_3017# a_8619_2741# a_8573_3017# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3602 a_13942_3855# a_6546_3311# a_13647_3855# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3603 a_14804_4105# a_10753_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3604 a_1367_8181# a_1868_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3605 VGND a_813_591# a_2921_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3606 VPWR a_7242_7271# a_9412_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3607 a_12525_4943# a_12355_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3608 a_10127_3133# a_9503_2767# a_10019_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3609 a_448_8725# a_627_8733# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3610 a_4438_2767# a_4391_2919# a_2965_2919# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3611 VGND a_8945_7663# a_9871_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3612 a_1730_2045# a_653_1679# a_1568_1679# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3613 a_11450_11837# a_11324_11739# a_11046_11723# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3614 a_4147_2045# a_813_591# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3615 a_3883_3311# a_3853_3285# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3616 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3617 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3618 VGND a_5046_8359# a_4995_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3619 VGND a_813_591# a_8809_11293# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3620 VPWR a_14839_1143# trim_2_ VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3621 result_4_ a_448_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3622 a_8837_2767# a_8763_2919# a_8491_3017# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3623 a_5881_6575# a_1981_5088# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3624 a_9125_5807# a_3003_5095# a_8259_3285# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3625 VPWR a_6525_9447# a_6338_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3626 a_11845_6183# a_11748_7271# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3627 a_5975_6575# a_3003_5095# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3628 a_13323_6794# a_11911_3855# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3629 a_1221_1921# a_1003_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3630 a_1238_7663# a_1151_7905# a_834_7795# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3631 VPWR a_13605_7093# a_11748_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3632 a_7182_9971# a_7460_9955# a_7416_9839# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3633 a_13979_2527# a_13804_2601# a_14158_2589# VGND sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3634 a_9687_4719# a_9811_4373# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3635 a_8704_1135# a_8267_1109# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3636 a_1452_7663# a_1238_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3637 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3638 a_4515_9661# a_3891_9295# a_4407_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3639 a_4257_1921# a_4039_1679# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3640 a_4227_4943# a_607_2223# a_4136_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3641 VGND a_8273_6183# a_8086_6005# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3642 a_9442_12131# a_627_11997# a_9360_12131# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3643 VGND a_482_1831# a_2143_6581# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3644 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3645 VGND a_482_1831# a_1223_4405# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3646 VPWR a_6200_5719# a_4713_7271# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3647 VPWR a_11895_12559# a_12082_11837# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3648 a_14172_2767# a_13257_2767# a_13825_3009# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3649 VPWR a_7102_6575# a_3639_6164# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3650 VGND a_13323_6794# a_11857_5461# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3651 a_12242_3017# a_10660_5719# a_11934_2767# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3652 a_13733_4373# a_13515_4777# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3653 VPWR a_2191_10357# a_7019_8757# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+.ends
diff --git a/xschem/sub/sar_10b/control/sarlogic.sch b/xschem/sub/sar_10b/control/sarlogic.sch
new file mode 100644
index 0000000..0f5f8f1
--- /dev/null
+++ b/xschem/sub/sar_10b/control/sarlogic.sch
@@ -0,0 +1,74 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {* Keep the sar_logic underscore name. Otherwise xschem gets confused.
+Xuut dclk drstn den dcomp dcal dvalid dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dres8 dres9 dsamp dctlp0 dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctlp8 dctlp9 dctln0 dctln1 dctln2 dctln3 dctln4 dctln5 dctln6 dctln7 dctln8 dctln9 dtrim0 dtrim1 dtrim2 dtrim3 dtrim4 dtrimb0 dtrimb1 dtrimb2 dtrimb3 dtrimb4 dclkc sar_logic
+
+.model adc_buff adc_bridge(in_low = 0.2 in_high=0.8)
+.model dac_buff dac_bridge(out_high = 1.2)
+
+Aad [clk rstn en comp cal] [dclk drstn den dcomp dcal] adc_buff
+Ada1 [dctlp0 dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctlp8 dctlp9] [ctlp_0_ ctlp_1_ ctlp_2_ ctlp_3_ ctlp_4_ ctlp_5_ ctlp_6_ ctlp_7_ ctlp_8_ ctlp_9_] dac_buff
+Ada2 [dctln0 dctln1 dctln2 dctln3 dctln4 dctln5 dctln6 dctln7 dctln8 dctln9] [ctln_0_ ctln_1_ ctln_2_ ctln_3_ ctln_4_ ctln_5_ ctln_6_ ctln_7_ ctln_8_ ctln_9_] dac_buff
+Ada3 [dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dres8 dres9 dsamp dclkc] [res0 res1 res2 res3 res4 res5 res6 res7 res8 res9 sample clkc] dac_buff
+Ada4 [dtrim4 dtrim3 dtrim2 dtrim1 dtrim0 dtrimb4 dtrimb3 dtrimb2 dtrimb1 dtrimb0] [trim_4_ trim_3_ trim_2_ trim_1_ trim_0_ trimb_4_ trimb_3_ trimb_2_ trimb_1_ trimb_0_ ] dac_buff
+}
+E {}
+B 6 410 -180 430 -40 {}
+B 6 150 -180 170 -40 {}
+P 4 5 430 -200 430 -20 150 -20 150 -200 430 -200 {dash=4 fill=True}
+P 6 5 430 -180 150 -180 150 -200 430 -200 430 -180 {fill=true}
+P 6 5 430 -20 150 -20 150 -40 430 -40 430 -20 {fill=true}
+T {sar_logic.sp} 320 -110 0 1 0.2 0.2 {}
+T {SAR-CTL} 330 -140 0 1 0.4 0.4 {}
+N 120 -90 150 -90 {lab=sample}
+N 120 -40 150 -40 {lab=ctln[9:0]}
+N 120 -60 150 -60 {lab=ctlp[9:0]}
+N 120 -150 150 -150 {lab=trim[4:0]}
+N 430 -90 460 -90 {lab=result[9:0]}
+N 430 -60 460 -60 {lab=valid}
+N 430 -120 460 -120 {lab=clk}
+N 430 -140 460 -140 {lab=en}
+N 430 -180 460 -180 {lab=comp}
+N 430 -160 460 -160 {lab=cal}
+N 430 -40 460 -40 {lab=rstn}
+N 120 -110 150 -110 {lab=clkc}
+N 120 -170 150 -170 {lab=trimb[4:0]}
+N 120 -280 150 -280 {lab=dvdd}
+N 120 -240 150 -240 {lab=dvss}
+C {devices/noconn.sym} 430 -180 0 0 {name=l12}
+C {devices/noconn.sym} 150 -60 2 0 {name=l8}
+C {devices/noconn.sym} 150 -40 2 0 {name=l20[7:0]}
+C {devices/noconn.sym} 150 -90 2 0 {name=l22[7:0]}
+C {devices/noconn.sym} 150 -170 2 0 {name=l33}
+C {devices/noconn.sym} 150 -150 2 0 {name=l34}
+C {devices/noconn.sym} 430 -90 0 0 {name=l36[7:0]}
+C {devices/noconn.sym} 430 -60 0 0 {name=l37}
+C {devices/noconn.sym} 150 -110 2 0 {name=l38}
+C {devices/noconn.sym} 430 -120 0 0 {name=l22}
+C {devices/noconn.sym} 430 -140 0 0 {name=l42}
+C {devices/noconn.sym} 430 -160 0 0 {name=l45}
+C {devices/noconn.sym} 430 -40 0 0 {name=l28}
+C {xschem/symbols/devices/opin.sym} 120 -110 2 0 {name=p1 lab=clkc}
+C {xschem/symbols/devices/opin.sym} 120 -60 2 0 {name=p2 lab=ctlp[9:0]}
+C {xschem/symbols/devices/opin.sym} 120 -40 2 0 {name=p3 lab=ctln[9:0]}
+C {xschem/symbols/devices/opin.sym} 120 -90 2 0 {name=p4 lab=sample}
+C {xschem/symbols/devices/opin.sym} 120 -150 0 1 {name=p5 lab=trim[4:0]}
+C {xschem/symbols/devices/opin.sym} 120 -170 0 1 {name=p6 lab=trimb[4:0]}
+C {xschem/symbols/devices/ipin.sym} 460 -180 2 0 {name=p7 lab=comp}
+C {xschem/symbols/devices/ipin.sym} 460 -160 2 0 {name=p8 lab=cal}
+C {xschem/symbols/devices/ipin.sym} 460 -140 2 0 {name=p9 lab=en}
+C {xschem/symbols/devices/ipin.sym} 460 -120 2 0 {name=p10 lab=clk}
+C {xschem/symbols/devices/ipin.sym} 460 -90 2 0 {name=p11 lab=result[9:0]}
+C {xschem/symbols/devices/ipin.sym} 460 -60 2 0 {name=p12 lab=valid}
+C {xschem/symbols/devices/ipin.sym} 460 -40 2 0 {name=p13 lab=rstn}
+C {devices/code.sym} -180 -130 0 0 {name=INCLUDES only_toplevel=false 
+format="tcleval(@value )"
+value=".include \\\\$::DESIGN_PATH\\\\/sar_10b/control/cmos_cells_digital.sp
+.include \\\\$::DESIGN_PATH\\\\/sar_10b/control/sarlogic.sp
+"}
+C {xschem/symbols/devices/noconn.sym} 150 -280 0 1 {name=l1}
+C {xschem/symbols/devices/iopin.sym} 120 -280 0 1 {name=p14 lab=dvdd}
+C {xschem/symbols/devices/noconn.sym} 150 -240 0 1 {name=l2}
+C {xschem/symbols/devices/iopin.sym} 120 -240 0 1 {name=p15 lab=dvss}
diff --git a/xschem/sub/sar_10b/control/sarlogic.sp b/xschem/sub/sar_10b/control/sarlogic.sp
new file mode 100644
index 0000000..dc27e71
--- /dev/null
+++ b/xschem/sub/sar_10b/control/sarlogic.sp
@@ -0,0 +1,359 @@
+.subckt sar_logic clk rstn en comp cal valid result.0 result.1 result.2 result.3 result.4 result.5 result.6 result.7 result.8 result.9 sample ctlp.0 ctlp.1 ctlp.2 ctlp.3 ctlp.4 ctlp.5 ctlp.6 ctlp.7 ctlp.8 ctlp.9 ctln.0 ctln.1 ctln.2 ctln.3 ctln.4 ctln.5 ctln.6 ctln.7 ctln.8 ctln.9 trim.0 trim.1 trim.2 trim.3 trim.4 trimb.0 trimb.1 trimb.2 trimb.3 trimb.4 clkc
+X0 rstn 1 NOT
+X1 en 2 NOT
+X2 cal_itt.1 3 NOT
+X3 cal_itt.2 4 NOT
+X4 cal_itt.3 5 NOT
+X5 comp 6 NOT
+X6 cal_count.2 7 NOT
+X7 cal_count.3 8 NOT
+X8 en_co_clk 9 NOT
+X9 trim_mask.0 10 NOT
+X10 trim_val.4 11 NOT
+X11 state.0 12 NOT
+X12 state.1 13 NOT
+X13 state.2 14 NOT
+X14 result.0 15 NOT
+X15 result.1 16 NOT
+X16 result.2 17 NOT
+X17 result.3 18 NOT
+X18 result.4 19 NOT
+X19 result.5 20 NOT
+X20 result.6 21 NOT
+X21 result.7 22 NOT
+X22 result.8 23 NOT
+X23 result.9 24 NOT
+X24 state.0 state.1 25 NOR
+X25 state.2 25 26 NAND
+X26 26 valid NOT
+X27 state.0 state.2 27 NOR
+X28 27 28 NOT
+X29 state.1 27 29 NAND
+X30 29 30 NOT
+X31 12 state.1 31 NOR
+X32 state.0 13 32 NAND
+X33 14 32 33 NOR
+X34 state.2 31 34 NAND
+X35 29 34 sample NAND
+X36 cal_itt.0 cal_itt.1 35 NAND
+X37 35 36 NOT
+X38 4 35 37 NOR
+X39 cal_itt.2 36 38 NAND
+X40 cal_itt.3 38 39 NOR
+X41 5 37 40 NAND
+X42 10 40 41 NOR
+X43 trim_mask.0 39 42 NAND
+X44 cal_itt.0 42 43 NAND
+X45 33 43 44 NAND
+X46 en state.2 45 NOR
+X47 45 46 NOT
+X48 32 45 47 NOR
+X49 31 46 48 NAND
+X50 cal_itt.0 48 49 NAND
+X51 44 49 50.0 NAND
+X52 cal_itt.0 cal_itt.1 51 NOR
+X53 3 47 52 NOR
+X54 35 41 53 NOR
+X55 34 53 54 NOR
+X56 52 54 55 NOR
+X57 51 55 50.1 NOR
+X58 4 35 56 NAND
+X59 38 56 57 NAND
+X60 42 57 58 NAND
+X61 33 58 59 NAND
+X62 cal_itt.2 48 60 NAND
+X63 59 60 50.2 NAND
+X64 12 state.2 61 NOR
+X65 61 62 NOT
+X66 state.2 32 63 NOR
+X67 63 64 NOT
+X68 2 64 65 NOR
+X69 en 63 66 NAND
+X70 14 37 67 NOR
+X71 48 67 68 NOR
+X72 5 68 50.3 NOR
+X73 34 39 69 NOR
+X74 33 40 70 NAND
+X75 cal_count.0 70 71 NAND
+X76 cal_count.0 state.2 72 NAND
+X77 47 72 73 NAND
+X78 71 73 74.0 NAND
+X79 cal_count.1 47 75 NOR
+X80 cal_count.0 cal_count.1 76 NAND
+X81 76 77 NOT
+X82 comp 76 78 NAND
+X83 comp 76 79 NOR
+X84 6 77 80 NAND
+X85 cal_count.0 cal_count.1 81 NOR
+X86 78 80 82 NAND
+X87 81 82 83 NOR
+X88 comp 81 84 NAND
+X89 69 84 85 NAND
+X90 83 85 86 NOR
+X91 75 86 74.1 NOR
+X92 cal_count.2 47 87 NOR
+X93 80 84 88 NAND
+X94 7 88 89 NAND
+X95 69 89 90 NAND
+X96 7 88 91 NOR
+X97 90 91 92 NOR
+X98 87 92 74.2 NOR
+X99 cal_count.3 48 93 NAND
+X100 cal_count.2 79 94 NAND
+X101 94 95 NOT
+X102 cal_count.2 84 96 NOR
+X103 96 97 NOT
+X104 95 96 98 NOR
+X105 94 97 99 NAND
+X106 8 99 100 NAND
+X107 cal_count.3 98 101 NAND
+X108 100 101 102 NAND
+X109 69 102 103 NAND
+X110 93 103 74.3 NAND
+X111 9 66 104 NAND
+X112 33 42 105 NAND
+X113 13 62 106 NOR
+X114 state.1 61 107 NAND
+X115 mask.0 107 108 NOR
+X116 63 108 109 NOR
+X117 105 109 110 NAND
+X118 104 110 111 NAND
+X119 9 31 112 NOR
+X120 62 112 113 NAND
+X121 111 113 114 NAND
+X122 calibrate 29 115 NOR
+X123 28 115 116 NOR
+X124 34 40 117 NOR
+X125 33 39 118 NAND
+X126 trim_mask.0 117 119 NAND
+X127 8 119 120 NOR
+X128 trim_val.0 120 121 NOR
+X129 116 121 122.0 NOR
+X130 trim_mask.1 117 123 NAND
+X131 8 123 124 NOR
+X132 trim_val.1 124 125 NOR
+X133 116 125 122.1 NOR
+X134 trim_mask.2 117 126 NAND
+X135 8 126 127 NOR
+X136 trim_val.2 127 128 NOR
+X137 116 128 122.2 NOR
+X138 trim_mask.3 117 129 NAND
+X139 8 129 130 NOR
+X140 trim_val.3 130 131 NOR
+X141 116 131 122.3 NOR
+X142 trim_mask.4 117 132 NAND
+X143 8 132 133 NOR
+X144 14 25 134 NAND
+X145 11 116 135 NOR
+X146 133 135 136 NOR
+X147 134 136 122.4 NAND
+X148 calibrate 30 137 NAND
+X149 34 137 138 NAND
+X150 70 138 139 NAND
+X151 trim_mask.0 139 140 NAND
+X152 123 140 141.0 NAND
+X153 trim_mask.1 139 142 NAND
+X154 126 142 141.1 NAND
+X155 trim_mask.2 139 143 NAND
+X156 129 143 141.2 NAND
+X157 trim_mask.3 139 144 NAND
+X158 132 144 141.3 NAND
+X159 trim_mask.4 118 145 NAND
+X160 137 145 141.4 NAND
+X161 mask.1 106 146 NAND
+X162 31 45 147 NAND
+X163 61 147 148 NAND
+X164 mask.0 148 149 NAND
+X165 146 149 150.0 NAND
+X166 mask.2 106 151 NAND
+X167 mask.1 148 152 NAND
+X168 151 152 150.1 NAND
+X169 mask.3 106 153 NAND
+X170 mask.2 148 154 NAND
+X171 153 154 150.2 NAND
+X172 mask.4 106 155 NAND
+X173 mask.3 148 156 NAND
+X174 155 156 150.3 NAND
+X175 mask.5 106 157 NAND
+X176 mask.4 148 158 NAND
+X177 157 158 150.4 NAND
+X178 mask.6 106 159 NAND
+X179 mask.5 148 160 NAND
+X180 159 160 150.5 NAND
+X181 mask.7 106 161 NAND
+X182 mask.6 148 162 NAND
+X183 161 162 150.6 NAND
+X184 mask.8 106 163 NAND
+X185 mask.7 148 164 NAND
+X186 163 164 150.7 NAND
+X187 mask.9 106 165 NAND
+X188 mask.8 148 166 NAND
+X189 165 166 150.8 NAND
+X190 mask.9 65 167 NOR
+X191 106 167 150.9 NOR
+X192 25 27 168 NOR
+X193 13 14 169 NOR
+X194 state.1 state.2 170 NAND
+X195 105 170 171 NAND
+X196 state.0 171 172 NAND
+X197 147 168 173 NAND
+X198 108 173 174 NOR
+X199 172 174 175.0 NAND
+X200 65 108 176 NOR
+X201 115 169 177 NOR
+X202 176 177 175.1 NAND
+X203 mask.0 106 178 NAND
+X204 138 169 179 NOR
+X205 178 179 175.2 NAND
+X206 cal 65 180 NAND
+X207 47 105 181 NAND
+X208 calibrate 181 182 NAND
+X209 180 182 183 NAND
+X210 comp 106 184 NAND
+X211 15 184 185 NAND
+X212 mask.0 result.0 ctln.0 NOR
+X213 ctln.0 ctlp.0 NOT
+X214 185 ctlp.0 186 NAND
+X215 65 186 187.0 NOR
+X216 16 184 188 NAND
+X217 mask.1 result.1 ctln.1 NOR
+X218 ctln.1 ctlp.1 NOT
+X219 188 ctlp.1 189 NAND
+X220 65 189 187.1 NOR
+X221 17 184 190 NAND
+X222 mask.2 result.2 ctln.2 NOR
+X223 ctln.2 ctlp.2 NOT
+X224 190 ctlp.2 191 NAND
+X225 65 191 187.2 NOR
+X226 18 184 192 NAND
+X227 mask.3 result.3 ctln.3 NOR
+X228 ctln.3 ctlp.3 NOT
+X229 192 ctlp.3 193 NAND
+X230 65 193 187.3 NOR
+X231 19 184 194 NAND
+X232 mask.4 result.4 ctln.4 NOR
+X233 ctln.4 ctlp.4 NOT
+X234 194 ctlp.4 195 NAND
+X235 65 195 187.4 NOR
+X236 20 184 196 NAND
+X237 mask.5 result.5 ctln.5 NOR
+X238 ctln.5 ctlp.5 NOT
+X239 196 ctlp.5 197 NAND
+X240 65 197 187.5 NOR
+X241 21 184 198 NAND
+X242 mask.6 result.6 ctln.6 NOR
+X243 ctln.6 ctlp.6 NOT
+X244 198 ctlp.6 199 NAND
+X245 65 199 187.6 NOR
+X246 22 184 200 NAND
+X247 mask.7 result.7 ctln.7 NOR
+X248 ctln.7 ctlp.7 NOT
+X249 200 ctlp.7 201 NAND
+X250 65 201 187.7 NOR
+X251 23 184 202 NAND
+X252 mask.8 result.8 ctln.8 NOR
+X253 ctln.8 ctlp.8 NOT
+X254 202 ctlp.8 203 NAND
+X255 65 203 187.8 NOR
+X256 24 184 204 NAND
+X257 mask.9 result.9 ctln.9 NOR
+X258 ctln.9 ctlp.9 NOT
+X259 204 ctlp.9 205 NAND
+X260 65 205 187.9 NOR
+X261 trim_mask.0 trim_val.0 trimb.0 NOR
+X262 trimb.0 trim.0 NOT
+X263 trim_val.1 trim_mask.1 trimb.1 NOR
+X264 trimb.1 trim.1 NOT
+X265 trim_val.2 trim_mask.2 trimb.2 NOR
+X266 trimb.2 trim.2 NOT
+X267 trim_val.3 trim_mask.3 trimb.3 NOR
+X268 trimb.3 trim.3 NOT
+X269 trim_val.4 trim_mask.4 trimb.4 NOR
+X270 trimb.4 trim.4 NOT
+X271 9 clk clkc NOR
+X272 rstn 206 NOT
+X273 rstn 207 NOT
+X274 rstn 208 NOT
+X275 rstn 209 NOT
+X276 rstn 210 NOT
+X277 rstn 211 NOT
+X278 rstn 212 NOT
+X279 rstn 213 NOT
+X280 rstn 214 NOT
+X281 rstn 215 NOT
+X282 rstn 216 NOT
+X283 rstn 217 NOT
+X284 rstn 218 NOT
+X285 rstn 219 NOT
+X286 rstn 220 NOT
+X287 rstn 221 NOT
+X288 rstn 222 NOT
+X289 rstn 223 NOT
+X290 rstn 224 NOT
+X291 rstn 225 NOT
+X292 rstn 226 NOT
+X293 rstn 227 NOT
+X294 rstn 228 NOT
+X295 rstn 229 NOT
+X296 rstn 230 NOT
+X297 rstn 231 NOT
+X298 rstn 232 NOT
+X299 rstn 233 NOT
+X300 rstn 234 NOT
+X301 rstn 235 NOT
+X302 rstn 236 NOT
+X303 rstn 237 NOT
+X304 rstn 238 NOT
+X305 rstn 239 NOT
+X306 rstn 240 NOT
+X307 rstn 241 NOT
+X308 rstn 242 NOT
+X309 rstn 243 NOT
+X310 rstn 244 NOT
+X311 rstn 245 NOT
+X312 rstn 246 NOT
+X313 rstn 247 NOT
+X314 clk 187.0 result.0 0s 206 DFFSR
+X315 clk 187.1 result.1 0s 207 DFFSR
+X316 clk 187.2 result.2 0s 208 DFFSR
+X317 clk 187.3 result.3 0s 209 DFFSR
+X318 clk 187.4 result.4 0s 210 DFFSR
+X319 clk 187.5 result.5 0s 211 DFFSR
+X320 clk 187.6 result.6 0s 212 DFFSR
+X321 clk 187.7 result.7 0s 213 DFFSR
+X322 clk 187.8 result.8 0s 214 DFFSR
+X323 clk 187.9 result.9 0s 215 DFFSR
+X324 clk 183 calibrate 0s 216 DFFSR
+X325 clk 175.0 state.0 0s 217 DFFSR
+X326 clk 175.1 state.1 0s 218 DFFSR
+X327 clk 175.2 state.2 0s 219 DFFSR
+X328 clk 150.0 mask.0 0s 220 DFFSR
+X329 clk 150.1 mask.1 0s 221 DFFSR
+X330 clk 150.2 mask.2 0s 222 DFFSR
+X331 clk 150.3 mask.3 0s 223 DFFSR
+X332 clk 150.4 mask.4 0s 224 DFFSR
+X333 clk 150.5 mask.5 0s 225 DFFSR
+X334 clk 150.6 mask.6 0s 226 DFFSR
+X335 clk 150.7 mask.7 0s 227 DFFSR
+X336 clk 150.8 mask.8 0s 228 DFFSR
+X337 clk 150.9 mask.9 0s 229 DFFSR
+X338 clk 141.0 trim_mask.0 0s 230 DFFSR
+X339 clk 141.1 trim_mask.1 0s 231 DFFSR
+X340 clk 141.2 trim_mask.2 0s 232 DFFSR
+X341 clk 141.3 trim_mask.3 0s 233 DFFSR
+X342 clk 141.4 trim_mask.4 0s 234 DFFSR
+X343 clk 122.0 trim_val.0 0s 235 DFFSR
+X344 clk 122.1 trim_val.1 0s 236 DFFSR
+X345 clk 122.2 trim_val.2 0s 237 DFFSR
+X346 clk 122.3 trim_val.3 0s 238 DFFSR
+X347 clk 122.4 trim_val.4 0s 239 DFFSR
+X348 clk 114 en_co_clk 0s 240 DFFSR
+X349 clk 74.0 cal_count.0 241 0s DFFSR
+X350 clk 74.1 cal_count.1 242 0s DFFSR
+X351 clk 74.2 cal_count.2 243 0s DFFSR
+X352 clk 74.3 cal_count.3 0s 244 DFFSR
+X353 clk 50.0 cal_itt.0 0s 245 DFFSR
+X354 clk 50.1 cal_itt.1 0s 246 DFFSR
+X355 clk 50.2 cal_itt.2 0s 247 DFFSR
+X356 clk 50.3 cal_itt.3 0s 1 DFFSR
+.ends sarlogic
diff --git a/xschem/sub/sar_10b/control/sarlogic.sym b/xschem/sub/sar_10b/control/sarlogic.sym
new file mode 100644
index 0000000..2c18c1c
--- /dev/null
+++ b/xschem/sub/sar_10b/control/sarlogic.sym
@@ -0,0 +1,62 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 20 0 280 0 {}
+L 4 0 -160 20 -160 {}
+L 4 0 -180 20 -180 {}
+L 4 0 -130 20 -130 {}
+L 4 280 -180 300 -180 {}
+L 4 280 -140 300 -140 {}
+L 4 280 -120 300 -120 {}
+L 4 0 -110 20 -110 {}
+L 4 280 -90 300 -90 {}
+L 4 0 -40 20 -40 {}
+L 4 0 -90 20 -90 {}
+L 4 0 -20 20 -20 {}
+L 4 280 -70 300 -70 {}
+L 4 0 -70 20 -70 {}
+L 4 20 -200 280 -200 {}
+L 4 280 -40 300 -40 {}
+L 4 280 -20 300 -20 {}
+B 5 -2.5 -162.5 2.5 -157.5 {name=trim[4:0] dir=out }
+B 5 -2.5 -182.5 2.5 -177.5 {name=trimb[4:0] dir=out }
+B 5 -2.5 -132.5 2.5 -127.5 {name=clkc dir=out }
+B 5 297.5 -182.5 302.5 -177.5 {name=comp dir=in }
+B 5 297.5 -142.5 302.5 -137.5 {name=cal dir=in }
+B 5 297.5 -122.5 302.5 -117.5 {name=en dir=in }
+B 5 -2.5 -112.5 2.5 -107.5 {name=ctlp[9:0] dir=out }
+B 5 297.5 -92.5 302.5 -87.5 {name=clk dir=in }
+B 5 -2.5 -42.5 2.5 -37.5 {name=result[9:0] dir=in }
+B 5 -2.5 -92.5 2.5 -87.5 {name=ctln[9:0] dir=out }
+B 5 -2.5 -22.5 2.5 -17.5 {name=valid dir=in }
+B 5 297.5 -72.5 302.5 -67.5 {name=rstn dir=in }
+B 5 -2.5 -72.5 2.5 -67.5 {name=sample dir=out }
+B 5 297.5 -42.5 302.5 -37.5 {name=dvdd
+ dir=inout }
+B 5 297.5 -22.5 302.5 -17.5 {name=dvss
+ dir=inout }
+P 4 2 20 -200 20 0 {}
+P 4 2 280 -200 280 0 {}
+T {@symname} 106 -106 0 0 0.3 0.3 {}
+T {@name} 235 -212 0 0 0.2 0.2 {}
+T {trim[4:0]} 25 -156 2 1 0.2 0.2 {}
+T {trimb[4:0]} 25 -176 2 1 0.2 0.2 {}
+T {clkc} 25 -134 0 0 0.2 0.2 {}
+T {comp} 275 -184 0 1 0.2 0.2 {}
+T {cal} 275 -144 0 1 0.2 0.2 {}
+T {en} 275 -124 0 1 0.2 0.2 {}
+T {ctlp[9:0]} 25 -114 0 0 0.2 0.2 {}
+T {clk} 275 -94 0 1 0.2 0.2 {}
+T {result[9:0]} 25 -44 0 0 0.2 0.2 {}
+T {ctln[9:0]} 25 -94 0 0 0.2 0.2 {}
+T {valid} 25 -24 0 0 0.2 0.2 {}
+T {rstn} 275 -74 0 1 0.2 0.2 {}
+T {sample} 25 -74 0 0 0.2 0.2 {}
+T {dvdd} 275 -44 0 1 0.2 0.2 {}
+T {dvss} 275 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/dac/carray.sch b/xschem/sub/sar_10b/dac/carray.sch
new file mode 100644
index 0000000..88713c5
--- /dev/null
+++ b/xschem/sub/sar_10b/dac/carray.sch
@@ -0,0 +1,59 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1400 -240 1400 -190 {lab=n3}
+N 1620 -240 1620 -190 {lab=n2}
+N 1870 -240 1870 -190 {lab=n1}
+N 2110 -240 2110 -190 {lab=n0}
+N 1400 -340 1400 -300 {lab=top}
+N 1620 -340 1620 -300 {lab=top}
+N 1870 -340 1870 -300 {lab=top}
+N 2110 -340 2110 -300 {lab=top}
+N 2360 -240 2360 -190 {lab=ndum}
+N 2360 -340 2360 -300 {lab=top}
+N 1180 -240 1180 -190 {lab=n4}
+N 1180 -340 1180 -300 {lab=top}
+N 960 -240 960 -190 {lab=n5}
+N 960 -340 960 -300 {lab=top}
+N 740 -240 740 -190 {lab=n6}
+N 740 -340 740 -300 {lab=top}
+N 520 -240 520 -190 {lab=n7}
+N 520 -340 520 -300 {lab=top}
+N 520 -340 2360 -340 {lab=top}
+N 2360 -340 2420 -340 {lab=top}
+N 300 -240 300 -190 {lab=n8}
+N 300 -340 300 -300 {lab=top}
+N 300 -340 520 -340 { lab=top}
+N 80 -240 80 -190 {lab=n9}
+N 80 -340 80 -300 {lab=top}
+N 80 -340 300 -340 { lab=top}
+C {sar_10b/unitcap/unitcap.sym} 2340 -230 0 0 {name=xcdum}
+C {sar_10b/unitcap/unitcap.sym} 2090 -230 0 0 {name=xc0}
+C {sar_10b/unitcap/unitcap.sym} 1850 -230 0 0 {name=xc1[1:0]}
+C {sar_10b/unitcap/unitcap.sym} 1600 -230 0 0 {name=xc2[3:0]}
+C {sar_10b/unitcap/unitcap.sym} 1380 -230 0 0 {name=xc3[7:0]}
+C {sar_10b/unitcap/unitcap.sym} 1160 -230 0 0 {name=xc4[15:0]}
+C {sar_10b/unitcap/unitcap.sym} 940 -230 0 0 {name=xc5[31:0]}
+C {sar_10b/unitcap/unitcap.sym} 720 -230 0 0 {name=xc6[63:0]}
+C {sar_10b/unitcap/unitcap.sym} 500 -230 0 0 {name=xc7[127:0]}
+C {devices/iopin.sym} 2420 -340 0 0 {name=p1 lab=top}
+C {devices/iopin.sym} 520 -190 1 0 {name=p2 lab=n7}
+C {devices/iopin.sym} 740 -190 1 0 {name=p4 lab=n6}
+C {devices/iopin.sym} 960 -190 1 0 {name=p5 lab=n5}
+C {devices/iopin.sym} 1180 -190 1 0 {name=p6 lab=n4}
+C {devices/iopin.sym} 1620 -190 1 0 {name=p9 lab=n2}
+C {devices/iopin.sym} 2110 -190 1 0 {name=p11 lab=n0}
+C {devices/iopin.sym} 2360 -190 1 0 {name=p12 lab=ndum}
+C {devices/iopin.sym} 1400 -190 1 0 {name=p7 lab=n3}
+C {devices/iopin.sym} 1870 -190 1 0 {name=p8 lab=n1}
+C {sar_10b/unitcap/unitcap.sym} 280 -230 0 0 {name=xc8[255:0]
+}
+C {devices/iopin.sym} 300 -190 1 0 {name=p3 lab=n8
+}
+C {sar_10b/unitcap/unitcap.sym} 60 -230 0 0 {name=xc9[511:0]
+}
+C {devices/iopin.sym} 80 -190 1 0 {name=p10 lab=n9
+}
diff --git a/xschem/sub/sar_10b/dac/carray.sym b/xschem/sub/sar_10b/dac/carray.sym
new file mode 100644
index 0000000..ee28a3d
--- /dev/null
+++ b/xschem/sub/sar_10b/dac/carray.sym
@@ -0,0 +1,99 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 530 -110 750 -110 {}
+L 4 750 -110 970 -110 {}
+L 4 970 -110 1190 -110 {}
+L 4 1190 -110 1410 -110 {}
+L 4 1410 -110 1630 -110 {}
+L 4 1630 -110 1850 -110 {}
+L 4 1850 -110 2070 -110 {}
+L 4 2070 -110 2290 -110 {}
+L 4 310 -110 530 -110 {}
+L 4 90 -110 310 -110 {}
+L 4 -0 -110 90 -110 {}
+B 5 -2.5 -112.5 2.5 -107.5 {name=top dir=inout }
+B 5 747.5 -2.5 752.5 2.5 {name=n6 dir=inout }
+B 5 2067.5 -2.5 2072.5 2.5 {name=n0 dir=inout }
+B 5 967.5 -2.5 972.5 2.5 {name=n5 dir=inout }
+B 5 1187.5 -2.5 1192.5 2.5 {name=n4 dir=inout }
+B 5 1627.5 -2.5 1632.5 2.5 {name=n2 dir=inout }
+B 5 2287.5 -2.5 2292.5 2.5 {name=ndum dir=inout }
+B 5 1407.5 -2.5 1412.5 2.5 {name=n3 dir=inout }
+B 5 1847.5 -2.5 1852.5 2.5 {name=n1 dir=inout }
+B 5 527.5 -2.5 532.5 2.5 {name=n7 dir=inout }
+B 5 307.5 -2.5 312.5 2.5 {name=n8
+ dir=inout }
+B 5 87.5 -2.5 92.5 2.5 {name=n9
+ dir=inout }
+A 4 530 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 750 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 970 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 1190 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 1410 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 1630 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 1850 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 2070 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 2290 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 310 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+A 4 90 -7.5 42.5 61.92751306414704 56.14497387170592 {}
+P 4 1 539 -39 {}
+P 4 1 759 -39 {}
+P 4 1 1199 -39 {}
+P 4 1 2079 -39 {}
+P 4 2 530 0 530 -50 {}
+P 4 2 510 -60 550 -60 {}
+P 4 2 750 0 750 -50 {}
+P 4 2 730 -60 770 -60 {}
+P 4 2 530 -60 530 -110 {}
+P 4 2 750 -60 750 -110 {}
+P 4 2 970 0 970 -50 {}
+P 4 2 950 -60 990 -60 {}
+P 4 2 970 -60 970 -110 {}
+P 4 2 1190 0 1190 -50 {}
+P 4 2 1170 -60 1210 -60 {}
+P 4 2 1190 -60 1190 -110 {}
+P 4 2 1410 0 1410 -50 {}
+P 4 2 1390 -60 1430 -60 {}
+P 4 2 1410 -60 1410 -110 {}
+P 4 2 1630 0 1630 -50 {}
+P 4 2 1610 -60 1650 -60 {}
+P 4 2 1630 -60 1630 -110 {}
+P 4 2 1850 0 1850 -50 {}
+P 4 2 1830 -60 1870 -60 {}
+P 4 2 1850 -60 1850 -110 {}
+P 4 2 2070 0 2070 -50 {}
+P 4 2 2050 -60 2090 -60 {}
+P 4 2 2070 -60 2070 -110 {}
+P 4 2 2290 0 2290 -50 {}
+P 4 2 2270 -60 2310 -60 {}
+P 4 2 2290 -60 2290 -110 {}
+P 4 1 319 -39 {}
+P 4 2 310 0 310 -50 {}
+P 4 2 290 -60 330 -60 {}
+P 4 2 310 -60 310 -110 {}
+P 4 1 99 -39 {}
+P 4 2 90 0 90 -50 {}
+P 4 2 70 -60 110 -60 {}
+P 4 2 90 -60 90 -110 {}
+P 4 5 0 -190 2380 -190 2380 0 -0 -0 0 -190 {}
+T {@symname} 15 -176 0 0 0.3 0.3 {}
+T {@name} 15 -152 0 0 0.2 0.2 {}
+T {top} 25 -124 0 1 0.2 0.2 {}
+T {n6} 749 -10 1 1 0.2 0.2 {}
+T {n0} 2069 -10 1 1 0.2 0.2 {}
+T {n5} 969 -10 1 1 0.2 0.2 {}
+T {n4} 1189 -10 1 1 0.2 0.2 {}
+T {n2} 1629 -10 1 1 0.2 0.2 {}
+T {ndum} 2279 -10 1 1 0.2 0.2 {}
+T {n3} 1409 -10 1 1 0.2 0.2 {}
+T {n1} 1839 -10 1 1 0.2 0.2 {}
+T {n7} 529 -10 1 1 0.2 0.2 {}
+T {n8} 309 -10 1 1 0.2 0.2 {}
+T {n9} 89 -10 1 1 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/dac/dac.sch b/xschem/sub/sar_10b/dac/dac.sch
new file mode 100644
index 0000000..e5b365d
--- /dev/null
+++ b/xschem/sub/sar_10b/dac/dac.sch
@@ -0,0 +1,103 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1910 -540 1910 -490 {lab=n3}
+N 2130 -540 2130 -490 {lab=n2}
+N 2350 -540 2350 -490 {lab=n1}
+N 2570 -540 2570 -490 {lab=n0}
+N 110 -650 170 -650 {lab=vin}
+N 2790 -540 2790 -490 {lab=ndum}
+N 1690 -540 1690 -490 {lab=n4}
+N 1470 -540 1470 -490 {lab=n5}
+N 1250 -540 1250 -490 {lab=n6}
+N 1030 -540 1030 -490 {lab=n7}
+N 270 -650 500 -650 {lab=out}
+N 350 -720 370 -720 {lab=out}
+N 350 -720 350 -650 {lab=out}
+N 160 -710 220 -710 {lab=sample}
+N 220 -710 220 -680 {lab=sample}
+N 1030 -410 1030 -380 {lab=ctl[7]}
+N 1250 -410 1250 -380 {lab=ctl[6]}
+N 1470 -410 1470 -380 {lab=ctl[5]}
+N 1690 -410 1690 -380 {lab=ctl[4]}
+N 1910 -410 1910 -380 {lab=ctl[3]}
+N 2130 -410 2130 -380 {lab=ctl[2]}
+N 2350 -410 2350 -380 {lab=ctl[1]}
+N 2570 -410 2570 -380 {lab=ctl[0]}
+N 2790 -410 2790 -380 {lab=dum}
+N 810 -540 810 -490 {lab=n8}
+N 810 -410 810 -380 {lab=ctl[8]}
+N 590 -540 590 -490 {lab=n9}
+N 590 -410 590 -380 {lab=ctl[9]}
+C {devices/ipin.sym} 110 -650 0 0 {name=p2 lab=vin}
+C {devices/ipin.sym} 260 -505 0 0 {name=p5 lab=sample}
+C {devices/lab_wire.sym} 210 -710 0 0 {name=l24 sig_type=std_logic lab=sample}
+C {devices/opin.sym} 370 -720 0 0 {name=p18 lab=out}
+C {devices/ipin.sym} 260 -470 0 0 {name=p10 lab=ctl[9:0]
+}
+C {devices/lab_wire.sym} 2790 -540 3 0 {name=l60 sig_type=std_logic lab=ndum}
+C {devices/lab_wire.sym} 2570 -540 3 0 {name=l61 sig_type=std_logic lab=n0}
+C {devices/lab_wire.sym} 2350 -540 3 0 {name=l62 sig_type=std_logic lab=n1}
+C {devices/lab_wire.sym} 2130 -540 3 0 {name=l63 sig_type=std_logic lab=n2}
+C {devices/lab_wire.sym} 1910 -540 3 0 {name=l64 sig_type=std_logic lab=n3}
+C {devices/lab_wire.sym} 1690 -540 3 0 {name=l65 sig_type=std_logic lab=n4}
+C {devices/lab_wire.sym} 1470 -540 3 0 {name=l66 sig_type=std_logic lab=n5}
+C {devices/lab_wire.sym} 1250 -540 3 0 {name=l67 sig_type=std_logic lab=n6}
+C {devices/lab_wire.sym} 1030 -540 3 0 {name=l68 sig_type=std_logic lab=n7}
+C {sar_10b/dac/carray.sym} 500 -540 0 0 {name=xca}
+C {devices/lab_wire.sym} 200 -670 2 1 {name=l50 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -630 0 0 {name=l51 sig_type=std_logic lab=vss}
+C {devices/ipin.sym} 260 -440 0 0 {name=p1 lab=dum}
+C {devices/iopin.sym} 260 -240 2 0 {name=p6 lab=vdd}
+C {devices/iopin.sym} 260 -210 2 0 {name=p8 lab=vss}
+C {devices/lab_wire.sym} 1030 -410 3 0 {name=l1 sig_type=std_logic lab=ctl[7]}
+C {switches/bootstrapped_sw_hv.sym} 160 -620 0 0 {name=xswt}
+C {devices/lab_wire.sym} 1250 -410 3 0 {name=l2 sig_type=std_logic lab=ctl[6]
+}
+C {devices/lab_wire.sym} 1470 -410 3 0 {name=l3 sig_type=std_logic lab=ctl[5]
+}
+C {devices/lab_wire.sym} 1690 -410 3 0 {name=l4 sig_type=std_logic lab=ctl[4]
+}
+C {devices/lab_wire.sym} 1910 -410 3 0 {name=l5 sig_type=std_logic lab=ctl[3]
+}
+C {devices/lab_wire.sym} 2130 -410 3 0 {name=l6 sig_type=std_logic lab=ctl[2]
+}
+C {devices/lab_wire.sym} 2350 -410 3 0 {name=l7 sig_type=std_logic lab=ctl[1]
+}
+C {devices/lab_wire.sym} 2570 -410 3 0 {name=l8 sig_type=std_logic lab=ctl[0]
+}
+C {devices/lab_wire.sym} 2790 -410 3 0 {name=l9 sig_type=std_logic lab=dum
+}
+C {devices/lab_wire.sym} 810 -540 3 0 {name=l10 sig_type=std_logic lab=n8
+}
+C {devices/lab_wire.sym} 810 -410 3 0 {name=l11 sig_type=std_logic lab=ctl[8]
+}
+C {devices/lab_wire.sym} 590 -540 3 0 {name=l12 sig_type=std_logic lab=n9
+}
+C {devices/lab_wire.sym} 590 -410 3 0 {name=l13 sig_type=std_logic lab=ctl[9]
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 2790 -450 3 0 {name=xidum VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 2570 -450 3 0 {name=xi0 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 2350 -450 3 0 {name=xi1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 2130 -450 3 0 {name=xi2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 1910 -450 3 0 {name=xi3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 1690 -450 3 0 {name=xi4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 1470 -450 3 0 {name=xi5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 1250 -450 3 0 {name=xi6 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 1030 -450 3 0 {name=xi7 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 810 -450 3 0 {name=xi8 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/inv_2.sym} 590 -450 3 0 {name=xi9 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
diff --git a/xschem/sub/sar_10b/dac/dac.sp b/xschem/sub/sar_10b/dac/dac.sp
new file mode 100644
index 0000000..826c075
--- /dev/null
+++ b/xschem/sub/sar_10b/dac/dac.sp
@@ -0,0 +1,599 @@
+* NGSPICE file created from TOP.ext - technology: sky130A
+
+
+* Top level circuit TOP
+
+.subckt DAC out sample vdd vss vin ctl7 ctl6 ctl5 ctl4 ctl3 ctl2 ctl1 ctl0 dum
+X0 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X1 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X2 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X3 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X4 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X5 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X6 out a_45464_4239 vin vss sky130_fd_pr__nfet_01v8 ad=5.8e+12p pd=5.16e+07u as=6.96e+12p ps=6.192e+07u w=1e+06u l=300000u
+X7 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X8 out a_44621_2120 vin vdd sky130_fd_pr__pfet_01v8 ad=5.8e+12p pd=5.16e+07u as=6.96e+12p ps=6.192e+07u w=1e+06u l=300000u
+X9 a_45464_6355 a_44621_6352 vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=9.438e+12p ps=1.0252e+08u w=650000u l=150000u
+X10 vin a_45464_6355 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X11 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X12 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X13 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X14 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X15 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X16 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X17 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X18 vdd sample a_44621_6352 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=1.46192e+13p pd=1.4216e+08u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X19 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X20 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X21 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X22 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X23 dum dum vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X24 out nctl1 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X25 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X26 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X27 vin a_45464_2123 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X28 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X29 nctl1 ctl1 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X30 vin a_45464_8471 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X31 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X32 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X33 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X34 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X35 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X36 vin a_44621_2120 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X37 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X38 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X39 out nctl1 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X40 out a_44621_4236 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X41 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X42 vin a_44621_8468 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X43 vdd ctl2 nctl2 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X44 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X45 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X46 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X47 vss a_44621_6352 a_45464_6355 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X48 a_44621_6352 sample vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X49 vdd a_44621_4236 a_45464_4239 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X50 vss ctl2 nctl2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X51 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X52 a_45464_6355 a_44621_6352 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X53 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X54 out a_45464_2123 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X55 a_44621_4236 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X56 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X57 vss dum dum vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X58 out a_45464_8471 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X59 vdd a_44621_8468 a_45464_8471 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X60 out a_44621_6352 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X61 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X62 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X63 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X64 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X65 a_44621_4236 sample vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X66 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X67 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X68 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X69 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X70 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X71 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X72 out a_45464_4239 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X73 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X74 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X75 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X76 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X77 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X78 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X79 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X80 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X81 vin a_44621_6352 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X82 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X83 out nctl2 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X84 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X85 a_45464_2123 a_44621_2120 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X86 vss a_44621_6352 a_45464_6355 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X87 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X88 vdd ctl1 nctl1 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X89 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X90 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X91 out a_44621_6352 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X92 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X93 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X94 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X95 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X96 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X97 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X98 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X99 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X100 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X101 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X102 vss sample a_44621_4236 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X103 dum dum vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X104 vdd ctl7 nctl7 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X105 vdd ctl6 nctl6 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X106 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X107 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X108 vin a_45464_6355 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X109 vss a_44621_4236 a_45464_4239 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X110 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X111 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X112 vin a_44621_6352 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X113 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X114 out a_44621_8468 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X115 out a_44621_2120 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X116 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X117 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X118 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X119 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X120 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X121 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X122 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X123 nctl0 ctl0 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X124 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X125 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X126 vdd ctl5 nctl5 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X127 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X128 out a_45464_6355 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X129 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X130 out a_44621_4236 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X131 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X132 a_45464_6355 a_44621_6352 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X133 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X134 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X135 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X136 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X137 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X138 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X139 out nctl0 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X140 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X141 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X142 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X143 out a_45464_2123 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X144 a_45464_4239 a_44621_4236 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X145 vss sample a_44621_4236 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X146 a_44621_2120 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X147 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X148 nctl7 ctl7 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X149 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X150 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X151 out a_44621_2120 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X152 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X153 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X154 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X155 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X156 vin a_44621_4236 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X157 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X158 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X159 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X160 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X161 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X162 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X163 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X164 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X165 nctl2 ctl2 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X166 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X167 vin a_45464_2123 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X168 out a_45464_4239 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X169 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X170 out a_44621_2120 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X171 vin a_45464_8471 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X172 a_44621_4236 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X173 vin a_44621_2120 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X174 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X175 vin a_44621_6352 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X176 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X177 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X178 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X179 a_44621_4236 sample vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X180 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X181 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X182 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X183 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X184 a_45464_4239 a_44621_4236 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X185 vdd ctl0 nctl0 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X186 vss ctl7 nctl7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X187 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X188 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X189 out a_45464_6355 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X190 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X191 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X192 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X193 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X194 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X195 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X196 out nctl2 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X197 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X198 nctl4 ctl4 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X199 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X200 a_44621_2120 sample vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X201 vin a_45464_6355 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X202 vin a_44621_4236 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X203 out a_44621_8468 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X204 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X205 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X206 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X207 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X208 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X209 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X210 vdd a_44621_8468 a_45464_8471 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X211 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X212 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X213 nctl3 ctl3 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X214 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X215 a_44621_8468 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X216 vss a_44621_4236 a_45464_4239 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X217 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X218 out a_45464_6355 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X219 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X220 a_44621_8468 sample vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X221 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X222 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X223 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X224 vdd sample a_44621_4236 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X225 vin a_44621_8468 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X226 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X227 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X228 vin a_44621_2120 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X229 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X230 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X231 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X232 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X233 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X234 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X235 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X236 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X237 a_45464_4239 a_44621_4236 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X238 a_44621_2120 sample vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X239 vss a_44621_2120 a_45464_2123 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X240 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X241 vin a_45464_6355 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X242 out a_45464_8471 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X243 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X244 out a_45464_2123 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X245 nctl5 ctl5 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X246 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X247 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X248 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X249 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X250 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X251 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X252 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X253 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X254 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X255 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X256 vdd sample a_44621_6352 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X257 vdd ctl4 nctl4 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X258 out a_45464_4239 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X259 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X260 nctl1 ctl1 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X261 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X262 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X263 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X264 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X265 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X266 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X267 out a_44621_4236 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X268 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X269 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X270 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X271 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X272 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X273 vss sample a_44621_2120 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X274 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X275 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X276 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X277 vdd ctl3 nctl3 w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X278 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X279 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X280 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X281 vdd sample a_44621_8468 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X282 nctl7 ctl7 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X283 a_44621_8468 sample vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X284 nctl3 ctl3 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X285 vss ctl5 nctl5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X286 vss sample a_44621_2120 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X287 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X288 out a_45464_2123 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X289 vin a_45464_4239 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X290 vin a_44621_2120 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X291 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X292 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X293 vin a_44621_4236 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X294 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X295 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X296 vin a_44621_8468 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X297 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X298 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X299 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X300 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X301 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X302 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X303 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X304 nctl6 ctl6 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X305 out a_45464_2123 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X306 nctl5 ctl5 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X307 a_44621_2120 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X308 vin a_45464_2123 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X309 vin a_45464_6355 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X310 vss sample a_44621_8468 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X311 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X312 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X313 vdd a_44621_2120 a_45464_2123 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X314 out a_44621_8468 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X315 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X316 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X317 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X318 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X319 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X320 a_44621_6352 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X321 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X322 a_45464_2123 a_44621_2120 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X323 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X324 vdd a_44621_6352 a_45464_6355 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X325 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X326 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X327 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X328 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X329 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X330 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X331 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X332 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X333 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X334 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X335 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X336 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X337 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X338 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X339 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X340 out a_44621_6352 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X341 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X342 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X343 vin a_45464_4239 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X344 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X345 out a_45464_8471 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X346 vss ctl1 nctl1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X347 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X348 out a_44621_8468 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X349 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X350 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X351 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X352 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X353 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X354 vin a_44621_4236 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X355 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X356 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X357 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X358 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X359 vss sample a_44621_8468 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X360 vin a_45464_8471 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X361 vss ctl3 nctl3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X362 vin a_45464_2123 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X363 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X364 vdd sample a_44621_2120 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X365 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X366 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X367 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X368 a_45464_2123 a_44621_2120 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X369 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X370 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X371 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X372 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X373 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X374 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X375 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X376 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X377 vin a_44621_8468 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X378 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X379 a_45464_2123 a_44621_2120 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X380 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X381 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X382 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X383 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X384 vin a_44621_4236 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X385 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X386 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X387 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X388 nctl0 ctl0 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X389 vdd sample a_44621_4236 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X390 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X391 out a_44621_6352 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X392 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X393 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X394 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X395 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X396 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X397 out nctl2 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X398 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X399 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X400 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X401 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X402 a_45464_4239 a_44621_4236 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X403 vss a_44621_2120 a_45464_2123 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X404 a_45464_8471 a_44621_8468 vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X405 out a_45464_4239 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X406 out a_44621_4236 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X407 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X408 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X409 a_45464_8471 a_44621_8468 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X410 vin a_44621_6352 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X411 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X412 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X413 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X414 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X415 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X416 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X417 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X418 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X419 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X420 vin a_45464_2123 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X421 vin a_45464_4239 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X422 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X423 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X424 vin a_45464_8471 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X425 vin a_44621_2120 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X426 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X427 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X428 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X429 vin a_44621_8468 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X430 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X431 vdd a_44621_2120 a_45464_2123 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X432 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X433 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X434 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X435 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X436 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X437 a_44621_6352 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X438 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X439 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X440 vss sample a_44621_6352 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X441 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X442 vss a_44621_8468 a_45464_8471 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X443 out a_45464_8471 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X444 nctl4 ctl4 vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X445 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X446 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X447 vss ctl6 nctl6 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X448 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X449 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X450 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X451 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X452 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X453 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X454 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X455 out a_44621_2120 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X456 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X457 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X458 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X459 out dum sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X460 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X461 out a_44621_8468 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X462 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X463 vdd dum dum w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X464 vdd a_44621_4236 a_45464_4239 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X465 a_44621_6352 sample vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X466 a_44621_8468 sample vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X467 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X468 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X469 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X470 out a_45464_6355 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X471 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X472 out a_44621_4236 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X473 vss ctl0 nctl0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X474 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X475 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X476 nctl2 ctl2 vdd w_n2041_379 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X477 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X478 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X479 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X480 out a_45464_8471 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X481 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X482 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X483 vss a_44621_8468 a_45464_8471 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X484 vdd a_44621_6352 a_45464_6355 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X485 vin a_45464_4239 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X486 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X487 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X488 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X489 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X490 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X491 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X492 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X493 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X494 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X495 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X496 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X497 vss sample a_44621_6352 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X498 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X499 out nctl4 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X500 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X501 vdd sample a_44621_2120 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X502 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X503 a_45464_6355 a_44621_6352 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X504 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X505 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X506 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X507 vin a_45464_8471 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X508 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X509 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X510 vin a_44621_6352 out vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X511 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X512 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X513 out nctl2 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X514 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X515 out nctl3 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X516 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X517 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X518 out nctl6 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X519 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X520 a_45464_8471 a_44621_8468 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X521 nctl6 ctl6 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X522 vin a_45464_4239 out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X523 vdd sample a_44621_8468 w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X524 out a_45464_6355 vin vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X525 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X526 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X527 vss ctl4 nctl4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X528 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X529 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X530 vdd vss vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X531 out a_44621_6352 vin vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X532 out nctl7 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X533 a_45464_8471 a_44621_8468 vdd w_45973_1664 sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X534 out nctl5 sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+X535 out dummy_bot sky130_fd_pr__cap_mim_m3_1 l=2e+06u w=2e+06u
+C0 dum nctl1 12.47fF
+C1 dummy_bot nctl2 5.66fF
+C3 dummy_bot out 73.37fF
+C4 dummy_bot nctl5 5.08fF
+C11 nctl0 sample 5.95fF
+C22 nctl0 nctl1 25.25fF
+C28 dummy_bot nctl6 10.17fF
+C36 nctl0 nctl7 6.13fF
+C37 nctl2 out 3.61fF
+C39 nctl5 out 28.85fF
+C43 dummy_bot nctl3 2.67fF
+C50 vin vdd 4.79fF
+C65 nctl6 out 57.70fF
+C68 nctl6 nctl5 29.13fF
+C69 sample nctl7 5.69fF
+C73 nctl1 nctl7 19.35fF
+C80 w_45973_1664 vdd 2.15fF
+C83 nctl3 nctl2 36.82fF
+C85 nctl3 out 7.21fF
+C86 nctl5 nctl3 6.67fF
+C92 dum nctl2 2.11fF
+C100 dummy_bot nctl4 2.54fF
+C107 nctl0 nctl2 2.11fF
+C113 vin out 44.35fF
+C122 dummy_bot nctl7 20.33fF
+C129 dum nctl3 2.69fF
+C130 nctl4 nctl2 8.69fF
+C132 nctl4 out 14.42fF
+C133 nctl4 nctl5 21.53fF
+C138 nctl1 nctl2 3.00fF
+C146 nctl0 nctl3 2.69fF
+C152 nctl7 out 115.39fF
+C153 nctl6 nctl4 7.25fF
+C156 nctl7 nctl5 10.50fF
+C161 nctl6 sample 3.07fF
+C162 vdd out 4.16fF
+C163 nctl0 dum 24.34fF
+C168 nctl6 nctl1 6.26fF
+C175 nctl4 nctl3 20.44fF
+C187 nctl6 nctl7 36.32fF
+C188 nctl1 nctl3 4.38fF
+C199 sample dum 18.75fF
+C237 a_45464_2123 vss 3.00fF
+C238 vdd vss 51.62fF
+C239 a_44621_2120 vss 4.33fF
+C240 a_45464_4239 vss 3.00fF
+C241 a_44621_4236 vss 4.33fF
+C242 a_45464_6355 vss 3.00fF
+C243 a_44621_6352 vss 4.33fF
+C244 sample vss 41.44fF
+C245 a_45464_8471 vss 3.00fF
+C246 out vss 58.44fF
+C247 a_44621_8468 vss 4.33fF
+C248 vin vss 17.41fF
+C249 w_45973_1664 vss 8.79fF
+.ends
+
diff --git a/xschem/sub/sar_10b/dac/dac.sym b/xschem/sub/sar_10b/dac/dac.sym
new file mode 100644
index 0000000..7366660
--- /dev/null
+++ b/xschem/sub/sar_10b/dac/dac.sym
@@ -0,0 +1,95 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 -70 130 -70 {}
+L 4 -130 90 130 90 {}
+L 4 130 -10 150 -10 {}
+L 4 -150 -40 -130 -40 {}
+L 4 -150 40 -130 40 {}
+L 4 0 -90 0 -70 {}
+L 4 0 90 0 110 {}
+L 4 -150 -10 -130 -10 {}
+L 4 -150 60 -130 60 {}
+L 4 -130 -70 -130 90 {}
+L 4 130 -70 130 90 {}
+L 4 -130 -40 -40 -40 {dash=4}
+L 4 -40 -40 -40 -20 {dash=4}
+L 4 -130 40 50 40 {dash=4}
+L 4 -130 60 50 60 {dash=4}
+L 4 31 36 31 40 {dash=4}
+L 4 11 36 11 40 {dash=4}
+L 4 -27 36 -27 40 {dash=4}
+B 5 147.5 -12.5 152.5 -7.5 {name=out dir=out }
+B 5 -152.5 -42.5 -147.5 -37.5 {name=sample dir=in }
+B 5 -2.5 -92.5 2.5 -87.5 {name=vdd dir=inout}
+B 5 -2.5 107.5 2.5 112.5 {name=vss dir=inout}
+B 5 -152.5 -12.5 -147.5 -7.5 {name=vin dir=in }
+B 5 -152.5 37.5 -147.5 42.5 {name=ctl[9:0] dir=in }
+B 5 -152.5 57.5 -147.5 62.5 {name=dum dir=in }
+A 4 -2.64285714285711 -4.78571428571431 1.821078397711702 138.1798301198641 360 {}
+A 4 -7.64285714285711 -4.78571428571431 1.821078397711702 138.1798301198641 360 {}
+A 4 -12.64285714285711 -4.78571428571431 1.821078397711702 138.1798301198641 360 {}
+A 4 -27.04285714285711 16.1142857142857 1.821078397711702 138.1798301198641 360 {}
+A 4 10.95714285714289 16.1142857142857 1.821078397711702 138.1798301198641 360 {}
+A 4 30.95714285714289 16.1142857142857 1.821078397711702 138.1798301198641 360 {}
+A 4 50.95714285714288 16.1142857142857 1.821078397711702 138.1798301198641 360 {}
+A 4 70.95714285714288 16.1142857142857 1.821078397711702 138.1798301198641 360 {}
+P 4 2 6 10 16 10 {}
+P 4 2 6 5 16 5 {}
+P 4 2 26 10 36 10 {}
+P 4 2 26 5 36 5 {}
+P 4 2 46 10 56 10 {}
+P 4 2 46 5 56 5 {}
+P 4 2 66 10 76 10 {}
+P 4 2 66 5 76 5 {}
+P 4 2 31 5 31 -5 {}
+P 4 2 51 5 51 -5 {}
+P 4 2 71 5 71 -5 {}
+P 4 2 91 -10 130 -10 {}
+P 4 2 -32 10 -22 10 {}
+P 4 2 -32 5 -22 5 {}
+P 4 2 -27 5 -27 -5 {}
+P 4 2 11 5 11 -5 {}
+P 4 3 91 -10 -27 -10 -27 -5 {}
+P 4 2 11 -5 11 -10 {}
+P 4 2 31 -5 31 -10 {}
+P 4 2 51 -5 51 -10 {}
+P 4 2 71 -5 71 -10 {}
+P 4 2 -32 -10 -43 -17 {}
+P 4 2 -27 -10 -32 -10 {}
+P 4 2 -130 -10 -70 -10 {}
+P 4 2 -27 28 -27 36 {}
+P 4 4 -27 18 -33 28 -21 28 -27 18 {}
+P 4 2 -27 10 -27 14 {}
+P 4 2 11 28 11 36 {}
+P 4 4 11 18 5 28 17 28 11 18 {}
+P 4 2 11 10 11 14 {}
+P 4 2 31 28 31 36 {}
+P 4 4 31 18 25 28 37 28 31 18 {}
+P 4 2 31 10 31 14 {}
+P 4 2 51 28 51 36 {}
+P 4 4 51 18 45 28 57 28 51 18 {}
+P 4 2 51 10 51 14 {}
+P 4 2 71 28 71 36 {}
+P 4 4 71 18 65 28 77 28 71 18 {}
+P 4 2 71 10 71 14 {}
+P 4 2 -70 -10 -50 -10 {}
+P 4 3 71 36 71 60 50 60 {dash=4
+}
+P 4 3 51 36 51 40 50 40 {dash=4}
+T {@symname} -129.5 -83 0 0 0.2 0.2 {}
+T {@name} 90 -83 0 0 0.2 0.2 {}
+T {out} 126 -25 0 1 0.2 0.2 {}
+T {sample} -125 -54 0 0 0.2 0.2 {}
+T {ctl[9:0]} -125 26 0 0 0.2 0.2 {}
+T {vdd} 4 -55 2 0 0.2 0.2 {}
+T {vss} -4 75 0 0 0.2 0.2 {}
+T {vin} -125 -24 0 0 0.2 0.2 {}
+T {dum
+} -125 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/latch/latch.sch b/xschem/sub/sar_10b/latch/latch.sch
new file mode 100644
index 0000000..59cdc3a
--- /dev/null
+++ b/xschem/sub/sar_10b/latch/latch.sch
@@ -0,0 +1,84 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 120 -760 150 -760 {lab=S}
+N 230 -760 270 -760 {lab=#net1}
+N 310 -730 310 -700 {lab=vss}
+N 280 -700 310 -700 {lab=vss}
+N 310 -760 330 -760 {lab=vss}
+N 330 -760 330 -700 {lab=vss}
+N 310 -700 330 -700 {lab=vss}
+N 470 -730 470 -700 {lab=vss}
+N 470 -700 500 -700 {lab=vss}
+N 450 -760 470 -760 {lab=vss}
+N 450 -760 450 -700 {lab=vss}
+N 450 -700 470 -700 {lab=vss}
+N 510 -760 550 -760 {lab=#net2}
+N 310 -930 350 -930 {lab=Qn}
+N 310 -830 350 -830 {lab=Qn}
+N 430 -930 470 -930 {lab=Q}
+N 430 -830 470 -830 {lab=Q}
+N 630 -760 670 -760 {lab=R}
+N 470 -930 485 -930 {lab=Q}
+N 285 -930 310 -930 {lab=Qn}
+N 310 -930 310 -790 {lab=Qn}
+N 470 -930 470 -790 {lab=Q}
+N 390 -910 390 -890 {lab=vss}
+N 390 -970 390 -950 {lab=vdd}
+N 390 -810 390 -790 {lab=vss}
+N 390 -870 390 -850 {lab=vdd}
+N 590 -740 590 -720 {lab=vss}
+N 590 -800 590 -780 {lab=vdd}
+N 190 -740 190 -720 {lab=vss}
+N 190 -800 190 -780 {lab=vdd}
+C {devices/lab_wire.sym} 150 -760 0 0 {name=l39 sig_type=std_logic lab=S}
+C {devices/lab_wire.sym} 670 -760 0 0 {name=l41 sig_type=std_logic lab=R}
+C {devices/lab_wire.sym} 310 -700 0 0 {name=l45 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -700 0 1 {name=l46 sig_type=std_logic lab=vss}
+C {devices/ipin.sym} 120 -760 0 0 {name=p1 lab=S}
+C {devices/ipin.sym} 670 -760 2 0 {name=p6 lab=R}
+C {devices/iopin.sym} 95 -870 0 0 {name=p7 lab=vss}
+C {devices/iopin.sym} 95 -900 0 0 {name=p2 lab=vdd}
+C {devices/opin.sym} 485 -930 0 0 {name=p5 lab=Q}
+C {devices/opin.sym} 285 -930 2 0 {name=p8 lab=Qn}
+C {logic/inv_lvt.sym} 500 -910 0 0 {name=x1}
+C {devices/lab_wire.sym} 390 -910 3 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 390 -950 3 1 {name=l1 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 280 -810 0 1 {name=x2}
+C {devices/lab_wire.sym} 390 -810 1 1 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 390 -850 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 480 -740 0 1 {name=x3}
+C {devices/lab_wire.sym} 590 -740 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 590 -780 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 300 -740 0 0 {name=x4}
+C {devices/lab_wire.sym} 190 -740 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 190 -780 3 1 {name=l8 sig_type=std_logic lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 290 -760 0 0 {name=M3
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 490 -760 0 1 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
diff --git a/xschem/sub/sar_10b/latch/latch.sym b/xschem/sub/sar_10b/latch/latch.sym
new file mode 100644
index 0000000..fa818e2
--- /dev/null
+++ b/xschem/sub/sar_10b/latch/latch.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 80 -20 100 -20 {}
+L 4 80 20 100 20 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -70 35 80 35 {}
+L 4 -70 -35 -70 35 {}
+L 4 80 -35 80 35 {}
+L 4 -70 -35 80 -35 {}
+B 5 -2.5 -52.5 2.5 -47.5 {name=vdd dir=inout }
+B 5 97.5 -22.5 102.5 -17.5 {name=Q dir=out }
+B 5 97.5 17.5 102.5 22.5 {name=Qn dir=out }
+B 5 -2.5 47.5 2.5 52.5 {name=vss dir=inout }
+B 5 -92.5 17.5 -87.5 22.5 {name=R dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=S dir=in }
+P 4 2 0 35 -0 50 {}
+P 4 2 0 -50 -0 -35 {}
+T {@symname} -15.5 -6 0 0 0.3 0.3 {}
+T {@name} -10 -17 0 0 0.2 0.2 {}
+T {vdd} 10 -34 0 1 0.2 0.2 {}
+T {Q} 75 -24 0 1 0.2 0.2 {}
+T {Qn} 75 16 0 1 0.2 0.2 {}
+T {vss} 10 21 0 1 0.2 0.2 {}
+T {R} -65 16 0 0 0.2 0.2 {}
+T {S} -65 -24 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/sar/sar.ext.spice b/xschem/sub/sar_10b/sar/sar.ext.spice
new file mode 100644
index 0000000..4824f6c
--- /dev/null
+++ b/xschem/sub/sar_10b/sar/sar.ext.spice
@@ -0,0 +1,3938 @@
+* extracted netlist. Can only be used on single 
+* supply domain where avdd and avss are inputs.
+* This netlist contains ideal capacitor values 
+* combined with a full circuit extract.
+
+.subckt sar avdd dvdd dvss result9 result8 result7 result6 result5 result4 result3
++ result2 result1 result0 vinn avss clk vinp en valid cal rstn
+
+Rvdd avdd vdd 0.01
+Rvss avss vss 0.01
+Cdeca dvdd vdd 1f
+Cdecb dvss vss 1f
+
+X0 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=2.43729e+14p pd=2.52528e+09u as=0p ps=0u w=550000u l=1.97e+06u
+X1 a_10188_15910# clk vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.6e+11p pd=5.12e+06u as=3.39589e+14p ps=3.29177e+09u w=1e+06u l=150000u
+X2 a_17275_14112# a_16085_13740# a_17166_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X4 a_11237_21350# a_11060_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X5 a_23986_20196# trimb0 vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X6 a_10092_17542# a_5067_14423# a_9923_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X7 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X8 vdd a_12031_16998# a_12957_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X9 a_11186_18464# a_10271_18092# a_10839_18060# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X10 a_14323_21350# a_13732_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X11 vdd a_13741_18795# a_13366_17696# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X12 vdd a_3707_17690# a_3665_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X13 a_9463_12966# a_9513_15518# a_9632_12646# vss sky130_fd_pr__nfet_01v8 ad=7.085e+11p pd=7.38e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X14 a_15220_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X15 a_17697_18318# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X16 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X17 vss a_12031_16998# a_12957_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X18 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X19 ctl1n a_3994_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X20 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X21 a_14314_14278# a_13399_14278# a_13967_14520# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X22 vss a_13741_18795# a_13366_17696# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X23 vss ctl2n n2n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X24 vss a_3568_11166# a_8449_10476# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X25 a_7313_14278# a_3693_11558# a_7222_14278# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X26 ctl4n a_9645_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X27 n4n ctl4n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X28 a_13775_12966# a_11569_13190# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X29 a_12722_22144# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X30 a_4611_19718# a_4232_20084# a_4539_19718# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X31 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X32 vdd a_14605_21043# a_14536_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X33 vss a_12089_19692# a_3713_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X34 vdd a_16597_15340# a_16389_13905# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X35 a_22891_16254# vn a_23521_16136# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=5.16e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X36 a_7143_18630# a_6977_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X37 vdd a_17341_14038# a_17328_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X38 ctl3p a_7069_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X39 a_24604_20196# trimb3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=8.7e+11p pd=7.74e+06u as=0p ps=0u w=1e+06u l=300000u
+X40 trim4 a_15533_14830# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X41 n9p ctl9p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X42 vss a_16502_18318# a_15441_18782# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X43 vss a_3625_15340# a_3573_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X44 vss a_6848_14252# a_5067_14423# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X45 a_8991_20806# a_8541_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X46 vss a_12897_13708# a_17925_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X47 a_10145_14861# a_9963_14861# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X48 a_6060_20262# a_5302_20378# a_5497_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X49 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X50 a_15975_11564# a_15809_11564# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X51 a_4301_13427# a_4145_13332# a_4446_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X52 a_12528_21466# a_3713_21332# a_12446_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X53 vdd a_3899_9926# a_9322_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X54 vdd a_14573_16428# a_13005_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X55 a_10585_19416# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X56 a_5152_18086# a_5065_18328# a_4748_18218# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X57 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X58 a_16561_14822# a_16310_14938# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X59 vss a_9422_12076# a_8706_11826# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X60 vdd a_8497_12878# a_6013_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X61 vss a_3534_20236# result5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X62 vss a_16561_14822# a_17132_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X63 vdd a_6607_17784# a_6497_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X64 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X65 a_8764_10176# a_8734_10078# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.65e+11p pd=7.93e+06u as=0p ps=0u w=1e+06u l=150000u
+X66 vss a_12037_13734# a_10083_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X67 a_8017_19870# a_6701_20806# a_8163_19718# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X68 a_16946_18630# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X69 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X70 a_9930_14430# a_6939_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X71 vdd a_9021_20504# a_8982_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X72 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X73 a_14366_21172# a_13929_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X74 trim2 a_17925_10478# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X75 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.053e+12p ps=1.104e+07u w=650000u l=150000u
+X76 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.24e+12p pd=2.048e+07u as=0p ps=0u w=1e+06u l=150000u
+X77 vdd a_9378_19406# a_8133_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X78 a_9928_13734# a_9737_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X79 a_6753_20780# a_6956_21058# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X80 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.408e+11p ps=1.12e+07u w=420000u l=150000u
+X81 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X82 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X83 vss a_15041_14038# a_7477_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X84 a_11759_21048# a_11541_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X85 a_7570_19290# a_3713_20244# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X86 a_8167_18630# a_6977_18630# a_8058_18630# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X87 vss a_4488_16606# a_8803_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X88 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X89 n2p ctl2p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=1.347e+13p ps=1.2894e+08u w=1e+06u l=150000u
+X90 vss a_3665_17542# a_3843_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X91 vss a_12326_16142# a_16085_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X92 vdd a_6043_13734# a_7104_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X93 vdd a_7335_15412# a_7435_15630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X94 a_12579_12646# a_11849_12254# a_12497_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X95 a_14975_14112# a_13785_13740# a_14866_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X96 a_12215_16454# a_11025_16454# a_12106_16454# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X97 a_16693_12102# a_16343_12102# a_16598_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X98 a_4145_19860# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X99 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X100 vss a_16729_9926# ctl9n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X101 vdd a_16819_13708# a_16709_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X102 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X103 a_6948_13440# a_7134_13164# a_7092_13190# vss sky130_fd_pr__nfet_01v8 ad=3.9325e+11p pd=2.51e+06u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X104 a_11077_18604# a_11360_18604# a_11295_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X105 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X106 vss a_4237_17240# a_4198_17114# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X107 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X108 a_14709_16704# a_14834_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.35e+11p pd=5.07e+06u as=0p ps=0u w=1e+06u l=150000u
+X109 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X110 a_11280_14530# a_11597_14420# a_11555_14278# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X111 vdd a_9247_18060# a_12273_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X112 a_16300_21056# a_4449_14804# a_16218_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X113 vdd a_13498_17542# a_13674_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X114 vdd a_10617_16972# a_5277_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X115 a_17050_16428# a_17617_16972# vss vss sky130_fd_pr__nfet_01v8 ad=5.005e+11p pd=2.84e+06u as=0p ps=0u w=650000u l=150000u
+X116 a_11304_13190# a_10083_11532# a_11025_13190# vss sky130_fd_pr__nfet_01v8 ad=2.665e+11p pd=2.12e+06u as=3.9975e+11p ps=3.83e+06u w=650000u l=150000u
+X117 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X118 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X119 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X120 a_8415_17694# a_4388_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X121 a_16789_12102# a_16343_12102# a_16693_12102# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X122 vss a_16710_18354# a_17835_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X123 vinn a_103126_7850# a_104073_8108# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X124 a_5499_14112# a_4309_13740# a_5390_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X125 a_3534_21324# a_3713_21332# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X126 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X127 vdd a_7429_21043# a_7360_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X128 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X129 vss a_17005_21358# ctl8p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X130 vdd a_6485_16214# a_6472_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X131 vss a_17098_13164# a_17451_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X132 a_5485_10470# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X133 a_15033_21868# a_14123_21868# a_15464_21894# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X134 a_16839_17296# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X135 a_6389_17542# a_5873_17542# a_6294_17542# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X136 vss a_16434_14912# a_16310_14938# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X137 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X138 a_3534_15884# a_3573_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X139 a_11390_15142# a_7573_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X140 vss a_3573_13190# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X141 a_5497_20236# a_5302_20378# a_5807_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X142 a_13872_13440# a_13601_13556# a_13789_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.35e+11p pd=5.07e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X143 vdd a_9611_18782# a_9424_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X144 a_3713_14804# a_6485_16214# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X145 vdd a_14123_21868# a_16445_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X146 vss a_12609_19860# a_12570_19986# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X147 a_4851_21056# a_3573_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X148 vss a_5846_18060# a_5784_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X149 vdd a_3899_9926# a_3717_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X150 a_4564_16704# a_4488_16606# a_4256_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X151 vss a_5921_14796# a_5867_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X152 vdd a_3573_13190# a_9546_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.3e+11p ps=5.26e+06u w=1e+06u l=150000u
+X153 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X154 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X155 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X156 vss a_12957_18630# a_10153_20242# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X157 vss a_7765_13342# a_4889_12817# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X158 a_6219_19718# a_4769_20262# a_5873_19968# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X159 a_5921_14796# a_8967_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X160 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X161 a_5392_19174# a_5215_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X162 vss a_13687_21582# a_17005_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X163 a_14682_11936# a_13767_11564# a_14335_11532# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X164 a_16961_18125# a_16710_18354# a_16502_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X165 vss a_9632_12646# a_13601_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X166 a_15456_21350# a_15330_21466# a_15052_21482# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X167 vdd a_10153_20242# a_16177_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X168 a_11077_14252# a_11280_14530# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X169 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X170 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X171 vdd a_3625_19692# a_3573_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X172 a_13674_17516# a_13498_17542# a_13818_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X173 vdd a_6918_13342# a_7652_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X174 a_10370_16454# a_10328_16606# a_10067_16428# vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X175 a_17628_14822# a_17451_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X176 a_17182_17908# a_16177_17542# a_17106_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X177 a_7527_10470# a_6051_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=6.5e+11p pd=5.3e+06u as=0p ps=0u w=1e+06u l=150000u
+X178 a_5377_10848# a_4861_10476# a_5282_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X179 a_15052_21482# a_15330_21466# a_15286_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X180 a_14628_20262# a_14502_20378# a_14224_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X181 a_14204_17756# a_14054_17908# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X182 a_3568_11166# a_6477_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X183 a_6969_12646# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X184 a_17612_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X185 vdd a_4145_19860# a_4106_19986# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X186 vss a_12326_16142# a_13785_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X187 a_14843_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X188 vdd a_3899_9926# a_10886_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X189 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X190 vdd a_7573_12254# a_9551_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X191 a_15859_18305# a_12765_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X192 vdd a_14121_10988# a_14108_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X193 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X194 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X195 vss a_16355_19406# a_16445_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X196 a_12927_13734# a_12897_13708# a_12855_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X197 vdd a_6939_12620# a_9737_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X198 vss a_10153_20242# a_11117_20268# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X199 a_16736_18630# a_16343_18630# a_16626_18630# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=1.44e+11p ps=1.52e+06u w=360000u l=150000u
+X200 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X201 a_14932_16428# a_14245_18406# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X202 a_6871_12102# a_6701_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X203 a_5277_21868# a_9680_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X204 a_11446_16454# a_10015_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X205 vdd a_14329_15884# a_14260_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X206 a_11076_15518# a_11172_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X207 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X208 a_4232_13556# a_4145_13332# a_3828_13442# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X209 a_17341_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X210 vss a_13845_12076# a_13779_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X211 a_11678_15518# a_8482_15752# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X212 vss a_11076_15518# a_11025_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X213 vdd a_16434_14912# a_16392_14938# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X214 vdd a_11025_13190# a_11569_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X215 vdd a_19955_17707# a_19955_15979# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=300000u
+X216 vdd a_13323_12344# a_13213_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X217 a_8980_13734# a_8803_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X218 a_4897_20780# a_8869_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X219 vss a_9447_20806# a_9740_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X220 a_6926_19968# a_3713_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X221 vdd a_16839_17296# a_16869_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X222 a_13629_12878# a_7477_12254# a_13775_12966# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X223 a_14573_16428# a_14834_16606# a_14792_16454# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X224 a_13792_15188# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X225 a_5129_12102# a_4954_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X226 a_12696_20084# a_12609_19860# a_12292_19970# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X227 vdd ctl5p n5p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X228 a_6153_17318# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X229 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X230 a_7273_20948# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X231 vss a_15859_18305# a_14337_18782# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X232 a_11360_18604# a_11393_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X233 a_17628_14822# a_17451_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X234 a_3717_16972# a_3920_17130# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X235 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X236 vdd a_16914_12620# a_17365_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X237 a_6817_21568# a_6926_19968# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X238 a_16409_16129# a_14997_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X239 a_6661_21324# a_6817_21568# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X240 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X241 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X242 a_12552_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X243 a_15305_9900# a_13687_21582# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X244 vdd a_15611_14278# a_17925_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X245 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X246 vss a_3713_18068# a_6153_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X247 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X248 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X249 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X250 a_9657_15366# a_7799_16606# a_9550_15366# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=2.34e+06u as=2.5025e+11p ps=2.07e+06u w=650000u l=150000u
+X251 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.62e+12p ps=1.524e+07u w=1e+06u l=150000u
+X252 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X253 a_6122_20236# a_5873_19968# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X254 a_17106_18996# a_16626_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X255 vdd a_7797_11558# a_9645_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X256 vdd a_9247_18060# a_14297_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X257 a_7116_17908# a_6039_17542# a_6954_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X258 a_12339_10836# a_11960_10470# a_12267_10836# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X259 a_8313_15518# a_5867_15142# vss vss sky130_fd_pr__nfet_01v8 ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X260 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X261 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X262 vdd a_7765_13342# a_4889_12817# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X263 a_6426_18604# a_6661_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X264 vdd a_3899_9926# a_5642_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X265 vdd a_10397_21894# a_11060_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X266 a_9692_10470# a_8615_10476# a_9530_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X267 a_5744_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X268 a_13323_12344# a_13105_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X269 vdd a_3899_9926# a_14849_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X270 a_3534_14252# a_3713_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X271 a_13328_20084# a_12570_19986# a_12765_19955# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X272 a_3863_13190# a_3828_13442# a_3625_13164# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X273 a_7005_20494# a_6701_20806# a_7151_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X274 a_5531_18452# a_5152_18086# a_5459_18452# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X275 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X276 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X277 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X278 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X279 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X280 a_11562_14822# a_8482_15752# a_11307_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.1e+11p pd=2.62e+06u as=5.45e+11p ps=5.09e+06u w=1e+06u l=150000u
+X281 vss a_3534_14252# a_3564_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X282 result9 a_4270_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X283 vss ctl4n n4n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X284 vss a_14541_20504# a_14502_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X285 a_12029_10444# a_11834_10586# a_12339_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X286 vdd a_3899_9926# a_10065_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X287 a_8313_15518# a_8677_15346# a_8612_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X288 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X289 a_16999_11936# a_15809_11564# a_16890_11936# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X290 a_16510_10586# a_12769_12872# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X291 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X292 vdd a_5277_19692# a_6977_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X293 a_9632_12646# a_9513_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.6e+11p pd=5.12e+06u as=0p ps=0u w=1e+06u l=150000u
+X294 vss a_9949_20958# a_9894_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X295 a_17328_13734# a_16251_13740# a_17166_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X296 a_14206_14100# a_13601_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X297 vss ctl9p n9p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X298 a_14489_14252# a_14314_14278# a_14668_14278# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X299 n7p ctl7p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X300 a_5675_19174# a_5498_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X301 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X302 a_17925_19406# a_15611_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X303 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X304 a_13010_12102# a_12115_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X305 a_14132_21058# a_14449_20948# a_14407_20806# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X306 vss a_14697_20236# a_14628_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X307 a_10617_16972# a_6599_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X308 vss a_7865_10988# a_7799_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X309 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X310 a_15327_21716# a_14849_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X312 vdd a_12697_21350# a_13233_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X313 a_6485_16214# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X314 a_16597_13164# a_17098_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X315 a_9422_12076# a_7477_12254# a_9645_12102# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X316 a_9740_20262# a_8982_20378# a_9177_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X317 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X318 vss a_6025_11790# a_4401_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X319 vss trim3 a_24604_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=8.7e+11p ps=7.74e+06u w=1e+06u l=300000u
+X320 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X321 vdd a_7591_10444# a_7527_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X322 a_17341_14038# a_17166_14112# a_17520_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X323 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X324 a_9978_17114# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X325 vss a_11025_13190# a_11569_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X326 a_5299_20628# a_4821_20236# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X327 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X328 a_4154_16998# a_3717_16972# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X329 vss a_7797_11558# a_9645_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X330 vss a_5921_14796# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=6.565e+11p ps=5.92e+06u w=650000u l=150000u
+X331 a_9151_18318# a_9247_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X332 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X333 a_4232_13556# a_4106_13458# a_3828_13442# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X334 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X335 a_9546_12646# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X336 vdd a_16626_18630# a_16802_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X337 vss a_3665_16998# a_4589_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X338 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X339 a_11359_15518# a_11455_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X340 a_10585_19416# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X341 a_11529_13734# a_11021_14054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.35e+11p pd=2.67e+06u as=0p ps=0u w=1e+06u l=150000u
+X342 vss a_3899_9926# a_14011_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X343 vdd a_6701_20806# a_8163_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X344 vdd a_3899_9926# a_11353_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X345 vss a_3899_9926# a_14259_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X346 a_16626_17542# a_16177_17542# a_16531_17542# vss sky130_fd_pr__nfet_01v8 ad=1.44e+11p pd=1.52e+06u as=1.87e+11p ps=1.93e+06u w=360000u l=150000u
+X347 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X348 a_24177_20196# trimb2 vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=300000u
+X349 a_17290_17908# a_16343_17542# a_17182_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X350 vss a_5159_20951# a_6219_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X351 vss a_3899_9926# a_10883_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X352 vdd a_17433_14252# a_17420_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X353 vss ctl5p n5p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X354 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X355 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X356 a_4324_16998# a_4198_17114# a_3920_17130# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X357 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X358 vss a_3994_10444# ctl1n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X359 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X360 a_16343_12102# a_16177_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X361 a_11371_21894# a_11297_22046# a_11025_22144# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X362 a_7343_11256# a_7125_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X363 vdd a_6426_18604# a_7797_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X364 a_4864_20084# a_4145_19860# a_4301_19955# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X365 a_14121_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X366 a_5692_21350# a_4934_21466# a_5129_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X367 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X368 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X369 a_5390_14112# a_4475_13740# a_5043_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X370 a_17365_19718# a_17098_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X371 vss a_4769_20262# a_4718_18880# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X372 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X373 a_6356_16454# a_4388_16606# a_6061_16454# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X374 a_16597_15340# a_15611_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X375 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X376 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X377 a_5965_14278# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X378 a_15611_12102# a_15020_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X379 a_8607_9900# a_7883_12646# a_8860_9926# vss sky130_fd_pr__nfet_01v8 ad=2.86e+11p pd=2.18e+06u as=2.925e+11p ps=2.2e+06u w=650000u l=150000u
+X380 vss a_17617_16606# a_16824_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X381 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X382 vdd a_11998_9900# ctl6n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X383 a_16343_12102# a_16177_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X384 a_15611_18630# a_15441_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X385 vdd a_10839_18060# a_10729_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X386 a_5067_14423# a_6848_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X387 vdd ctl4p n4p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X388 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X389 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X390 vss a_4488_16606# a_4446_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X391 a_16343_18630# a_16177_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X392 a_5277_19692# a_10617_16972# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X393 vss a_13687_21582# a_15305_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X394 a_8025_16606# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X395 vdd a_17925_9926# ctl0n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X396 a_4751_12652# a_4585_12652# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X397 a_3534_20780# a_3713_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X398 a_12910_20084# a_12696_20084# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X399 a_8515_12102# a_8433_12282# a_8443_12102# vss sky130_fd_pr__nfet_01v8 ad=1.47e+11p pd=1.54e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X400 a_9463_12966# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X401 vdd a_14981_21894# a_15168_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X402 vdd a_5921_13355# a_3713_12628# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X403 vss a_14297_19692# a_14245_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X404 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X405 vdd ctl3p n3p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X406 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X407 vss a_7129_17516# a_7063_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X408 vss a_16506_17230# a_17739_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X409 a_9200_21350# a_9113_21592# a_8796_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X410 a_12765_19955# a_12570_19986# a_13075_19718# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X411 a_16911_14520# a_16693_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X412 n8p ctl8p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X413 a_11851_20236# a_11633_20640# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X414 a_13511_16454# a_13469_16606# a_12909_17230# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=5.005e+11p ps=2.84e+06u w=650000u l=150000u
+X415 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X416 vss a_5277_19692# a_5873_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X417 a_14335_11532# a_14117_11936# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X418 a_11421_20433# a_11025_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X419 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X420 vdd a_17050_16428# a_17697_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X421 a_8025_16606# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X422 a_5853_15910# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X423 a_7150_14278# a_6701_14278# a_6848_14252# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X424 a_14344_17364# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X425 a_8764_10176# a_7883_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X426 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X427 a_14013_15188# a_13865_14835# a_13650_15054# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X428 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X429 a_7477_12254# a_15041_14038# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X430 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=300000u
+X431 vdd a_9645_9926# ctl4n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X432 vss rstn a_3563_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X433 a_15020_12102# a_9632_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X434 ctl7p a_16913_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X435 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X436 a_5282_10836# a_4571_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X437 vdd a_4301_13427# a_4232_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X438 a_8704_20394# a_9021_20504# a_8979_20628# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X439 a_11741_20262# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X440 vss a_4954_17516# a_5215_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X441 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X442 vss a_3899_9926# a_11803_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X443 vdd a_16914_12620# a_17925_10478# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X444 vdd a_9632_12646# a_16413_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X445 a_7992_21172# a_7273_20948# a_7429_21043# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X446 a_3625_15340# a_3828_15618# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X447 vss rstn a_3563_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X448 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X449 vdd a_14335_11532# a_14225_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X450 vdd a_11237_21350# a_16177_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X451 a_9417_15518# a_7883_12646# vss vss sky130_fd_pr__nfet_01v8 ad=3.8025e+11p pd=3.77e+06u as=0p ps=0u w=650000u l=150000u
+X452 a_15286_21350# a_14849_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X453 vss a_3573_19718# a_4074_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X454 a_7601_18996# a_6977_18630# a_7493_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X455 a_11577_12352# a_11705_12076# a_11659_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X456 a_7883_13734# a_7706_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X457 a_15835_21716# a_15456_21350# a_15763_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X458 vss a_10067_16428# a_10015_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X459 vdd a_10065_19148# a_3713_20244# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X460 a_14108_11380# a_13031_11014# a_13946_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X461 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X462 a_8520_14278# a_8343_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X463 a_8520_14278# a_8343_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X464 vdd a_17925_19406# a_17925_19182# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X465 vdd a_14937_18318# a_14889_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X466 vss a_9930_14430# a_6089_14430# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X467 vdd a_13744_22046# a_13693_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X468 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X469 a_7852_11380# a_6775_11014# a_7690_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X470 vdd a_3899_9926# a_4446_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X471 a_4611_15366# a_4232_15732# a_4539_15366# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X472 a_10502_19174# a_10065_19148# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X473 vdd a_7210_15054# a_5277_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X474 a_9611_14430# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X475 a_9415_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X476 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X477 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X478 a_5065_18328# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X479 a_6485_16214# a_6310_16288# a_6664_16276# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X480 vss a_6918_13342# a_8343_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X481 a_4270_14796# a_4449_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X482 vdd a_6969_12646# a_6948_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.025e+12p ps=6.05e+06u w=1e+06u l=150000u
+X483 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X484 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=300000u
+X485 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X486 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X487 trimb2 a_17925_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X488 a_9059_19406# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X489 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X490 a_15525_21324# a_15330_21466# a_15835_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X491 vss a_5067_14423# a_10092_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X492 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X493 vss a_15611_14278# a_17925_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X494 a_103126_7692# a_3564_14278# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X495 vss a_4829_10988# a_4763_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X496 vss a_7621_21358# ctl4p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X497 a_5101_13024# a_4751_12652# a_5006_13012# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X498 a_5274_21350# a_5060_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X499 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X500 a_11291_12966# a_9928_13734# a_3713_14252# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X501 vdd a_17182_18996# a_17752_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X502 a_12395_15412# a_5775_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X503 a_5867_15142# a_5775_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X504 vss a_6877_11558# a_7069_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X505 a_3534_20780# a_3713_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X506 a_5925_16428# a_4488_16606# a_6143_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X507 vdd a_4301_15603# a_4232_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X508 vss a_7134_13164# a_8803_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X509 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X510 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X511 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X512 a_17890_13440# a_13839_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X513 vss vdd ndp vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X514 vdd a_7989_19718# a_7992_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X515 vdd a_5185_15041# a_4613_13905# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X516 a_15033_21868# a_11360_18604# a_15251_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X517 a_6061_18630# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X518 a_12773_14054# a_12897_13708# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X519 vss a_3534_20780# result6 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X520 vdd a_11353_10444# a_11301_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X521 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X522 a_4453_17516# a_4954_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X523 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X524 vss a_6426_18604# a_7621_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X525 vdd a_10153_20242# a_13049_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X526 a_13978_17908# a_13498_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X527 vdd a_12281_16428# a_12268_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X528 a_13654_9900# a_13685_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X529 a_7527_10790# a_6051_12254# vss vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X530 a_9827_11558# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=6.5e+11p pd=5.3e+06u as=0p ps=0u w=1e+06u l=150000u
+X531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X532 a_4847_16454# a_4256_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X533 a_11790_10470# a_11353_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X534 vdd a_7573_12254# a_11307_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X535 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X536 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X537 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X538 a_7594_15372# a_6939_12620# a_7522_15372# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X539 a_9286_15054# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X540 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X541 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X542 a_16890_11936# a_15975_11564# a_16543_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X543 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X544 a_8684_15054# a_8780_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X545 a_17433_14252# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X546 a_11361_18390# a_11186_18464# a_11540_18452# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X547 a_7683_13012# a_6969_12646# a_7611_13012# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X548 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X549 a_4062_15732# a_3625_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X550 a_4307_11256# a_4089_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X551 a_4145_15508# a_3568_11166# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X552 a_16911_12344# a_16693_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X553 vdd ctl2p n2p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X554 a_8593_21324# a_8796_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X555 a_16801_12468# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X556 vdd a_13469_16606# a_13872_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X557 a_12909_17230# a_13293_16639# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X558 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X559 a_6017_16972# a_6143_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X560 n7p ctl7p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X561 vss a_14323_21350# a_16088_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X562 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X563 a_10050_17694# a_11361_18390# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X564 vss a_8133_19692# a_11371_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X565 a_7865_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X566 vdd a_8017_19870# a_7989_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X567 a_13381_11014# a_12865_11014# a_13286_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X568 a_13499_15616# a_13469_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X569 a_5925_16428# a_6426_16428# a_6356_16454# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X570 vdd a_6954_17542# a_7129_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X571 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X572 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X573 n6p ctl6p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X574 vss a_3534_18060# result3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X575 vss a_8607_9900# a_8541_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X576 a_8770_9926# a_8734_10078# vss vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X577 vdd a_3899_9926# a_11077_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X578 vss a_6122_20236# a_6060_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X579 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X580 a_7669_14861# a_7134_13164# a_7210_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X581 vss a_9928_13734# a_8482_15752# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X582 a_12765_15910# a_12498_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X583 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X584 a_13286_11014# a_13035_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X585 vss a_3899_9926# a_6991_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X586 ctl0p a_17925_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X587 a_4145_19860# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X588 a_5784_18086# a_5026_18202# a_5221_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X589 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X590 a_16601_14112# a_16085_13740# a_16506_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X591 vss a_11019_13342# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X592 a_9021_20504# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X593 vss a_4325_19174# a_4896_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X594 vss a_16413_12620# a_16361_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X595 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X596 a_8615_12102# a_8343_11014# a_8515_12102# vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=0p ps=0u w=420000u l=150000u
+X597 a_16721_19718# a_14975_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X598 a_16597_13164# a_17098_13164# a_17028_13190# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X599 vdd a_4725_15892# a_14040_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X600 a_6143_20806# a_5965_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X601 vdd a_12957_18630# a_10153_20242# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X602 ctl3n a_7069_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X603 vss a_9269_21324# a_9200_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X604 vdd a_12089_19692# a_3713_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X605 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X606 vss trimb3 a_24604_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X607 a_14063_12966# a_11705_12076# a_13629_12878# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X608 vdd a_14381_18060# a_14245_18406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X609 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X610 a_16914_12620# a_16856_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X611 a_8803_14278# a_8626_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X612 a_15887_19174# a_15717_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X613 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X614 a_4012_11558# a_3835_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X615 vss a_7134_13164# a_8770_12878# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X616 vss a_6007_20954# a_5965_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X617 a_10526_18452# a_10365_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X618 vdd a_17166_14112# a_17341_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X619 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X620 a_14565_17364# a_14417_17011# a_14202_17230# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X621 a_11353_10444# a_11556_10602# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X622 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X623 vss a_14489_14252# a_14423_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X624 vss a_8520_14278# a_8626_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X625 a_12281_16428# a_12106_16454# a_12460_16454# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X626 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X627 vdd a_15041_14038# a_15028_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X628 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X629 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X630 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X631 a_6197_14252# a_7104_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X632 vdd a_11359_15518# a_11172_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X633 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X634 vss a_6197_14252# a_7313_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X635 vss a_11297_22046# a_12722_22144# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X636 vss a_11077_18604# a_11025_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X637 vdd a_12326_16142# a_16177_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X638 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X639 a_7797_11558# a_6426_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X640 vdd a_11393_17542# a_11360_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X641 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X642 a_12765_15910# a_12498_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X643 vss a_15533_14830# trim4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X644 vdd a_6969_12646# a_8497_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X645 vdd a_9928_13734# a_12211_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X646 a_4703_17364# a_4324_16998# a_4631_17364# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X647 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X648 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X649 n1n ctl1n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X650 a_10672_19174# a_10546_19290# a_10268_19306# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X651 a_4671_17792# a_3665_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X652 a_13599_11256# a_13381_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X653 vdd a_3899_9926# a_14474_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X654 vdd a_6918_13342# a_8343_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X655 a_6948_13440# a_7134_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X656 vdd a_3568_11166# a_4585_12652# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X657 vdd a_11455_15340# a_12655_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X658 vdd a_9705_10774# a_9692_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X659 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X660 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X661 a_3534_20236# a_3713_20244# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X662 a_7493_18630# a_6977_18630# a_7398_18630# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X663 vdd a_7687_10444# a_9827_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X664 a_11541_20806# a_11025_20806# a_11446_20806# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X665 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X666 vss a_11078_9900# ctl5n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X667 a_4783_18452# a_4748_18218# a_4545_18060# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X668 vss a_17925_10478# trim2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X669 a_14866_14112# a_13785_13740# a_14519_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X670 a_13967_14520# a_13749_14278# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X671 a_7398_18630# a_7239_18086# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X672 a_11446_20806# a_11025_19718# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X673 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X674 vdd a_11569_13190# a_11711_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X675 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X676 a_6235_16998# a_3713_18068# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X677 a_6848_14252# a_6701_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.478e+11p pd=2.86e+06u as=0p ps=0u w=420000u l=150000u
+X678 a_10743_10790# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X679 a_7092_13190# a_6969_12646# a_6793_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.2575e+11p ps=3.91e+06u w=650000u l=150000u
+X680 a_5043_13708# a_4825_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X681 ctl0p a_17925_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X682 vss a_5277_21868# a_9999_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X683 a_11257_9900# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X684 vdd a_17352_11014# a_17458_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X685 a_17365_20262# a_16914_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X686 a_17647_16998# a_15887_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=0p ps=0u w=1e+06u l=150000u
+X687 vdd a_14519_13708# a_14409_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X688 vss a_17050_16428# a_16994_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X689 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X690 a_7601_18996# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X691 vdd a_3899_9926# a_17182_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X692 a_12281_16428# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X693 a_7791_15366# a_7435_15630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X694 vdd a_7477_12254# a_7429_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.95e+11p ps=5.19e+06u w=1e+06u l=150000u
+X695 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X696 a_5366_18086# a_5152_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X697 vdd a_17925_10078# a_17925_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X698 a_13839_10444# a_14857_11862# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X699 a_7639_15120# a_7306_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X700 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X701 vdd a_9807_12076# a_13499_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X702 a_10217_14861# a_7791_15366# a_10145_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=0p ps=0u w=420000u l=150000u
+X703 a_4718_18880# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X704 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X705 a_16784_15518# a_17341_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X706 result7 a_3534_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X707 a_4237_17240# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X708 vdd a_6310_16288# a_6485_16214# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X709 a_11659_12352# a_11301_10470# a_11577_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X710 a_4825_14112# a_4309_13740# a_4730_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X711 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X712 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X713 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X714 vss a_12326_16142# a_16177_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X715 n5p ctl5p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X716 a_11649_21172# a_11025_20806# a_11541_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X717 vss a_9815_17230# a_8830_17516# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X718 vdd a_5043_13708# a_4933_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X719 vss a_7694_19264# a_8451_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X720 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X721 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X722 a_16343_14278# a_16177_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X723 a_4156_19290# a_3665_16998# a_4074_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X724 a_7821_19174# a_7570_19290# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X725 result1 a_3534_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X726 vdd a_6701_20806# a_7008_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X727 vss a_10153_20242# a_16177_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X728 a_4748_18218# a_5065_18328# a_5023_18452# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X729 a_8230_13440# a_8176_13342# a_7765_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X730 a_3920_17130# a_4198_17114# a_4154_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X731 a_11283_20268# a_11117_20268# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X732 vdd a_3693_11558# a_9061_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X733 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X734 a_4864_13556# a_4106_13458# a_4301_13427# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X735 a_104073_24820# a_103126_24878# vss vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=5.8e+11p ps=5.16e+06u w=1e+06u l=500000u
+X736 a_5745_16288# a_5229_15916# a_5650_16276# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X737 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X738 a_14314_14278# a_13233_14278# a_13967_14520# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X739 vdd a_5221_18060# a_5152_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X740 vss a_14708_16606# a_15521_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.9175e+11p ps=1.89e+06u w=650000u l=150000u
+X741 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X742 vss cal a_3481_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X743 a_20220_14335# a_14507_18630# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X744 vdd a_9949_20958# a_9894_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X745 a_11514_14644# a_11077_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X746 trimb1 a_17925_19182# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X747 a_13035_12646# a_12497_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X748 a_5666_13024# a_4751_12652# a_5319_12620# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X749 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X750 vss a_13653_15884# a_13469_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X751 vdd a_6197_14252# a_7423_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X752 a_7711_18872# a_7493_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X753 vdd a_7687_10444# a_8830_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X754 a_11179_13440# a_8482_15752# a_11107_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X755 a_8734_17694# a_8830_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X756 a_8134_13190# a_7908_13236# a_7765_13342# vss sky130_fd_pr__nfet_01v8 ad=3.8675e+11p pd=3.79e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X757 a_4324_16998# a_4237_17240# a_3920_17130# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X758 a_9422_12076# a_9807_12076# a_9551_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X759 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X760 a_10431_14861# a_7477_12254# a_10217_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X761 vdd a_13946_11014# a_14121_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X762 vdd a_14323_21350# a_16088_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X763 a_11759_16696# a_11541_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X764 a_14022_11924# a_13601_10470# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X765 vss a_3899_9926# a_16955_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X766 a_12697_21350# a_12446_21466# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X767 vdd a_17098_13164# a_17365_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X768 vss a_8734_17694# a_4388_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X769 a_6477_14796# a_6599_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X770 vss a_10741_19148# a_10672_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X771 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X772 vss a_7821_15910# a_9815_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X773 a_15260_20262# a_14541_20504# a_14697_20236# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X774 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X775 a_13417_15616# a_13545_15340# a_13499_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X776 a_11078_9900# a_11257_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X777 a_16445_19174# a_16355_19406# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X778 a_16801_12468# a_16177_12102# a_16693_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X779 a_17332_18844# a_17182_18996# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X780 n6n ctl6n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X781 a_8734_17694# a_8830_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X782 a_6948_13440# a_6918_13342# a_6876_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X783 a_16552_17364# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X784 a_12268_16820# a_11191_16454# a_12106_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X785 vdd a_6025_11790# a_4401_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X786 a_4571_12102# a_4401_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X787 a_7398_18630# a_7239_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X788 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X789 vss a_6485_16214# a_6419_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X790 a_4351_11014# a_4307_11256# a_4185_11014# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X791 a_13323_16704# a_13293_16639# a_12909_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X792 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X793 a_7335_15412# a_6319_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X794 a_15041_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X795 vdd a_3717_16972# a_3665_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X796 a_11078_9900# a_11257_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X797 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X798 a_10426_16428# a_12501_15372# vss vss sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X799 a_10383_20806# a_9025_22027# a_9949_20958# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X800 vdd a_9930_18782# a_9025_22027# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X801 a_4864_15732# a_4145_15508# a_4301_15603# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X802 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X803 a_8134_13190# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X804 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X805 a_10429_20780# a_12281_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X806 a_16531_17542# a_16445_19174# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X807 vdd a_13565_16428# a_8025_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X808 a_15007_20628# a_14628_20262# a_14935_20628# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X809 a_11965_11341# a_11301_10470# a_11893_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X810 vss a_14121_10988# a_14055_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X811 a_15472_17114# a_14381_18060# a_15390_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X812 a_13654_14278# a_12943_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X813 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X814 result2 a_3534_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X815 ctl4p a_7621_21358# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X816 a_9200_21350# a_9074_21466# a_8796_21482# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X817 vss a_10176_19718# a_10282_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X818 a_9263_13734# a_9086_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X819 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X820 a_7129_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X821 a_12267_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X822 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X823 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X824 vdd a_14054_17908# a_14624_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X825 a_14879_15366# a_14889_18086# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X826 a_9705_10774# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X827 a_16543_11532# a_16325_11936# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X828 vdd a_6701_12254# a_6701_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X829 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X830 vdd a_3564_14278# a_103126_24878# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X831 trimb1 a_17925_19182# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X832 vdd a_3899_9926# a_3625_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X833 a_5027_10476# a_4861_10476# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X834 a_16733_13190# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X835 vss a_5921_13355# a_3713_12628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X836 a_6661_21324# a_6817_21568# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X837 a_11073_11179# a_8433_12282# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X838 vss a_11361_18390# a_11295_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X839 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X840 ctl8n a_15126_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X841 a_5925_18604# a_4488_16606# a_6143_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X842 vss a_5221_18060# a_5152_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X843 vss a_16434_14912# a_16733_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X844 vdd ctl8p n8p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X845 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X846 vss a_5197_11790# a_3897_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X847 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X848 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X849 vss a_6661_21324# a_6426_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X850 a_13670_12102# a_12589_12102# a_13323_12344# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X851 vdd a_7865_10988# a_7852_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X852 a_14245_18406# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X853 vdd a_6089_14430# a_6701_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X854 a_10268_19306# a_10546_19290# a_10502_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X855 a_15521_17542# a_14245_18406# a_14931_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X856 a_9639_10848# a_8449_10476# a_9530_10848# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X857 a_6007_16276# a_5963_15884# a_5841_16288# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X858 a_10188_15910# clk vss vss sky130_fd_pr__nfet_01v8 ad=2.352e+11p pd=2.8e+06u as=0p ps=0u w=420000u l=150000u
+X859 a_4089_11014# a_3739_11014# a_3994_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X860 vss a_4954_17516# a_5129_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X861 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X862 a_12654_10444# a_12681_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X863 vdd a_16543_11532# a_16433_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X864 a_3843_17542# a_3665_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X865 vss a_3899_9926# a_13367_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X866 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X867 vss a_17365_20262# a_17925_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X868 vdd a_17925_21358# trimb2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X869 vdd a_11186_18464# a_11361_18390# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X870 vss a_4270_14796# result9 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X871 vss a_3693_11558# a_3835_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X872 a_9611_18782# a_9025_22027# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X873 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X874 vss a_3707_17690# a_3665_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X875 a_14631_17296# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X876 vdd a_12273_19148# a_12221_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X877 a_14931_15518# a_14834_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X878 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X879 a_7398_10444# a_6595_10470# a_7527_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X880 a_13643_11014# a_13599_11256# a_13477_11014# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X881 vss a_6043_13734# a_7104_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X882 vdd a_15126_9900# ctl8n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X883 vss ctl1n n1n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X884 a_4295_11558# a_4118_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X885 vdd a_3899_9926# a_14021_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X886 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X887 a_6043_13734# a_5565_14038# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X888 vss a_15611_14278# a_17925_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X889 n3n ctl3n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X890 a_3713_18068# a_7129_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X891 a_16802_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X892 vss a_17149_10444# a_14975_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X893 vdd a_13005_16972# a_13545_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X894 a_3651_13734# a_3481_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X895 a_12641_17230# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X896 a_17835_17318# a_15887_19174# a_17050_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X897 vdd a_14849_21324# a_4725_15892# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X898 a_4677_14528# a_4805_14252# a_4759_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X899 n0p ctl0p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X900 a_16909_16704# a_16824_16606# a_16691_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X901 a_12583_16276# a_12313_15910# a_12498_15910# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X902 a_11076_15518# a_11172_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X903 a_5371_11896# a_5305_11790# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X904 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X905 vdd a_11237_21350# a_11379_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X906 vss a_8233_18604# a_8167_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X907 a_11556_10602# a_11834_10586# a_11790_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X908 a_13545_15340# a_13005_16972# a_14699_12966# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X909 vss a_9021_20504# a_8982_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X910 vdd a_11851_20236# a_11741_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X911 a_6227_19406# a_3713_18068# a_6390_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X912 a_16815_13440# a_16434_14912# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X913 a_14027_22046# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X914 a_12198_20640# a_11283_20268# a_11851_20236# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X915 vss a_12765_19955# a_12696_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X916 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X917 vss a_11353_10444# a_11301_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X918 a_16789_14278# a_16343_14278# a_16693_14278# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X919 vdd a_5392_19174# a_5498_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X920 a_10883_18452# a_10839_18060# a_10717_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X921 a_14090_15910# a_13653_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X922 vdd a_8498_11790# a_6701_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X923 vdd a_17258_14278# a_17433_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X924 vss a_12281_16428# a_12215_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X925 a_3828_15618# a_4106_15634# a_4062_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X926 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X927 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X928 a_15549_11014# a_15298_11264# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X929 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X930 a_10153_20242# a_12957_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X931 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X932 a_9073_10470# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X933 vdd a_14682_11936# a_14857_11862# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X934 a_17433_12076# a_17258_12102# a_17612_12102# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X935 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X936 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X937 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X938 a_7821_15910# a_7570_16026# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X939 vss a_5067_14423# a_5023_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X940 vdd a_6197_14252# a_8484_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X941 a_8869_21868# a_9025_22027# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X942 a_9177_20236# a_8982_20378# a_9487_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X943 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X944 a_3994_21868# a_3843_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X945 a_10365_17542# a_10092_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X946 vdd a_5159_20951# a_4851_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X947 vss a_7273_20948# a_7234_21074# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X948 a_7310_17114# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X949 a_12391_11014# a_11965_11341# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X950 a_3534_12620# a_3713_12628# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X951 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X952 vdd a_17141_19148# a_16717_18099# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X953 a_3994_10444# a_4173_10452# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X954 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X955 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X956 a_17727_13342# a_13839_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X958 vss a_3994_21868# ctl1p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X959 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X960 vdd a_17365_19718# a_17925_20270# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X961 a_7908_13236# a_7878_13210# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X962 vss a_9611_18782# a_9424_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X963 vss a_3899_9926# a_7387_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X964 vdd a_17925_21894# ctl0p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X965 a_16445_19174# a_16355_19406# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X966 a_6485_17542# a_6039_17542# a_6389_17542# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X967 a_16773_17364# a_9807_12076# a_16410_17230# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X968 a_6025_11790# a_6253_11574# a_6199_11896# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X969 a_10217_15188# a_9963_14861# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X970 a_16815_15616# a_16784_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X971 a_14024_12102# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X972 vss a_13565_16428# a_8025_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X973 a_8980_16998# a_8803_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X974 vdd a_12326_16142# a_16177_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X975 vdd a_14975_12254# a_16721_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X976 a_9470_18318# a_8830_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X977 a_5650_16276# a_5533_16081# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X978 a_104073_8108# a_103126_7692# vss vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X979 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X980 a_4656_21482# a_4973_21592# a_4931_21716# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X981 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X982 a_16325_11936# a_15975_11564# a_16230_11924# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X983 a_10095_21056# a_9025_22027# a_9949_20958# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X984 vdd a_10153_20242# a_11117_20268# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X985 a_7755_18630# a_7711_18872# a_7589_18630# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X986 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X987 vss a_13565_16428# a_13511_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X988 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X989 a_13565_16428# a_17333_15884# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X990 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X991 a_12843_12966# a_12769_12872# a_12497_12646# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X992 a_11803_16454# a_11759_16696# a_11637_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X993 a_5473_10848# a_5027_10476# a_5377_10848# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X994 a_6039_17542# a_5873_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X995 vdd a_6197_14252# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X996 a_8497_12878# a_8770_12878# a_8728_13006# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X997 a_15763_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X998 vss a_11025_18630# a_11304_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X999 a_9631_11014# a_9454_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1000 vdd en a_3516_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1001 a_5921_13355# a_6013_13164# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1002 vss a_16913_21894# ctl7p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1003 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1004 vss a_6017_16972# a_5965_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1005 a_8957_11597# a_8706_11826# a_8498_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1006 vdd a_15041_14038# a_7477_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1007 vdd a_14297_19692# a_14245_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1008 a_13403_20806# a_13233_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1009 vdd a_6595_10470# a_8339_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.297e+11p ps=3.25e+06u w=420000u l=150000u
+X1010 vss a_16802_18604# a_16736_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1011 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1012 vdd a_12498_15910# a_12765_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1013 a_8497_12878# a_8770_12878# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1014 vss ctl6n n6n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1015 a_13499_15616# a_12589_16998# a_13417_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1016 vss a_7134_13164# a_8677_15346# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1017 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1018 n8n ctl8n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1019 a_5735_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1020 a_7151_20582# a_5159_20951# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1021 a_11633_20640# a_11283_20268# a_11538_20628# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1022 vss a_6701_20806# a_6926_19968# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1023 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1024 vss a_8482_15752# a_8313_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1025 a_13955_15366# a_13417_15616# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1026 a_9414_21350# a_9200_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1027 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1028 vdd a_8980_16998# a_9086_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1029 a_8967_15910# a_5067_14423# vss vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X1030 n5p ctl5p vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1031 a_5841_12950# a_5666_13024# a_6020_13012# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1032 a_11446_20806# a_11025_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1033 vdd vss ndn vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1034 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1035 vdd a_14021_20236# a_3713_21332# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1036 a_15280_11166# a_17065_11862# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1037 a_10095_21056# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1038 vdd a_9928_13734# a_10431_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1039 a_12704_19494# a_9247_18060# a_12409_19494# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1040 a_3843_17542# a_3665_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1041 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1042 vdd a_4145_13332# a_4106_13458# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1043 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1044 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1045 vdd a_6848_14252# a_5067_14423# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1046 vdd a_4388_16606# a_5925_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1047 a_7352_14861# a_7306_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1048 a_9886_15616# a_7791_15366# a_9543_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=4.15e+11p pd=2.83e+06u as=6.55e+11p ps=5.31e+06u w=1e+06u l=150000u
+X1049 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1050 vdd a_5277_21868# a_11257_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1051 a_12198_20640# a_11117_20268# a_11851_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1052 a_14682_11936# a_13601_11564# a_14335_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1053 vss a_11345_12620# a_11291_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1054 vdd a_9247_18060# a_15033_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1055 a_12944_16998# a_12909_17230# a_12641_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.05e+11p pd=2.61e+06u as=0p ps=0u w=1e+06u l=150000u
+X1056 vdd clkc a_23521_16136# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X1057 a_10426_16428# a_12501_15372# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.75e+11p pd=2.55e+06u as=0p ps=0u w=1e+06u l=150000u
+X1058 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1059 vdd a_12106_16454# a_12281_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1060 a_16347_10702# a_12769_12872# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1061 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1062 vss a_9286_15054# a_7799_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1063 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1064 vss ctl0n n0n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1065 vss a_8684_15054# a_8633_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1066 a_9579_21716# a_9200_21350# a_9507_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1067 vdd a_5277_19692# a_5873_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1068 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1069 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1070 a_7522_15372# a_7335_15412# a_7435_15630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X1071 n1p ctl1p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1072 a_9530_10848# a_8615_10476# a_9183_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1073 vdd a_3534_21324# result7 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1074 trim3 a_15533_10478# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1075 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1076 vss a_3899_9926# a_3955_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1077 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1078 a_104073_7792# vdd a_103126_7850# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1079 a_7611_13012# a_6043_13734# a_7529_12759# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1080 vss ctl3p n3p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1081 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1082 n1p ctl1p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1083 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1084 vdd a_8868_18318# a_8817_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1085 a_7335_15412# a_6319_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1086 a_16598_12102# a_16361_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1087 a_13105_12102# a_12589_12102# a_13010_12102# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1088 a_17847_18086# a_17050_16428# a_17141_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1089 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1090 vss a_4453_17516# a_4401_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1091 vss a_3717_16972# a_3665_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1092 vdd a_3534_15884# result1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1093 ctl6n a_11998_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1094 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1095 a_12592_10470# a_11873_10712# a_12029_10444# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X1096 a_5955_19968# a_4769_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X1097 a_14204_17756# a_14054_17908# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1098 a_7821_15910# a_7570_16026# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1099 vdd a_17628_14822# a_17734_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1100 a_4197_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1101 vss a_4821_20236# a_4769_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1102 a_9378_19406# a_7821_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1103 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1104 a_8339_12468# a_8343_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1105 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1106 a_11280_14530# a_11558_14546# a_11514_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1107 a_14750_21172# a_14536_21172# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1108 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1109 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1110 a_7233_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1111 a_11025_22144# a_9025_22027# a_11107_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1112 vdd a_7821_19174# a_9680_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1113 a_11051_19540# a_10672_19174# a_10979_19540# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1114 a_6139_12102# a_6051_12254# a_5305_11790# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1115 a_6197_14252# a_7104_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1116 a_8607_9900# a_8706_11826# a_8764_10176# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X1117 a_6969_12646# a_6939_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1118 a_7865_10988# a_7690_11014# a_8044_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1119 a_15210_12102# a_15280_11166# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X1120 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1121 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1122 vss a_3899_9926# a_11315_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1123 vinp a_103126_24720# vp vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1124 a_17635_11014# a_17458_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1125 ctl8n a_15126_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1126 a_14021_20236# a_14224_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1127 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1128 a_7308_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1129 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1130 vss a_13746_15054# a_15210_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1131 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1132 a_14167_20806# a_14132_21058# a_13929_20780# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1133 a_15305_9900# a_13687_21582# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1134 vss a_12498_15910# a_12765_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1135 vdd a_16445_20262# a_16729_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1136 vss a_7398_10444# a_6253_11574# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X1137 a_13545_15340# a_12909_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1138 a_15611_14278# a_15441_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1139 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1140 a_17166_14112# a_16251_13740# a_16819_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1141 a_5277_21868# a_9680_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1142 result4 a_3534_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1143 vdd a_7711_18872# a_7601_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1144 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1145 a_16343_14278# a_16177_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1146 vss a_4973_21592# a_4934_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1147 a_4759_14528# a_3573_13190# a_4677_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1148 vss a_17050_16428# a_17739_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1149 a_24604_20196# trimb3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1150 a_10717_18464# a_10271_18092# a_10621_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1151 vss a_12395_15412# a_12501_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.226e+11p ps=2.74e+06u w=420000u l=150000u
+X1152 a_9705_10774# a_9530_10848# a_9884_10836# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1153 vdd a_5129_21324# a_5060_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1154 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1155 a_14054_17908# a_13049_17542# a_13978_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=0p ps=0u w=420000u l=150000u
+X1156 a_13565_16428# a_17333_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1157 vdd a_6569_15340# a_6599_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1158 ctl9p a_17005_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1159 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1160 n9p ctl9p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1161 vss a_9113_21592# a_9074_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1162 trimb4 a_17925_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1163 vdd a_14866_14112# a_15041_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1164 vdd a_14202_17230# a_13833_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1165 a_10203_13440# a_6197_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X1166 vss a_14123_21868# a_17005_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1167 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1168 vss a_6939_12620# a_9737_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1169 vss a_11569_13190# a_12843_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1170 vdd a_9928_13734# a_12037_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1171 a_17028_15366# a_9632_12646# a_16733_15366# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1172 vdd a_5197_11790# a_3897_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X1173 a_5129_12102# a_4954_17516# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1174 vdd ctl1n n1n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1175 a_6319_12646# a_5841_12950# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1176 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1177 a_8704_20394# a_8982_20378# a_8938_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1178 vss a_14937_18318# a_14889_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1179 vdd a_9348_11014# a_9454_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1180 a_7125_11014# a_6609_11014# a_7030_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1181 vdd a_16413_12620# a_16361_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1182 vss a_4301_19955# a_4232_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X1183 vdd a_3568_11166# a_3573_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1184 vss a_16409_16129# a_14943_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1185 a_13403_17542# a_13366_17696# vss vss sky130_fd_pr__nfet_01v8 ad=1.87e+11p pd=1.93e+06u as=0p ps=0u w=640000u l=150000u
+X1186 vdd a_3534_16428# result2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1187 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1188 a_14541_20504# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1189 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1190 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1191 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1192 a_15036_11924# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1193 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1194 a_10543_19540# a_10065_19148# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1195 a_16717_18099# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1196 a_11257_9900# a_5277_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1197 vdd a_3568_11166# a_6609_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1198 a_4074_19290# a_3665_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1199 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1200 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1201 vdd a_3899_9926# a_13653_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1202 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1203 vdd a_13967_14520# a_13857_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1204 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1205 vdd a_9530_10848# a_9705_10774# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1206 a_7005_20494# a_4401_21350# a_7151_20582# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X1207 vdd a_17925_19182# trimb1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1208 vss a_3805_12267# a_3757_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1209 vss a_8967_15054# a_8780_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1210 a_5221_18060# a_5026_18202# a_5531_18452# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1211 vdd a_7134_13164# a_8677_15346# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1212 a_14975_12254# a_17149_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1213 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1214 a_5595_10444# a_5377_10848# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1215 vss a_7591_10444# a_10183_11166# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1216 a_14335_11532# a_14117_11936# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1217 vss a_4012_11558# a_4118_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1218 a_4270_14796# a_4449_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1219 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1220 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1221 a_13003_19718# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1222 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1223 a_14323_21350# a_13732_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1224 a_14202_17230# a_14381_18060# a_14344_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1225 a_5008_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1226 ndp vdd vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1227 vdd a_6043_13734# a_7529_12759# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X1228 a_8058_18630# a_7143_18630# a_7711_18872# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1229 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1230 a_14699_12966# a_12909_17230# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1231 a_4589_17542# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1232 a_5963_15884# a_5745_16288# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1233 a_12273_19148# a_11237_21350# a_12704_19494# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X1234 a_14631_17296# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1235 vss a_13469_16606# a_13293_16639# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1236 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1237 a_13732_21350# a_9247_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X1238 vdd a_8776_19406# a_8725_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1239 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1240 a_14866_14112# a_13951_13740# a_14519_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1241 a_4103_19718# a_3625_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1242 vdd a_9348_13190# a_9454_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1243 a_16946_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1244 vss a_12909_17230# a_12859_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.705e+11p ps=3.74e+06u w=650000u l=150000u
+X1245 a_12106_16454# a_11191_16454# a_11759_16696# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1246 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1247 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1248 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1249 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1250 a_11839_21350# a_11662_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1251 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1252 a_14937_18318# a_14834_16606# a_15171_18452# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1253 vss a_3899_9926# a_11591_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1254 vdd a_5595_10444# a_5485_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1255 vss a_17925_21894# ctl0p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1256 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1257 a_11107_22144# a_11297_22046# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X1258 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1259 a_9928_13734# a_6197_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1260 vss a_16914_12620# a_17365_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1261 a_16445_20262# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1262 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1263 vss a_4926_19967# a_4864_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1264 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1265 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1266 vdd a_13845_12076# a_13832_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1267 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1268 a_10397_21894# a_10146_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1269 a_7477_12254# a_15041_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1270 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1271 a_12186_11014# a_11301_10470# a_11965_11341# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1272 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1273 a_7821_19174# a_7570_19290# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1274 a_3625_19692# a_3828_19970# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1275 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1276 result2 a_3534_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1277 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1278 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1279 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1280 a_4446_16454# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1281 vdd a_12200_11558# a_12306_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1282 a_9348_13190# a_9171_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1283 a_11191_20806# a_11025_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1284 vdd a_4401_17542# a_4956_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1285 a_5101_13024# a_4585_12652# a_5006_13012# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1286 a_7429_21043# a_7273_20948# a_7574_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1287 a_6199_11896# a_5305_11790# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1288 a_13687_21582# a_14245_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1289 vdd clk a_10188_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1290 a_7883_12646# a_7529_12759# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1291 a_4825_14112# a_4475_13740# a_4730_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1292 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1293 vss a_7147_17230# a_7069_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1294 vdd a_9632_12646# a_13601_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1295 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1296 a_13856_16042# a_14134_16026# a_14090_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1297 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1298 vss a_11849_12254# a_15298_11264# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1299 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1300 a_9417_15518# a_3573_13190# a_9657_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1301 vss trim1 a_23750_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X1302 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1303 a_16644_18125# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1304 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1305 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1306 a_8058_18630# a_6977_18630# a_7711_18872# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X1307 a_5067_14423# a_6848_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1308 vdd a_16729_9926# ctl9n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1309 vss a_17925_19182# trimb1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1310 a_7591_10444# a_8852_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1312 a_5006_13012# a_4889_12817# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1313 a_11753_14515# a_11558_14546# a_12063_14278# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1314 trimb0 a_17925_20270# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1315 a_16710_18354# a_17752_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1316 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1317 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1318 a_14224_20394# a_14541_20504# a_14499_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1319 vdd a_3899_9926# a_12910_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1320 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1321 a_13749_14278# a_13399_14278# a_13654_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1322 vss a_15441_18782# a_15441_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1323 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1324 vdd a_9247_18060# a_11077_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1325 a_9928_14054# a_6918_13342# a_10118_14054# vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=5.135e+11p ps=5.48e+06u w=650000u l=150000u
+X1326 vdd a_17182_17908# a_17752_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1327 a_5390_14112# a_4309_13740# a_5043_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1328 a_14162_17908# a_13215_17542# a_14054_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1329 a_15028_13734# a_13951_13740# a_14866_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1330 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1331 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1332 a_8220_18996# a_7143_18630# a_8058_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1333 vdd a_13674_17516# a_13584_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X1334 vss ctl1p n1p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1335 a_13499_15366# a_12589_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1336 vdd a_4954_17516# a_5873_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1337 a_12115_12102# a_11577_12352# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1338 vdd a_12281_20780# a_12268_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1339 vdd a_5098_21868# ctl5p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1340 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1341 vss a_8497_12878# a_6013_13164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1342 a_8313_15518# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1343 a_7210_15054# a_5867_15142# a_7352_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1344 vss a_12326_16142# a_12589_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1345 a_14828_13440# a_13865_14835# a_14746_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1346 vdd a_3573_19718# a_4156_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1347 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1348 vdd a_13670_12102# a_13845_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1349 a_5873_19968# a_4897_20780# a_5955_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1350 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1351 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1352 vdd a_7306_15054# a_8230_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1353 a_4539_13190# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1354 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1355 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1356 a_4089_11014# a_3573_11014# a_3994_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1357 a_7439_20582# a_4897_20780# a_7005_20494# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1358 vss a_8980_16998# a_9086_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1359 a_10095_20806# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1360 a_9949_20958# a_10429_20780# a_10095_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1361 a_14605_21043# a_14449_20948# a_14750_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1362 a_4062_20084# a_3625_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1363 vss a_8062_16454# a_8484_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1364 a_14449_20948# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1365 vdd a_13653_15884# a_13469_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1366 a_4538_16998# a_4324_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1367 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1368 a_5377_10848# a_5027_10476# a_5282_10836# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1369 vdd a_6877_11558# a_7069_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1370 a_16736_17542# a_16343_17542# a_16626_17542# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1371 a_17182_18996# a_16177_18630# a_17106_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1372 a_9071_21716# a_8593_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1373 a_7151_20262# a_4897_20780# a_7005_20494# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1374 a_7591_10444# a_8852_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1375 a_14857_11862# a_14682_11936# a_15036_11924# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1376 trimb2 a_17925_21358# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1377 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1378 a_5209_12646# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1379 vdd a_11849_12254# a_15380_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1380 vdd a_3713_14252# a_12927_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=700000u l=150000u
+X1381 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1382 vss a_11077_14252# a_6939_12620# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1383 vss a_9894_21324# a_9832_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1384 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1385 a_16710_18354# a_17752_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1386 vdd a_4145_15508# a_4106_15634# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1387 vdd a_4401_12254# a_4401_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1388 vdd a_8133_19692# a_11107_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1389 a_6973_18312# a_8233_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1390 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1391 a_7398_10444# a_7687_10444# a_7621_10790# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X1392 vdd a_4388_16606# a_5925_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1393 vdd a_4393_16972# a_4324_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1394 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1395 vss a_12769_12872# a_14063_10790# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1396 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1397 a_12583_15616# a_12395_15412# a_12501_15372# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1398 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1399 vss a_11455_15340# a_12501_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1400 a_12804_22144# a_4725_15892# a_12722_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1401 vss a_14631_17296# a_14565_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1402 vdd a_8967_15054# a_8780_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1403 a_8965_10848# a_8449_10476# a_8870_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1404 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1405 trimb3 a_17925_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1406 vdd a_11025_18630# a_11304_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1407 a_6599_15366# a_6569_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1408 a_13367_12102# a_13323_12344# a_13201_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1409 vss a_3899_9926# a_6007_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1410 vdd a_3568_11166# a_5229_15916# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1411 a_8433_12282# a_9705_10774# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1412 a_15369_21592# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1413 trim1 a_17925_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1414 a_13845_12076# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1415 a_4145_13332# a_3568_11166# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1416 a_7352_15188# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1417 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1418 a_4954_17516# a_4896_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1419 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1420 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1421 a_7360_21172# a_7273_20948# a_6956_21058# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1422 a_14224_20394# a_14502_20378# a_14458_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1423 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1424 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1425 vss a_14173_16152# a_14134_16026# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1426 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1427 a_7151_20262# a_5159_20951# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1428 vss a_3568_11166# a_6609_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1429 vdd a_103126_7850# w_102926_7434# w_102926_7434# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=5.16e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1430 vdd a_5921_14796# a_11349_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1431 vss a_6426_18604# a_7797_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1432 vdd a_4301_19955# a_4232_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1433 a_16251_13740# a_16085_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1434 vdd a_14541_20504# a_14502_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1435 a_14078_17542# a_13498_17542# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1436 vss a_11345_12620# a_11304_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1437 a_8497_12878# a_7573_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1438 vss a_8017_19870# a_7989_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1439 clkc a_20220_14335# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1440 vdd a_3994_21868# ctl1p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1441 a_11025_22144# a_9025_22027# a_11107_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1442 a_10979_19540# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1443 a_8062_16454# a_7821_15910# a_7887_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=5.95e+11p ps=5.19e+06u w=1e+06u l=150000u
+X1444 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1445 a_5305_11790# a_6051_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1446 a_13653_15884# a_13856_16042# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1447 a_9463_12966# a_6969_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1448 vdd a_15533_10478# trim3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1449 a_6595_10470# a_6117_10774# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1450 vdd a_12654_10444# a_12592_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1451 a_7239_18086# a_6701_18086# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1452 vdd a_16911_12344# a_16801_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1453 a_3828_13442# a_4145_13332# a_4103_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1454 vdd a_12391_11014# a_12681_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1455 vss ctl3n n3n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1456 vdd a_14857_11862# a_14844_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1457 a_12115_12102# a_11577_12352# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1458 vss a_10328_16606# a_12583_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1459 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1460 a_5197_11790# a_5307_11014# a_5371_11896# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1461 vss a_12326_16142# a_16177_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1462 a_10526_18452# a_10365_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1463 a_6848_14252# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1464 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1465 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1466 a_16890_11936# a_15809_11564# a_16543_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1467 vdd a_13403_20806# a_16913_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1468 a_9263_13734# a_9086_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1469 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1470 vss a_3899_9926# a_16863_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1471 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1472 a_7134_13164# a_8484_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1473 a_5963_15884# a_5745_16288# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1474 vss a_16445_20262# a_16729_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1475 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1476 vss ctl0p n0p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1477 n8p ctl8p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1478 a_15611_18630# a_15441_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1479 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1480 a_3920_17130# a_4237_17240# a_4195_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1481 vdd a_9611_14430# a_9424_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1482 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1483 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1484 vdd a_9417_15518# a_8176_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.85e+11p ps=2.57e+06u w=1e+06u l=150000u
+X1485 a_17098_13164# a_17132_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1486 a_8640_11597# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1487 a_10303_19540# a_10268_19306# a_10065_19148# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1488 vss a_11597_14420# a_11558_14546# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1489 vss a_16691_16428# a_14834_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1490 a_6793_13190# a_6918_13342# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1491 a_4921_14112# a_4475_13740# a_4825_14112# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X1492 vdd a_9113_21592# a_9074_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1493 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1494 a_4197_11380# a_3573_11014# a_4089_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1495 vdd a_6319_12646# a_7529_12759# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1496 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1497 vss a_15887_19174# a_17617_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1498 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1499 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1500 a_7387_11014# a_7343_11256# a_7221_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1501 vdd cal a_3481_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1502 a_12281_20780# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1503 vss a_11237_21350# a_12177_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1504 a_7273_20948# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1505 vss a_4449_14804# a_15169_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1506 vdd clk a_10188_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1507 vss a_16784_15518# a_17727_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1508 vdd a_16506_17230# a_16909_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1509 vss a_3899_9926# a_16955_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1510 a_5841_16288# a_5395_15916# a_5745_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1511 vdd a_7069_9926# ctl3n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1512 a_5027_10476# a_4861_10476# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1513 a_15041_14038# a_14866_14112# a_15220_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1514 ctl1p a_3994_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1515 vdd a_3534_18604# result4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1516 a_12696_20084# a_12570_19986# a_12292_19970# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1517 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1518 a_14346_22046# a_14442_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1519 vdd a_10429_20780# a_12528_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1520 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1521 result5 a_3534_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1522 vss comp a_15717_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1523 a_17290_18996# a_16343_18630# a_17182_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1524 a_14022_11924# a_13601_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1525 a_4851_20806# a_4401_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1526 a_17697_18318# a_17050_16428# a_18095_18406# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X1527 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1528 a_10183_11166# a_7687_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1529 vss a_5754_21324# a_5692_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1530 vdd a_17333_15884# a_13565_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1531 a_14300_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1532 a_9921_11878# a_7687_10444# a_9827_11878# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.08e+11p ps=1.94e+06u w=650000u l=150000u
+X1533 a_9949_20958# a_8541_21350# a_10095_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1534 a_3713_14252# a_9928_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1535 vss a_8176_13342# a_8134_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1536 a_17244_11924# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1537 vss a_5841_12950# a_5775_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1538 a_16343_17542# a_16177_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1539 a_17333_15884# a_15887_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1540 result0 a_3534_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1541 a_11487_19718# a_9025_22027# a_11053_19870# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X1542 a_13293_16639# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1543 vss a_17333_15884# a_13565_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1544 ctl9n a_16729_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1545 vdd a_7134_13164# a_8803_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1546 a_3994_21868# a_3843_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1547 vdd a_5065_18328# a_5026_18202# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1548 a_4446_15732# a_4232_15732# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1549 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1550 a_10176_19718# a_9999_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1551 a_13654_9900# a_13685_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1552 a_16543_11532# a_16325_11936# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1553 a_14442_21868# a_16218_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1554 vss a_5925_16428# a_5533_16081# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1555 vss a_3899_9926# a_6651_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1556 vss a_6117_10774# a_6051_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1557 a_13489_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1558 a_3739_11014# a_3573_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1559 vdd a_9286_15054# a_7799_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1560 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1561 vss a_3568_11166# a_4861_10476# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1562 a_14661_17037# a_14381_18060# a_14202_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1563 a_6775_11014# a_6609_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1564 a_13872_13440# a_11705_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1565 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1566 vss a_3899_9926# a_11895_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1567 vdd a_16410_17230# a_16355_19406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1568 ctl9n a_16729_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1569 trim1 a_17925_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1570 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1571 vdd a_3899_9926# a_5274_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1572 vss a_16597_13164# a_16481_14491# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1573 vss a_3564_14278# a_103126_24878# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1574 a_5307_11014# a_4829_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1575 a_12268_21172# a_11191_20806# a_12106_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1576 a_16502_18318# a_16717_18099# a_16644_18125# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1577 vdd a_17727_13342# a_15441_14430# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X1578 ctl5p a_5098_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1579 vdd a_19955_17079# comp vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1580 vss a_13629_12878# a_13601_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1581 vss a_10153_20242# a_11025_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1582 a_14507_18630# a_14337_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1583 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1584 a_17332_17756# a_17182_17908# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1585 vss ctl8n n8n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1586 vss a_3568_11166# a_3573_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1587 vss a_4301_15603# a_4232_15732# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X1588 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1589 n5n ctl5n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1590 a_7529_12759# a_6969_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1591 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1592 a_7573_15188# a_5867_15142# a_7210_15054# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1593 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1594 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1595 vss a_11873_10712# a_11834_10586# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1596 a_3805_12267# a_3897_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1597 a_15298_11264# a_15280_11166# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1598 a_14117_11936# a_13601_11564# a_14022_11924# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1599 n3p ctl3p vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1600 vss a_3899_9926# a_14318_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X1601 a_7030_11014# a_6871_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1602 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1603 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1604 a_8640_11924# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1605 a_17258_12102# a_16177_12102# a_16911_12344# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1606 a_8739_20628# a_8704_20394# a_8501_20236# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1607 vss a_3899_9926# a_14379_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1608 a_11021_13734# a_7669_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=4.1e+11p pd=2.82e+06u as=0p ps=0u w=1e+06u l=150000u
+X1609 a_16433_11558# a_15809_11564# a_16325_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1610 a_15328_12352# a_13746_15054# a_15020_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1611 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1612 a_9507_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1613 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1614 result6 a_3534_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1615 a_4800_18880# a_3573_15366# a_4718_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1616 vdd a_3693_11558# a_3835_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1617 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1618 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1619 vss trim2 a_24177_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=300000u
+X1620 a_13818_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1621 a_3534_18604# a_3713_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1622 a_8412_18630# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1623 vdd a_8927_11856# a_8957_11597# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1624 a_12460_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1625 a_8539_15616# a_8482_15752# a_8448_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=9.03e+10p pd=1.27e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1626 vss a_16552_10560# a_16347_10702# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1627 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1628 a_5639_10836# a_5595_10444# a_5473_10848# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1629 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1630 a_16691_16428# a_16824_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X1631 vdd a_3899_9926# a_3625_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1632 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1633 a_12313_15910# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1634 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1635 a_17420_12468# a_16343_12102# a_17258_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1636 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1637 a_8870_10836# a_8541_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1638 vdd vdd ndp vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1639 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1640 a_6871_12102# a_6701_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1641 a_11053_19870# a_11297_22046# a_11199_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X1642 a_12273_19148# a_11237_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1643 a_4103_15366# a_3625_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1644 a_4546_15884# a_4725_15892# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1645 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1646 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1647 a_11633_20640# a_11117_20268# a_11538_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1648 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1649 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1650 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1651 vss a_9470_18318# a_9247_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1652 a_11873_10712# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1653 vss a_7591_10444# a_9171_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1654 vdd a_15369_21592# a_15330_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1655 a_11741_20262# a_11117_20268# a_11633_20640# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1656 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1657 a_10228_22144# a_3713_20780# a_10146_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1658 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1659 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1660 vss a_4847_16454# a_4864_15732# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1661 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1662 vdd a_11529_13734# a_12316_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1663 n4p ctl4p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1664 a_10153_20242# a_12957_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1665 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1666 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1667 vss a_14449_20948# a_14410_21074# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1668 a_9470_18318# a_8830_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1669 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1670 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1671 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1672 a_8868_18318# a_8964_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1673 a_6017_16972# a_4488_16606# a_6235_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1674 a_14458_20262# a_14021_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1675 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1676 a_13749_14278# a_13233_14278# a_13654_14278# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1677 a_13323_16704# a_13469_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1678 vdd a_9269_21324# a_9200_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1679 a_17433_14252# a_17258_14278# a_17612_14278# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1680 a_14246_17542# a_13049_17542# a_14054_17908# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1681 vdd a_17925_20270# trimb0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1682 a_10459_19718# a_10282_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1683 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1684 a_9632_12646# a_9513_15518# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1685 vdd a_12326_16142# a_16085_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1686 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1687 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1688 a_10271_18092# a_10105_18092# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1689 a_9328_18782# a_9424_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1690 a_4677_14528# a_4805_14252# a_4759_14278# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1691 vss a_12326_16142# a_12865_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1692 a_11107_22144# a_10050_17694# a_11025_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1693 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1694 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1695 a_6918_13342# a_8024_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1696 vdd a_8233_18604# a_8220_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1697 vss a_9611_14430# a_9424_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1698 vss a_8593_21324# a_8541_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1699 vss a_9328_18782# a_9277_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1700 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1701 a_16506_14100# a_16389_13905# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1702 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1703 a_4973_21592# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1704 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1705 a_9269_21324# a_9113_21592# a_9414_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1706 vdd a_16506_17230# a_17847_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1707 vdd a_11170_14796# a_7306_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1708 a_11923_12102# a_11849_12254# a_11577_12352# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X1709 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1710 vss a_6319_12646# a_8024_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1711 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1712 a_12501_15372# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1713 a_4931_21716# a_4453_21324# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1714 a_5459_18452# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1715 trim3 a_15533_10478# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1716 vss a_12326_16142# a_13233_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1717 vss a_17005_20806# ctl9p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1718 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1719 a_9113_21592# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1720 vdd a_10176_19718# a_10282_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1721 vss a_17925_19718# trimb4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1722 a_10741_19148# a_10585_19416# a_10886_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1723 a_14997_13190# a_14746_13440# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1724 vdd a_12326_16142# a_13233_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1725 a_3828_19970# a_4106_19986# a_4062_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1726 a_9417_15518# a_7883_12646# a_9886_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1727 n0n ctl0n vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1728 a_12497_12646# a_11705_12076# a_12579_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1729 vss a_8980_13734# a_9086_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1730 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1731 vss a_6197_14252# a_8484_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1732 a_5043_13708# a_4825_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1733 vdd a_11873_10712# a_11834_10586# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1734 a_3863_19718# a_3828_19970# a_3625_19692# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1735 vdd a_6143_20806# a_6877_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1736 a_17367_12102# a_16177_12102# a_17258_12102# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1737 a_7600_13734# a_7423_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1738 a_12373_20566# a_12198_20640# a_12552_20628# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1739 ctl7n a_13654_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1740 a_11849_12254# a_13845_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1741 vdd a_7621_21358# ctl4p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1742 vss a_17182_18996# a_17752_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1743 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1744 a_9447_20806# a_8909_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1745 a_10217_14861# a_7477_12254# a_10217_15188# vss sky130_fd_pr__nfet_01v8 ad=1.995e+11p pd=1.79e+06u as=0p ps=0u w=420000u l=150000u
+X1746 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1747 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1748 a_10268_19306# a_10585_19416# a_10543_19540# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1749 a_13608_17542# a_13215_17542# a_13498_17542# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=1.44e+11p ps=1.52e+06u w=360000u l=150000u
+X1750 a_7797_11558# a_6426_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1751 vdd a_11078_9900# ctl5n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1752 a_10459_19718# a_10282_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1753 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1754 a_4145_15508# a_3568_11166# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1755 vss a_8677_15346# a_8313_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1756 a_14442_21868# a_16218_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1757 vss a_5277_21868# a_11257_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1758 a_10285_16704# a_10426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X1759 a_9328_14430# a_9424_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1760 vss a_11073_11179# a_7687_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1761 a_8647_13006# a_7573_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X1762 a_6122_20236# a_5873_19968# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1763 a_17149_10444# a_15549_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1764 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1765 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1766 a_5209_12646# a_4585_12652# a_5101_13024# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1767 a_5565_14038# a_5390_14112# a_5744_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1768 vdd a_16561_14822# a_17132_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1769 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1770 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1771 a_8967_15054# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1772 vss a_13929_20780# a_4449_14804# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1773 ctl3n a_7069_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1774 vss a_3899_9926# a_3863_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1775 a_14301_14112# a_13785_13740# a_14206_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1776 a_13075_19718# a_12696_20084# a_13003_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1777 vdd a_11361_18390# a_11348_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1778 a_8498_11790# a_7883_12646# a_8640_11597# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1779 a_6227_19406# a_4401_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1780 a_12491_19174# a_3713_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1781 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1782 a_4926_13439# a_4677_14528# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1783 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1784 a_12029_10444# a_11873_10712# a_12174_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1785 vdd a_3899_9926# a_5366_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1786 vdd a_17925_20806# trimb3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1787 a_9631_11014# a_9454_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1788 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1789 a_14297_19692# a_13403_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1790 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1791 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1792 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1793 a_5395_15916# a_5229_15916# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1794 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1795 a_15171_18452# a_14245_18406# a_15099_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1796 a_7573_12254# a_8852_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1797 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1798 a_7239_18086# a_6701_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1799 vdd a_14943_14796# a_12897_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1800 vdd a_15033_21868# a_14981_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1801 vdd a_17925_15366# trim1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1802 vss a_9348_11014# a_9454_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1803 a_5319_12620# a_5101_13024# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1804 a_4325_19174# a_4074_19290# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1805 a_4232_20084# a_4106_19986# a_3828_19970# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1806 a_19955_17079# comp vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1807 a_16914_12620# a_16856_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1808 vss a_8343_11014# a_8852_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1809 a_8861_11924# a_7883_12646# a_8498_11790# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1810 vdd a_7134_13164# a_8770_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X1811 vss a_4954_17516# a_5873_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1812 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1813 vdd a_8541_21350# a_10095_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1814 vdd a_7069_16606# a_7069_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1815 vdd a_3573_15366# a_4564_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1816 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1817 n3p ctl3p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1818 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1819 trimb4 a_17925_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1820 vdd a_16931_18384# a_16961_18125# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1821 a_11849_12254# a_13845_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1822 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1823 a_4195_17364# a_3717_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1824 vss a_13955_15366# a_14892_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1825 a_6991_20806# a_6956_21058# a_6753_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1826 vdd a_3899_9926# a_4538_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1827 a_7878_13210# a_8813_16820# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1828 a_8830_9900# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1829 a_3534_16428# a_3665_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1830 vss a_6701_20806# a_7439_20582# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1831 a_14109_14861# a_12927_13734# a_13650_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1832 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1833 vdd a_16802_17516# a_16712_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X1834 a_12177_9900# a_11237_21350# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1835 vss a_9378_19406# a_8133_19692# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1836 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1837 vss a_14573_16428# a_13005_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1838 a_9632_12646# a_6969_12646# a_9546_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1839 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1840 vdd a_3899_9926# a_4821_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1841 vss a_8776_19406# a_8725_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1842 a_9611_18782# a_9025_22027# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1843 vss a_3534_16428# result2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1844 vdd a_17365_20262# a_17925_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1845 vdd a_6948_13440# a_10473_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1846 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1847 a_4295_11558# a_4118_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1848 a_3693_11558# a_3516_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1849 vss a_16721_19718# a_17925_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1850 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1851 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1852 a_22891_16254# clkc vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1853 vdd a_6197_14252# a_6848_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1854 a_12567_19718# a_12089_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1855 vdd a_14708_16606# a_14709_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1856 n3n ctl3n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1857 a_23986_12170# trim0 vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X1858 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1859 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1860 ctl8p a_17005_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1861 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1862 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1863 a_5942_10848# a_4861_10476# a_5595_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1864 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1865 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1866 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1867 vss a_10153_20242# a_16177_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1868 vss a_8132_17694# a_8081_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1869 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1870 vdd a_5159_20951# a_5955_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1871 vss a_12391_11014# a_12681_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1872 vss a_14931_15518# a_14879_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1873 a_11965_11341# a_10083_11532# a_11965_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1874 a_12326_16142# a_12825_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1875 vdd a_3843_17542# a_4173_10452# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1876 result3 a_3534_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1877 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1878 vdd a_11678_15518# a_11455_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1879 a_12897_13708# a_14943_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1880 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1881 a_8831_21716# a_8796_21482# a_8593_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1882 a_17182_18996# a_16343_18630# a_17206_18630# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1883 a_11649_16820# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1884 vss a_4546_15884# result8 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1885 vss a_14857_11862# a_14791_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1886 a_9113_21592# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1887 a_8233_18604# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1888 vss a_8501_20236# a_7694_19264# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1889 vdd a_12106_20806# a_12281_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1890 a_13201_12102# a_12755_12102# a_13105_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1891 a_5879_14822# a_5775_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X1892 a_24604_12170# trim3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1893 vss a_13650_15054# a_12773_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1894 vss a_3568_11166# a_5229_15916# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1895 a_10118_14054# a_6918_13342# a_9928_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1896 vss a_7791_15366# a_8903_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.008e+11p ps=1.32e+06u w=420000u l=150000u
+X1897 a_14792_16454# a_14708_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1898 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1899 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1900 vdd a_5307_11014# a_8173_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1901 a_8869_21868# a_9025_22027# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1902 a_7883_12646# a_7529_12759# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1903 a_103126_24720# vdd a_104073_24820# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X1904 a_4926_19967# a_4769_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1905 a_11199_19968# a_9025_22027# a_11053_19870# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1906 a_19955_15979# clkc vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1907 vss a_11569_13190# a_11923_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1908 vss a_6939_12620# a_6969_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1909 vdd a_3534_20236# result5 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1910 a_16712_17908# a_16177_17542# a_16626_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1911 a_12755_12102# a_12589_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1912 a_14515_19968# a_3713_21332# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1913 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1914 vss a_11345_12620# a_11019_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1915 a_16597_13164# a_13746_15054# a_16815_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1916 a_11237_21350# a_11060_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1917 vdd a_11345_12620# a_3713_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1918 a_14605_21043# a_14410_21074# a_14915_20806# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1919 a_6356_18630# a_4388_16606# a_6061_18630# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1920 vss a_14381_18060# a_14327_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1921 a_16644_18452# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1922 vss a_3713_14804# a_6061_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1923 a_16409_16129# a_14997_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1924 vdd a_3534_14796# result0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1925 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1926 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1927 a_7992_21172# a_7234_21074# a_7429_21043# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1928 a_13650_15054# a_12927_13734# a_13792_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1929 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1930 a_8796_21482# a_9113_21592# a_9071_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1931 vdd a_4847_16454# a_4864_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1932 vss a_4145_13332# a_4106_13458# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1933 a_4933_13734# a_4309_13740# a_4825_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1934 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1935 a_13215_17542# a_13049_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1936 vdd a_3843_17542# a_4256_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1937 a_15087_21716# a_15052_21482# a_14849_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1938 vss a_17925_21358# trimb2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1939 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1940 a_7134_13164# a_8484_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1941 vss a_12697_21350# a_13233_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1942 a_11361_18390# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1943 a_15435_17792# a_14834_16606# a_14931_15518# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.45e+11p pd=5.09e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1944 a_16230_11924# a_15611_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1945 a_5159_20951# a_12181_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1946 vss a_17341_14038# a_17275_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1947 a_14079_15120# a_13746_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1948 a_14728_19718# a_9247_18060# a_14433_19718# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1949 vdd a_5277_19692# a_10105_18092# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1950 a_8433_12282# a_9705_10774# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1951 a_5059_20628# a_5024_20394# a_4821_20236# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1952 vdd a_4546_15884# result8 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1953 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1954 a_8967_15054# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1955 result1 a_3534_15884# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1956 a_4301_13427# a_4106_13458# a_4611_13190# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1957 a_9447_20806# a_8909_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1958 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1959 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1960 a_14536_21172# a_14449_20948# a_14132_21058# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1961 a_12292_19970# a_12570_19986# a_12526_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1962 vdd a_10067_16428# a_10015_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1963 a_16325_11936# a_15809_11564# a_16230_11924# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1964 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1965 a_16413_12620# a_16914_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1966 a_4545_18060# a_4748_18218# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1967 vdd a_8830_9900# a_8764_10176# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1968 a_13857_14644# a_13233_14278# a_13749_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1969 a_13685_19174# a_13403_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1970 a_16597_15340# a_13746_15054# a_16815_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1971 a_11199_19968# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1972 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1973 vdd a_16890_11936# a_17065_11862# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1974 a_10775_11896# a_7883_12646# a_10689_11896# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1975 vdd a_14245_18406# a_15435_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1976 vdd a_5963_15884# a_5853_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1977 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1978 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1979 vdd a_14975_12254# a_15533_10478# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1980 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1981 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1982 trim0 a_17925_12654# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1983 a_7221_11014# a_6775_11014# a_7125_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1984 a_14417_17011# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=0p ps=0u w=700000u l=150000u
+X1985 a_16392_14938# a_7477_12254# a_16310_14938# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1986 a_9183_10444# a_8965_10848# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1987 vss a_14849_21324# a_4725_15892# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1988 a_14499_20628# a_14021_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1989 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1990 a_3534_15884# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1991 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1992 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1993 vdd a_14245_19718# a_15260_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1994 a_8415_17694# a_4388_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1995 a_11541_16454# a_11025_16454# a_11446_16454# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1996 vdd ctl9n n9n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1997 a_11538_20628# a_11421_20433# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1998 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1999 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2000 a_16601_14112# a_16251_13740# a_16506_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2001 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2002 vss a_14123_21868# a_16445_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2003 a_11659_12102# a_11301_10470# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X2004 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2005 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2006 a_11753_14515# a_11597_14420# a_11898_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2007 a_6783_18086# a_6973_18312# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X2008 vss a_8415_17694# a_8228_17516# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2009 a_11446_16454# a_10015_16454# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2010 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2011 a_6877_11558# a_6143_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2012 a_14173_16152# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2013 a_14987_15616# a_14931_15518# a_14417_17011# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2014 ctl4p a_7621_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2015 a_15168_21172# a_14410_21074# a_14605_21043# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2016 a_6775_11014# a_6609_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2017 vdd a_3534_20780# result6 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2018 a_5784_18086# a_5065_18328# a_5221_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2019 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2020 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2021 a_5485_10470# a_4861_10476# a_5377_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2022 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X2023 vss a_6569_15340# a_6599_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2024 a_13775_10790# a_11569_13190# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2025 n5n ctl5n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2026 a_3693_11558# a_3516_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2027 a_12697_21350# a_12446_21466# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2028 vss a_17365_19718# a_17925_20270# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2029 ctl5n a_11078_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2030 vss a_9807_12076# a_9422_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2031 a_23521_16372# vp a_22891_16254# vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2032 vdd a_9183_10444# a_9073_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2033 a_4173_10452# a_3843_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2034 a_7147_17230# a_3713_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2035 a_8062_16454# a_8025_16606# a_7959_16454# vss sky130_fd_pr__nfet_01v8 ad=2.1125e+11p pd=1.95e+06u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X2036 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2037 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2038 vdd a_7639_15120# a_7669_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2039 vdd a_17433_12076# a_17420_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2040 vss a_3625_13164# a_3573_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2041 a_16863_14100# a_16819_13708# a_16697_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2042 a_4691_21716# a_4656_21482# a_4453_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2043 vss a_9928_13734# a_9963_14861# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2044 a_11729_20640# a_11283_20268# a_11633_20640# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2045 a_11348_18086# a_10271_18092# a_11186_18464# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2046 vss a_7669_12076# a_7627_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X2047 vdd a_9928_13734# a_9963_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2048 vdd a_6477_14796# a_3568_11166# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2049 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2050 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2051 a_9263_16998# a_9086_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2052 a_7179_14511# a_6319_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2053 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2054 a_10741_19148# a_10546_19290# a_11051_19540# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2055 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2056 a_7574_21172# a_7360_21172# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2057 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2058 vss a_12373_20566# a_12307_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X2059 vdd a_3899_9926# a_12089_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2060 vss a_4401_17542# a_4956_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2061 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2062 vss a_3899_9926# a_8831_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2063 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2064 a_13865_14835# a_14489_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2065 a_9061_15910# a_5067_14423# a_8967_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.2e+11p ps=2.64e+06u w=1e+06u l=150000u
+X2066 vss vss ndn vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2067 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2068 vdd a_11077_18604# a_11025_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2069 a_4393_16972# a_4237_17240# a_4538_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2070 vss a_11569_13190# a_12186_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2071 a_16802_18604# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2072 ctl2n a_5873_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2073 vss a_5129_21324# a_5060_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2074 a_11191_20806# a_11025_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2075 a_7711_18872# a_7493_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2076 a_16802_18604# a_16626_18630# a_16946_18630# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2077 vdd a_13565_16428# a_13323_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2078 a_11597_14420# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2079 a_12897_13708# a_14943_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2080 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2081 a_13498_17542# a_13049_17542# a_13403_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2082 vss ctl8p n8p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2083 a_9378_19406# a_7821_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2084 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2085 vss a_5565_14038# a_5499_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2086 a_14842_20262# a_14628_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2087 a_8132_17694# a_8228_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2088 a_8776_19406# a_8872_19148# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2089 a_5307_11014# a_4829_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2090 vss a_15441_14430# a_15441_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2091 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2092 vdd a_17149_10444# a_14975_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2093 a_5098_21868# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2094 vdd a_8415_17694# a_8228_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2095 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X2096 a_18095_18406# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2097 a_9551_12352# a_7477_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2098 vdd a_7069_21894# ctl3p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2099 vdd a_16721_19718# a_17925_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2100 vss a_12221_19174# a_13328_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2101 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2102 a_4821_20236# a_5024_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2103 a_6039_17542# a_5873_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2104 a_5745_16288# a_5395_15916# a_5650_16276# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2105 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2106 a_16631_12646# a_16552_10560# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X2107 a_14628_20262# a_14541_20504# a_14224_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2108 vss a_3899_9926# a_9227_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2109 vss a_7573_12254# a_9171_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2110 vdd a_3899_9926# a_8501_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2111 vdd a_9328_18782# a_9277_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2112 a_8868_18318# a_8964_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2113 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2114 vdd a_7069_16454# a_6426_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2115 vss a_11529_13734# a_12316_14644# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2116 a_13328_20084# a_12609_19860# a_12765_19955# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2117 vdd a_15441_18782# a_15441_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2118 a_11170_14796# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=3.5425e+11p pd=3.69e+06u as=0p ps=0u w=650000u l=150000u
+X2119 a_16865_18452# a_16717_18099# a_16502_18318# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X2120 a_9550_15366# a_9513_15518# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2121 vdd a_10429_20780# a_11199_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2122 a_5925_18604# a_6426_18604# a_6356_18630# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2123 a_9183_10444# a_8965_10848# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2124 a_12316_14644# a_11597_14420# a_11753_14515# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2125 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2126 a_10188_15910# clk vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2127 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2128 a_17911_14822# a_17734_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2129 a_3625_13164# a_3828_13442# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2130 a_11199_19718# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2131 vss en a_3516_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2132 a_13399_14278# a_13233_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2133 result9 a_4270_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2134 vdd a_9930_14430# a_6089_14430# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2135 vdd a_3899_9926# a_9414_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2136 vdd a_7134_13164# a_7887_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2137 vdd a_19955_17707# a_19981_17649# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X2138 ctl5n a_11078_9900# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2139 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2140 a_11895_20628# a_11851_20236# a_11729_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2141 a_17446_18630# a_17332_18844# a_17374_18630# vss sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2142 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X2143 a_16994_16454# a_16506_17230# a_16691_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2144 vdd a_13654_9900# ctl7n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2145 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2146 a_6310_16288# a_5229_15916# a_5963_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2147 vdd a_14173_16152# a_14134_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2148 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2149 a_16844_12966# a_9632_12646# a_16549_12966# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2150 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2151 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2152 a_14297_19692# a_13403_20806# a_14728_19718# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2153 a_13381_11014# a_13031_11014# a_13286_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2154 a_10118_14054# a_9737_13734# a_9928_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2155 vdd a_3899_9926# a_4453_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2156 a_5341_20504# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2157 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2158 a_4185_11014# a_3739_11014# a_4089_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2159 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2160 vss a_17925_15366# trim1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2161 a_12327_19718# a_12292_19970# a_12089_19692# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2162 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2163 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2164 vss a_3899_9926# a_4783_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2165 vdd a_8062_16454# a_8484_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2166 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2167 a_9328_18782# a_9424_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2168 vss a_16802_17516# a_16736_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2169 a_8991_21056# a_7694_19264# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X2170 vss a_4393_16972# a_4324_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2171 a_16626_17542# a_16343_17542# a_16531_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.499e+11p ps=2.35e+06u w=420000u l=150000u
+X2172 a_3863_15366# a_3828_15618# a_3625_15340# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2173 a_15525_21324# a_15369_21592# a_15670_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2174 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2175 a_3739_11014# a_3573_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2176 a_5341_20504# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2177 a_11508_18630# a_9247_18060# a_11213_18630# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2178 a_19981_16059# a_19955_15979# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X2179 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2180 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2181 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2182 vss a_3899_9926# a_5363_13012# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2183 vss a_7791_15366# a_9417_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2184 a_14915_20806# a_14536_21172# a_14843_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2185 a_12769_12872# a_14121_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2186 a_4654_11014# a_3573_11014# a_4307_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2187 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2188 a_12927_13734# a_3713_14252# a_12773_14054# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2189 vss a_9059_19406# a_8872_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2190 vss a_6595_10470# a_7398_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2191 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2192 vdd a_13650_15054# a_12773_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2193 vss ctl5n n5n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2194 a_24177_12170# trim2 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2195 n7n ctl7n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2196 a_13293_16639# a_13469_16606# a_13679_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2197 vss a_11019_13342# a_11025_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2198 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2199 a_17433_12076# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2200 vdd a_17925_19718# trimb4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2201 a_14879_15366# a_11455_15340# a_14417_17011# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2202 a_19955_17707# a_19955_15979# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=300000u
+X2203 a_13629_10702# a_13839_10444# a_13775_10790# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2204 a_4062_13556# a_3625_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2205 result8 a_4546_15884# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2206 vdd a_4307_11256# a_4197_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2207 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2208 n6p ctl6p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2209 vdd a_4401_21350# a_7151_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2210 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2211 result7 a_3534_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2212 a_9263_16998# a_9086_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2213 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2214 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2215 vdd a_14337_18782# a_14337_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2216 a_4488_16606# a_8484_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2217 result8 a_4546_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2218 vdd a_7343_11256# a_7233_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2219 a_13035_12646# a_12497_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2220 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2221 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2222 a_16506_17230# a_17752_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2223 a_9631_13190# a_9454_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2224 vss a_14245_19718# a_15260_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2225 a_12526_20084# a_12089_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2226 vss a_12973_21894# a_14245_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2227 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2228 a_13822_21670# a_13687_21582# a_13732_21350# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2229 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2230 vss a_3899_9926# a_8739_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2231 vdd a_11237_21350# a_12177_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2232 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2233 a_4232_15732# a_4106_15634# a_3828_15618# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2234 ctl2n a_5873_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2235 vss a_9348_13190# a_9454_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2236 a_12973_21894# a_12722_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2237 vdd a_10050_17694# a_16300_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2238 a_16721_19718# a_14975_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2239 a_4546_15884# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2240 vss a_6918_13342# a_8852_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2241 a_15435_17792# a_14708_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2242 w_102926_24462# a_103126_24720# vdd w_102926_24462# sky130_fd_pr__pfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X2243 vdd a_17005_21358# ctl8p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2244 a_8776_19406# a_8872_19148# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2245 a_14327_18406# a_13565_16428# a_14245_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2246 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2247 vdd a_8501_20236# a_7694_19264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2248 vdd a_5925_16428# a_5533_16081# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2249 a_13477_11014# a_13031_11014# a_13381_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2250 vdd rstn a_3563_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X2251 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2252 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2253 vdd a_3534_18060# result3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2254 a_4301_15603# a_4145_15508# a_4446_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2255 a_4730_14100# a_4613_13905# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2256 vdd a_8058_18630# a_8233_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2257 vss a_15033_21868# a_14981_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2258 a_8443_12102# a_8173_12102# a_8339_12468# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2259 a_4730_14100# a_4613_13905# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2260 vdd a_6426_18604# a_7621_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2261 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2262 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2263 a_16413_12620# a_13746_15054# a_16631_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2264 a_16697_14112# a_16251_13740# a_16601_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2265 a_12609_19860# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2266 a_5159_20951# a_12181_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2267 a_11851_20236# a_11633_20640# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2268 a_6020_13012# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2269 vn a_103126_7850# vinn vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X2270 a_5060_21350# a_4934_21466# a_4656_21482# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2271 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2272 a_12483_11558# a_12306_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2273 a_8339_12468# a_8173_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2274 vdd a_5390_14112# a_5565_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2275 a_10429_20780# a_12281_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2276 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2277 a_4453_17516# a_4488_16606# a_4671_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2278 ctl4n a_9645_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2279 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2280 a_8017_19870# a_7694_19264# a_8163_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X2281 vdd ctl3n n3n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2282 a_3994_11014# a_3757_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2283 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2284 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2285 a_16839_17296# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2286 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2287 a_3568_11166# a_6477_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2288 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2289 a_13654_14278# a_12943_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2290 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2291 a_5955_19718# a_3573_19718# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2292 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2293 a_16561_14822# a_16310_14938# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2294 a_8615_10476# a_8449_10476# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2295 a_10346_11264# a_7687_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X2296 a_11053_19870# a_10429_20780# a_11199_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2297 vdd a_4453_21324# a_4401_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2298 vss a_15533_10478# trim3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2299 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2300 a_6296_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X2301 a_16693_12102# a_16177_12102# a_16598_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X2302 a_16693_14278# a_16343_14278# a_16598_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2303 a_13399_14278# a_13233_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2304 a_13775_10470# a_11705_12076# a_13629_10702# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2305 vdd a_12765_19955# a_12696_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2306 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2307 vdd a_13955_15366# a_14892_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2308 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X2309 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2310 a_4890_21350# a_4453_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2312 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2313 a_10617_16972# a_6599_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2314 a_13891_16276# a_13856_16042# a_13653_15884# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2315 vdd a_8343_11014# a_8852_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2316 a_16598_12102# a_16361_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2317 a_14697_20236# a_14541_20504# a_14842_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2318 vdd a_7791_15366# a_8813_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X2319 a_15456_21350# a_15369_21592# a_15052_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2320 vdd a_10153_20242# a_11025_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2321 a_17925_10078# a_6426_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2322 a_16413_12620# a_16914_12620# a_16844_12966# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2323 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2324 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2325 a_7125_11014# a_6775_11014# a_7030_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2326 a_10967_11558# a_10689_11896# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=4.75e+11p pd=2.95e+06u as=0p ps=0u w=1e+06u l=150000u
+X2327 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2328 vss a_6969_12646# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2329 vdd a_12181_18604# a_5159_20951# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2330 a_3955_17364# a_3920_17130# a_3717_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2331 a_8903_16454# a_8633_16820# a_8813_16820# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2332 vss a_14975_12254# a_15533_10478# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2333 a_14975_12254# a_17149_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2334 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2335 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2336 a_5282_10836# a_4571_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2337 vss a_11237_21350# a_11379_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2338 a_7739_20806# a_7360_21172# a_7667_20806# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2339 a_6599_15366# a_6569_15340# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2340 a_13832_12468# a_12755_12102# a_13670_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2341 ctl3p a_7069_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2342 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2343 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2344 a_14997_13190# a_14746_13440# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2345 a_10672_19174# a_10585_19416# a_10268_19306# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2346 a_9815_17230# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2347 vdd a_16347_10702# a_16315_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2348 a_11345_12620# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2349 vss trimb3 a_24604_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2350 vdd a_3899_9926# a_4545_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2351 a_8501_20236# a_8704_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2352 a_7589_18630# a_7143_18630# a_7493_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2353 vss a_11705_12076# a_13956_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X2354 a_11637_20806# a_11191_20806# a_11541_20806# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2355 a_6426_16428# a_7069_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2356 a_11170_14796# a_7799_16606# a_11390_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2357 vss a_3713_18068# a_6227_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2358 a_13775_12646# a_11705_12076# a_13629_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2359 a_9827_11878# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2360 ctl6p a_16177_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2361 a_16088_21350# a_15330_21466# a_15525_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2362 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2363 a_23521_16372# clkc vdd vdd sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2364 a_11077_18604# a_5277_21868# a_11508_18630# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2365 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2366 a_13856_16042# a_14173_16152# a_14131_16276# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2367 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2368 vdd a_6918_13342# a_8852_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2369 a_9832_21350# a_9074_21466# a_9269_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X2370 a_7887_16704# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2371 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2372 a_3534_12620# a_3713_12628# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2373 a_4446_20084# a_4232_20084# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2374 a_13763_15366# a_13469_16606# a_13417_15616# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X2375 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2376 vss a_10426_16428# a_10370_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2377 a_11304_19174# a_10546_19290# a_10741_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2378 a_9930_14430# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2379 a_5024_20394# a_5302_20378# a_5258_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2380 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2381 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2382 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2383 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2384 a_14932_16428# a_14245_18406# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2385 a_12211_13440# a_7669_12076# a_8482_15752# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2386 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2387 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2388 vdd a_12641_17230# a_12589_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X2389 a_14708_16606# a_15390_17114# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2390 a_11960_10470# a_11873_10712# a_11556_10602# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2391 a_13031_11014# a_12865_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2392 a_4453_21324# a_4656_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2393 a_5428_20262# a_5302_20378# a_5024_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2394 vdd a_5341_20504# a_5302_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2395 a_15169_21894# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2396 a_16347_10702# a_16552_10560# a_16510_10586# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2397 vdd a_10967_11558# a_11704_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2398 a_7222_14278# a_7179_14511# a_7150_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2399 a_12973_21894# a_12722_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2400 vss a_11360_18604# a_13922_21670# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2401 a_13922_21670# a_9247_18060# a_13822_21670# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2402 a_4232_15732# a_4145_15508# a_3828_15618# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2403 vdd a_6918_13342# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2404 vss a_14202_17230# a_13833_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2405 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2406 a_13746_15054# a_13789_13440# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2407 a_7179_14511# a_6319_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2408 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2409 a_14213_11936# a_13767_11564# a_14117_11936# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2410 a_13865_14835# a_14489_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2411 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2412 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2413 vss a_14605_21043# a_14536_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2414 a_10050_17694# a_11361_18390# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2415 a_17141_19148# a_17697_18318# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2416 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2417 vss trim3 a_24604_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2418 a_9269_21324# a_9074_21466# a_9579_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2419 a_17206_18630# a_16626_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2420 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2421 vdd ctl4n n4n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2422 a_17352_11014# a_17175_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2423 vdd a_9513_15518# a_9632_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2424 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2425 a_11021_14054# a_10083_11532# a_11021_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X2426 vss a_7989_19718# a_7992_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2427 a_4748_18218# a_5026_18202# a_4982_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2428 a_12592_10470# a_11834_10586# a_12029_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2429 a_4611_13190# a_4232_13556# a_4539_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2430 vdd a_5965_20806# a_6143_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2431 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2432 vss a_103126_24878# a_104073_24504# vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X2433 vss a_6725_15499# a_11853_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X2434 vdd ctl5n n5n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2435 vdd a_16315_10470# a_16856_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2436 a_8728_13006# a_6969_12646# a_8647_13006# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2437 a_13679_16704# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2438 vss a_12825_14796# a_12326_16142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2439 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2440 ndn vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2441 vss a_17352_11014# a_17458_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2442 a_5666_13024# a_4585_12652# a_5319_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2443 vss ctl6p n6p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2444 vdd a_4821_20236# a_4769_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2445 vdd a_17332_17756# a_17290_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2446 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2447 a_11541_16454# a_11191_16454# a_11446_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2448 a_13951_13740# a_13785_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2449 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2450 a_16410_17230# a_11455_15340# a_16552_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2451 a_10438_15188# a_7791_15366# a_10217_14861# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2452 a_9328_14430# a_9424_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2453 a_6701_18086# a_4897_20780# a_6783_18406# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X2454 a_7878_13210# a_8813_16820# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2455 a_5395_15916# a_5229_15916# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2456 a_12391_11014# a_11965_11341# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2457 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2458 a_9928_13734# a_9737_13734# a_10118_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2459 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2460 n1n ctl1n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2461 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2462 a_16506_17230# a_17752_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2463 vdd a_5319_12620# a_5209_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2464 vss a_11556_21350# a_11662_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2465 a_16597_15340# a_15611_14278# a_17028_15366# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2466 a_3564_14278# a_3534_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2467 vss a_9328_14430# a_9277_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2468 a_12177_9900# a_11237_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2469 vdd a_12326_16142# a_13601_11564# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2470 a_10967_11558# a_10689_11896# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X2471 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2472 vdd a_7591_10444# a_9171_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2473 a_6047_14528# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2474 vss a_9928_13734# a_12037_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X2475 vss a_17141_19148# a_17087_19494# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2476 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2477 a_13839_10444# a_14857_11862# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2478 a_13845_14278# a_13399_14278# a_13749_14278# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2479 a_15110_12102# a_14975_12254# a_15020_12102# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2480 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2481 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2482 a_13031_11014# a_12865_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2483 a_9698_11532# a_10083_11532# a_9827_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X2484 vss a_8498_11790# a_6701_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2485 vdd a_3899_9926# a_3625_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2486 vdd a_4270_14796# result9 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2487 a_9546_12646# a_6969_12646# a_9632_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2488 a_11107_13440# a_11019_13342# a_11025_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2489 vss a_5341_20504# a_5302_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2490 a_10886_19174# a_10672_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2491 a_13498_17542# a_13215_17542# a_13403_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=2.499e+11p ps=2.35e+06u w=420000u l=150000u
+X2492 a_12106_16454# a_11025_16454# a_11759_16696# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2493 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2494 a_17332_18844# a_17182_18996# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X2495 vss a_19955_17079# comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=400000u
+X2496 vdd a_14943_14796# a_15533_14830# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2497 a_4631_17364# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2498 vdd a_4545_18060# a_3713_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2499 a_4145_13332# a_3568_11166# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2500 a_20220_14335# a_14507_18630# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X2501 vdd a_9815_17230# a_8830_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2502 a_17052_11558# a_15975_11564# a_16890_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2503 a_8612_15616# a_5867_15142# a_8539_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2504 a_10933_14054# a_10083_11532# a_11021_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.665e+11p ps=2.12e+06u w=650000u l=150000u
+X2505 vdd a_6007_20954# a_5965_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2506 a_14173_16152# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2507 a_17367_14278# a_16177_14278# a_17258_14278# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2508 a_4982_18086# a_4545_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2509 a_16819_13708# a_16601_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2510 vss a_5497_20236# a_5428_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2511 a_10839_18060# a_10621_18464# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2512 vss a_6043_13734# a_7594_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2513 trimb0 a_17925_20270# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2514 vdd a_11853_16998# a_12031_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2515 vdd a_7799_16606# a_9543_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2516 vdd a_12221_19174# a_13328_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2517 a_10271_18092# a_10105_18092# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2518 a_14937_18318# a_14834_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X2519 a_6876_13440# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2520 vdd a_12609_19860# a_12570_19986# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2521 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2522 vss a_3534_15884# result1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2523 a_14449_20948# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2524 vdd a_7573_12254# a_9171_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2525 vdd a_16409_16129# a_14943_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2526 ctl1n a_3994_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2527 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2528 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2529 vss a_13403_20806# a_13685_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2530 a_5775_15054# a_10217_14861# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2532 a_11556_21350# a_11379_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2533 vss a_7669_12076# a_10775_11896# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2534 vss a_17433_12076# a_17367_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2535 a_5439_21716# a_5060_21350# a_5367_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2536 a_4851_21056# a_4401_21350# a_4769_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2537 vdd a_9151_18318# a_8964_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2538 a_14381_18060# a_14624_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2539 a_17333_15884# a_15887_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2540 a_11556_10602# a_11873_10712# a_11831_10836# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2541 a_11991_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X2542 a_9348_11014# a_9171_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2543 a_12360_20262# a_11283_20268# a_12198_20640# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2544 a_16909_16704# a_17050_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2545 vdd a_11076_15518# a_11025_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2546 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2547 a_12174_10470# a_11960_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2548 vss a_17925_12654# trim0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2549 a_14301_14112# a_13951_13740# a_14206_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2550 a_14844_11558# a_13767_11564# a_14682_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2551 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2552 a_5675_19174# a_5498_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2553 a_5006_13012# a_4889_12817# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2554 vss a_3899_9926# a_5059_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2555 vss a_13674_17516# a_13608_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2556 a_11893_11341# a_11711_11341# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2557 vdd a_14027_22046# a_13840_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2558 a_7063_17542# a_5873_17542# a_6954_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2559 a_6448_17318# a_4388_16606# a_6153_17318# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2560 a_14063_10790# a_11705_12076# a_13629_10702# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2561 vss a_8868_18318# a_8817_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2562 a_14260_15910# a_14134_16026# a_13856_16042# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2563 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2564 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2565 vdd a_6227_19406# a_6007_20954# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2566 vss a_9807_12076# a_13763_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2567 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2568 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2569 a_8980_13734# a_8803_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2570 vdd a_11705_12076# a_12023_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2571 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2572 a_6954_17542# a_6039_17542# a_6607_17784# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2573 a_12211_15142# a_6089_14430# a_11345_12620# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2574 a_5925_16428# a_6426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2575 vss a_7429_21043# a_7360_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2576 vdd a_17258_12102# a_17433_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2577 a_5129_21324# a_4934_21466# a_5439_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2578 a_3828_13442# a_4106_13458# a_4062_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2579 a_14346_22046# a_14442_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2580 a_9286_15054# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2581 a_7627_12102# a_7573_12254# a_7524_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X2582 a_15033_21868# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2583 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2584 a_14507_18630# a_14337_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2585 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2586 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2587 a_13744_22046# a_13840_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2588 vdd ctl6n n6n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2589 a_6389_17542# a_6039_17542# a_6294_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2590 vss a_6701_12254# a_6701_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2591 a_4173_10452# a_3843_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2592 vss a_3713_20780# a_12409_19494# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2593 a_7143_18630# a_6977_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2594 ndn vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2595 vdd a_4829_10988# a_4816_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2596 a_17727_13342# a_16784_15518# a_17890_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2597 vss a_14346_22046# a_14123_21868# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2598 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2599 vdd a_5925_18604# a_5846_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2600 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2601 comp a_19981_16059# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X2602 a_8965_10848# a_8615_10476# a_8870_10836# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2603 vss a_13744_22046# a_13693_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2604 vss a_6973_18312# a_7147_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2605 a_12326_16142# a_12825_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2606 a_6051_10848# a_4861_10476# a_5942_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2607 a_9611_14430# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2608 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2609 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2610 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2611 vdd a_14489_14252# a_14476_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2612 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2613 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2614 vss a_5098_21868# ctl5p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2615 a_11241_13734# a_11019_13342# a_11021_14054# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X2616 vss a_3899_9926# a_17446_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2617 a_11191_16454# a_11025_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2618 a_14519_13708# a_14301_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2619 a_9061_15910# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2620 a_11684_14644# a_11597_14420# a_11280_14530# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2621 vss a_8541_21350# a_10146_22144# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2622 vdd a_13005_16972# a_12944_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2623 vdd a_5873_9926# ctl2n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2624 n2n ctl2n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2625 a_5942_10848# a_5027_10476# a_5595_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2626 vdd a_3568_11166# a_4861_10476# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2627 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2628 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2629 vss a_5873_9926# ctl2n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2630 vdd a_16914_12620# a_17175_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2631 vss a_8313_15518# a_4805_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X2632 a_8764_10176# a_7687_10444# a_8607_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2633 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2634 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2635 a_16869_17037# a_11455_15340# a_16410_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X2636 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2637 a_16955_12102# a_16911_12344# a_16789_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2638 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2639 vdd a_10083_11532# a_11179_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2640 a_11021_14054# a_7669_12076# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2641 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2642 a_9923_17792# a_10050_17694# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2643 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2644 a_11556_21350# a_11379_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2645 a_7231_20806# a_6753_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2646 a_9740_20262# a_9021_20504# a_9177_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2647 vdd a_17098_13164# a_17925_12654# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2648 a_7129_17516# a_6954_17542# a_7308_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2649 a_4346_16454# a_3843_17542# a_4256_16454# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2650 a_11659_12352# a_11849_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2651 vdd a_9422_12076# a_8706_11826# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2652 vss a_3899_9926# a_14167_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2653 a_14329_15884# a_14173_16152# a_14474_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2654 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2655 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2656 a_12215_20806# a_11025_20806# a_12106_20806# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2657 a_9530_10848# a_8449_10476# a_9183_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2658 vdd a_15280_11166# a_15328_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2659 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2660 a_5258_20262# a_4821_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2661 a_4012_11558# a_3835_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2662 a_14857_11862# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2663 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2664 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2665 a_13946_11014# a_12865_11014# a_13599_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2666 a_4763_11014# a_3573_11014# a_4654_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2667 a_9227_10836# a_9183_10444# a_9061_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2668 a_12316_14644# a_11558_14546# a_11753_14515# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2669 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2670 a_4884_17542# a_4388_16606# a_4589_17542# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2671 vss a_16839_17296# a_16773_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2672 a_11307_14822# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2673 vss a_5129_12102# a_5873_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2674 a_12292_19970# a_12609_19860# a_12567_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2675 vdd a_3899_9926# a_4446_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2676 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2677 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2678 a_6390_19290# a_4401_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2679 vdd a_13599_11256# a_13489_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2680 vdd a_6253_11574# a_6025_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2681 a_9928_13734# a_6918_13342# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2682 a_13744_22046# a_13840_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2683 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2684 a_14668_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2685 vdd a_6753_20780# a_6701_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2686 a_6973_18312# a_8233_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2687 a_10397_21894# a_10146_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2688 a_14935_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2689 a_5775_15054# a_10217_14861# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2690 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2691 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2692 a_15210_12102# a_9632_12646# a_15110_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2693 vdd a_3899_9926# a_8593_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2694 vdd a_4325_19174# a_4896_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2695 a_17374_18630# a_16177_18630# a_17182_18996# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2696 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2697 vss a_3899_9926# a_14563_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2698 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2699 vdd a_4654_11014# a_4829_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2700 a_13629_10702# a_12769_12872# a_13775_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2701 vss a_10153_20242# a_13049_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2702 a_7765_13342# a_7908_13236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2703 a_17520_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2704 a_5197_11790# a_5305_11790# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2705 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2706 vdd a_9059_19406# a_8872_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2707 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2708 a_4656_21482# a_4934_21466# a_4890_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2709 vss a_10429_20780# a_12446_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2710 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2711 a_7429_12352# a_7573_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2712 a_5185_15041# a_5277_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2713 a_14344_17037# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X2714 a_11649_21172# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2715 a_6143_16704# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2716 a_6419_16288# a_5229_15916# a_6310_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2717 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2718 vdd a_8684_15054# a_8633_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2719 vdd a_8734_17694# a_4388_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2720 a_15251_22144# a_4449_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2721 a_4956_16998# a_4198_17114# a_4393_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2722 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2723 vss a_3899_9926# a_5087_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2724 a_16733_15366# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2725 a_19955_17079# comp vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=400000u
+X2726 vdd a_12326_16142# a_13785_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2727 a_6426_18604# a_6661_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2728 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2729 a_16502_18318# a_16710_18354# a_16644_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2730 a_4847_16454# a_4256_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2731 a_9157_16230# a_3693_11558# a_8967_15910# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X2732 vss a_16347_10702# a_16315_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2733 vss a_16784_15518# a_16733_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2734 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2735 vdd a_9513_15518# a_11345_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2736 a_16911_14520# a_16693_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2737 vdd a_16502_18318# a_15441_18782# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2738 a_5363_13012# a_5319_12620# a_5197_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2739 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2740 vss a_3899_9926# a_5639_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2741 a_16801_14644# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2742 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2743 vss a_9417_15518# a_8176_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2744 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2745 a_13105_12102# a_12755_12102# a_13010_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2746 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2747 a_13629_12878# a_13839_10444# a_13775_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2748 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2749 a_5853_15910# a_5229_15916# a_5745_16288# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2750 vdd a_3568_11166# a_4309_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2751 vdd a_16177_21894# ctl6p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2752 a_16445_20262# a_14123_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2753 a_12943_13190# a_12773_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2754 vdd a_14697_20236# a_14628_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2755 a_6017_16972# a_6143_20806# a_6448_17318# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2756 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2757 a_6043_13734# a_5565_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2758 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2759 a_8132_17694# a_8228_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2760 vss a_10617_16972# a_5277_19692# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2761 vdd a_17617_16606# a_16824_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X2762 a_9513_15518# a_6197_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2763 vdd a_4926_19967# a_4864_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2764 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2765 a_4864_13556# a_4145_13332# a_4301_13427# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X2766 vdd a_5067_14423# a_4759_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2767 vss a_3899_9926# a_4351_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2768 vdd a_13687_21582# a_17005_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2769 a_11295_18464# a_10105_18092# a_11186_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2770 a_11073_11179# a_8433_12282# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2771 vdd a_14975_12254# a_15020_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2772 vss a_16410_17230# a_16355_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2773 a_11898_14644# a_11684_14644# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2774 a_17617_16606# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2775 a_16421_11936# a_15975_11564# a_16325_11936# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2776 a_8796_21482# a_9074_21466# a_9030_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2777 a_11839_21350# a_11662_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2778 vdd clkc a_19955_17707# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2779 vss a_10083_11532# a_9698_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X2780 a_14423_14278# a_13233_14278# a_14314_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2781 a_13792_14861# a_13746_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X2782 vdd a_11569_13190# a_11659_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2783 vdd a_5159_20951# a_6783_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2784 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2785 vss a_7069_16606# a_7069_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2786 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2787 vss a_9705_10774# a_9639_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2788 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2789 vss a_3534_21324# result7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2790 a_9930_18782# a_7791_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2791 vdd a_14245_18406# a_14937_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2792 vss ctl7n n7n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2793 a_14260_15910# a_14173_16152# a_13856_16042# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2794 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2795 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2796 vdd a_4488_16606# a_8803_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2797 a_9073_10470# a_8449_10476# a_8965_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2798 vdd a_14449_20948# a_14410_21074# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2799 ctl9p a_17005_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2800 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2801 ctl2p a_5873_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2802 vss a_11853_16998# a_12031_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2803 a_11179_13440# a_11345_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2804 n4p ctl4p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2805 a_14040_21350# a_11360_18604# a_13732_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2806 a_17739_18406# a_17697_18318# a_17141_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2807 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2808 a_6569_15340# a_6725_15499# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2809 vss a_14975_12254# a_16721_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2810 a_3805_12267# a_3897_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2811 vdd a_11301_10470# a_14828_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2812 a_6061_16454# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2813 a_17087_19494# a_11455_15340# a_16717_18099# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2814 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2815 vss a_3843_17542# a_4173_10452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2816 result4 a_3534_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2817 vss a_6918_13342# a_7570_16026# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2818 a_4539_19718# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2819 valid a_3534_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2820 a_5552_13734# a_4475_13740# a_5390_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2821 a_4446_16454# a_4388_16606# a_4346_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2822 vss a_17628_14822# a_17734_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2823 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2824 a_10083_11532# a_12037_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2825 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2826 a_4307_11256# a_4089_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2827 a_7047_18406# a_6973_18312# a_6701_18086# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2828 a_7690_11014# a_6609_11014# a_7343_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2829 vdd a_11753_14515# a_11684_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2830 a_13775_10470# a_11569_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2831 a_9322_20262# a_9108_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2832 a_16531_18630# a_15611_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.499e+11p pd=2.35e+06u as=0p ps=0u w=840000u l=150000u
+X2833 a_14639_16276# a_14260_15910# a_14567_16276# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2834 a_6664_16276# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2835 vdd a_8593_21324# a_8541_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2836 vss a_9645_9926# ctl4n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2837 a_12181_18604# a_7821_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2838 a_4453_17516# a_4954_17516# a_4884_17542# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2839 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2840 a_6607_17784# a_6389_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2841 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2842 a_3563_9926# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2843 a_6497_17908# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2844 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2845 a_11304_19174# a_10585_19416# a_10741_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2846 a_11077_18604# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2847 vdd a_4388_16606# a_4453_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2848 vdd a_4954_17516# a_5215_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2849 a_4816_11380# a_3739_11014# a_4654_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2850 a_9108_20262# a_9021_20504# a_8704_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2851 vss a_12181_18604# a_5159_20951# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2852 a_7527_10470# a_7687_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2853 a_16819_13708# a_16601_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2854 vss a_5277_19692# a_10105_18092# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2855 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2856 a_4571_12102# a_4401_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2857 a_14476_14644# a_13399_14278# a_14314_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2858 vdd a_3534_14252# a_3564_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2859 a_9807_12076# a_10473_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2860 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2861 a_13767_11564# a_13601_11564# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2862 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2863 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2864 a_11421_20433# a_11025_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2865 vdd a_5841_12950# a_5828_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2866 a_14329_15884# a_14134_16026# a_14639_16276# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2867 vss a_3899_9926# a_13643_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2868 a_11684_14644# a_11558_14546# a_11280_14530# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2869 a_19955_17707# a_19955_15979# a_23521_16372# vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2870 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2871 vdd a_3899_9926# a_14054_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2872 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2873 vss a_3899_9926# a_16587_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2874 a_17258_12102# a_16343_12102# a_16911_12344# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2875 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2876 a_16931_18384# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2877 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2878 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2879 a_10285_16704# a_5067_14423# a_10067_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2880 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2881 vdd a_4388_16606# a_6017_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2882 a_3707_17690# a_4718_18880# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2883 a_13584_17908# a_13049_17542# a_13498_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2884 a_4393_16972# a_4198_17114# a_4703_17364# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2885 a_3994_10444# a_4173_10452# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2886 a_16709_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2887 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2888 a_14937_18318# a_14708_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2889 a_13775_12646# a_11569_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2890 a_5065_18328# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2891 a_7667_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2892 vdd a_17065_11862# a_17052_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2893 a_11569_13190# a_11025_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2894 a_11540_18452# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2895 a_12395_15412# a_5775_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2896 vdd a_12326_16142# a_15809_11564# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2897 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2898 a_5023_18452# a_4545_18060# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2899 vss a_6426_16428# a_17925_10078# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2900 a_6104_10470# a_5027_10476# a_5942_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2901 a_6569_15340# a_6725_15499# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2902 a_8938_20262# a_8501_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2903 vdd a_13565_16428# a_15472_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2904 a_15280_11166# a_17065_11862# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2905 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2906 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2907 a_4769_21056# a_4897_20780# a_4851_20806# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2908 vss a_17182_17908# a_17752_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2909 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2910 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2911 ctl2p a_5873_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2912 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2913 vss a_16931_18384# a_16865_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2914 vss a_7821_15910# a_8062_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2915 a_4864_15732# a_4106_15634# a_4301_15603# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2916 vss a_19981_17649# a_19955_17079# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X2917 vdd a_5129_12102# a_5873_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2918 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2919 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2920 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2921 vss a_10050_17694# a_16218_21056# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2922 a_4325_19174# a_4074_19290# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2923 n9n ctl9n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2924 a_14791_11936# a_13601_11564# a_14682_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2925 vss a_5277_19692# a_6977_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2926 vss a_9151_18318# a_8964_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2927 a_10006_17542# a_5159_20951# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X2928 a_5925_18604# a_6426_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2929 a_6783_18086# a_4769_20262# a_6701_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2930 a_12859_17318# a_13005_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2931 ctl7n a_13654_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2932 a_6025_11790# a_5305_11790# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2933 a_14206_14100# a_13601_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2934 vdd a_12897_13708# a_17925_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2935 a_7652_19290# a_3713_20244# a_7570_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2936 a_14697_20236# a_14502_20378# a_15007_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2937 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2938 ctl5p a_5098_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2939 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2940 a_14297_19692# a_11360_18604# a_14515_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2941 a_9151_18318# a_9247_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2942 vss a_10153_20242# a_11025_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2943 ctl7p a_16913_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2944 a_4769_21056# a_4897_20780# a_4851_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2945 a_3828_19970# a_4145_19860# a_4103_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2946 a_6310_16288# a_5395_15916# a_5963_15884# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2947 a_10183_11166# a_7591_10444# a_10346_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2948 a_10146_22144# a_3713_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2949 vdd a_12373_20566# a_12360_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2950 a_11951_12646# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2951 a_8813_16820# a_8633_16820# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2952 a_15670_21350# a_15456_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2953 a_9348_11014# a_9171_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2954 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2955 vdd a_8133_19692# a_8991_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2956 trim2 a_17925_10478# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2958 vdd a_15859_18305# a_14337_18782# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2959 a_17106_17908# a_16626_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2960 vdd a_6122_20236# a_6060_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2961 a_11297_22046# a_12373_20566# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2962 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2963 vdd a_11053_19870# a_11025_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2964 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2965 a_14117_11936# a_13767_11564# a_14022_11924# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2966 a_13010_12102# a_12115_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2967 vdd a_5666_13024# a_5841_12950# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2968 trimb3 a_17925_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2969 a_16343_18630# a_16177_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2970 a_17925_10078# a_6426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2971 a_16801_14644# a_16177_14278# a_16693_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2972 a_10092_17542# a_10050_17694# a_10006_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2973 a_11678_15518# a_8482_15752# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2974 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2975 a_15859_18305# a_12765_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2976 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2977 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2978 vdd ctl0n n0n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2979 vss a_3899_9926# a_11803_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2980 vss a_3899_9926# a_7755_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2981 a_13741_18795# a_13833_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2982 a_7669_12076# a_8339_12468# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2983 a_6060_20262# a_5341_20504# a_5497_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2984 a_15260_20262# a_14502_20378# a_14697_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2985 vss a_11678_15518# a_11455_15340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2986 vss a_11998_9900# ctl6n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2987 a_11295_18880# a_3713_20244# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2988 a_5921_14796# a_8967_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.7225e+11p pd=1.83e+06u as=0p ps=0u w=650000u l=150000u
+X2989 vdd a_15525_21324# a_15456_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2990 a_12460_16454# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2991 a_5197_13024# a_4751_12652# a_5101_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2992 vdd a_6426_16428# a_17925_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2993 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2994 vdd a_11759_16696# a_11649_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2995 vdd a_12825_14796# a_12326_16142# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2996 a_7233_11380# a_6609_11014# a_7125_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2997 a_9348_13190# a_9171_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2998 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2999 vss a_9698_11532# a_6193_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X3000 a_14202_17230# a_14417_17011# a_14344_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3001 a_24604_12170# trim3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3002 a_16552_10560# a_17433_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3003 vss a_7069_9926# ctl3n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3004 vdd ctl7p n7p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3005 a_13213_12468# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3006 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3007 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3008 vss a_10065_19148# a_3713_20244# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3009 vss a_3899_9926# a_10303_19540# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3010 trim0 a_17925_12654# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3011 vdd a_10741_19148# a_10672_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3012 a_11025_13190# a_8482_15752# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3013 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3014 vss a_12326_16142# a_13601_11564# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3015 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3016 a_5807_20628# a_5428_20262# a_5735_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3017 a_6956_21058# a_7273_20948# a_7231_20806# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X3018 a_8909_21056# a_9025_22027# a_8991_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X3019 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3020 vdd a_16802_18604# a_16712_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X3021 vdd a_14123_21868# a_17005_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3022 a_10188_15910# clk vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3023 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3024 vss a_5159_20951# a_7047_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3025 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3026 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3027 vss a_5307_11014# a_8173_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3028 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3029 a_12446_21466# a_3713_21332# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3030 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3031 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3032 a_8803_14278# a_8626_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3033 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3034 vdd a_16626_17542# a_16802_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3035 vdd a_13687_21582# a_15305_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3036 vss a_6143_20806# a_7069_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3037 vss a_19955_17707# a_19981_17649# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X3038 a_5841_12950# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3039 a_5642_20262# a_5428_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3040 vdd a_5277_21868# a_9999_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3041 a_16552_10560# a_17433_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3042 a_17182_17908# a_16343_17542# a_17206_17542# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3043 a_14121_10988# a_13946_11014# a_14300_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3044 a_7147_17230# a_6973_18312# a_7310_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3045 a_17065_11862# a_16890_11936# a_17244_11924# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3046 vdd ctl2n n2n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3047 a_16434_14912# a_17433_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3048 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3049 vss ctl4p n4p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3050 vdd a_3899_9926# a_14750_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3051 vdd a_7005_20494# a_5754_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3052 a_6143_18880# a_3713_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3053 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3054 vss clk a_10188_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3055 a_14536_21172# a_14410_21074# a_14132_21058# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3056 a_7959_16454# a_7134_13164# a_7887_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X3057 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3058 a_6319_12646# a_5841_12950# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3059 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3060 a_5428_20262# a_5341_20504# a_5024_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X3061 vdd a_11597_14420# a_11558_14546# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3062 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3063 a_7791_15366# a_7435_15630# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3064 a_17065_11862# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3065 a_16626_18630# a_16177_18630# a_16531_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.87e+11p ps=1.93e+06u w=360000u l=150000u
+X3066 vdd a_6725_15499# a_11853_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3067 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3068 a_23521_16136# a_19955_17707# a_19955_15979# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X3069 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3070 a_10176_19718# a_9999_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3071 a_13650_15054# a_13865_14835# a_13792_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3072 a_8343_11014# a_7865_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3073 a_8927_11856# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3074 a_5650_16276# a_5533_16081# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3075 a_11998_9900# a_12177_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3076 a_14379_11924# a_14335_11532# a_14213_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3077 vdd a_12326_16142# a_12589_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3078 a_16693_14278# a_16177_14278# a_16598_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X3079 vdd a_5307_11014# a_5197_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3080 vdd a_11569_13190# a_12179_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.533e+11p ps=1.57e+06u w=420000u l=150000u
+X3081 vdd a_4973_21592# a_4934_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3082 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3083 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3084 a_5277_19692# a_10617_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3085 vdd a_7669_12076# a_7429_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3086 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3087 vdd a_8313_15518# a_4805_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.75e+11p ps=2.55e+06u w=1e+06u l=150000u
+X3088 vss a_7210_15054# a_5277_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3089 a_4301_19955# a_4145_19860# a_4446_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X3090 a_16598_14278# a_16481_14491# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3091 a_8633_16820# a_6973_18312# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3092 a_16784_15518# a_17341_14038# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3093 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3094 a_8991_21056# a_8541_21350# a_8909_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3095 a_9815_17230# a_7821_15910# a_9978_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3096 a_4759_14528# a_3651_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3097 a_12654_10444# a_12681_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3098 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3099 vss a_11301_10470# a_14746_13440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3100 a_19981_16059# a_19955_15979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3101 vdd a_8062_16454# a_11393_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3102 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3103 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3104 a_16712_18996# a_16177_18630# a_16626_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3105 vdd a_4012_11558# a_4118_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3106 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3107 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3108 vdd a_10328_16606# a_12498_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X3109 vdd a_6661_21324# a_6426_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3110 vss a_17925_20270# trimb0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3111 a_5367_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3112 a_15611_14278# a_15441_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3113 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3114 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3115 a_12373_20566# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3116 a_15887_19174# a_15717_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3117 ctl6n a_11998_9900# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3118 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3119 a_10839_18060# a_10621_18464# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X3120 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3121 a_8860_9926# a_8830_9900# a_8770_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3122 vdd a_12326_16142# a_12865_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3123 a_9807_12076# a_10473_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3124 a_12063_14278# a_11684_14644# a_11991_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3125 vss a_5925_18604# a_5846_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3126 a_16552_17037# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X3127 a_3713_14804# a_6485_16214# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3128 vss a_12654_10444# a_12592_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3129 vdd a_9632_12646# a_16597_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3130 result0 a_3534_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3131 a_3534_20236# a_3713_20244# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3132 a_5828_12646# a_4751_12652# a_5666_13024# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3133 a_9255_20806# a_7694_19264# a_8909_21056# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X3134 a_6119_14528# a_6089_14430# a_6047_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X3135 a_17617_16972# a_15887_19174# a_18003_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3136 a_7210_15054# a_7134_13164# a_7352_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3137 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3138 ctl1p a_3994_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3139 a_12200_11558# a_12023_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3140 vss a_6948_13440# a_10473_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3141 a_4475_13740# a_4309_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3142 a_14131_16276# a_13653_15884# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3143 n7n ctl7n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3144 a_10689_11896# a_7883_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3145 a_3534_14796# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3146 a_6294_17542# a_5965_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3147 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3148 vdd ctl6p n6p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3149 a_13417_15616# a_13545_15340# a_13499_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3150 vdd a_8541_21350# a_10228_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3151 a_12281_20780# a_12106_20806# a_12460_20806# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3152 a_8233_18604# a_8058_18630# a_8412_18630# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3153 vss a_11753_14515# a_11684_14644# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3154 a_12089_19692# a_12292_19970# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3155 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3156 a_11759_16696# a_11541_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3157 a_15380_11264# a_15280_11166# a_15298_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3158 a_11538_20628# a_11421_20433# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3159 vdd a_3899_9926# a_7574_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3160 a_10729_18086# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3161 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3162 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3163 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3164 a_3534_14796# a_3713_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3165 vss a_11170_14796# a_7306_15054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X3166 a_17028_13190# a_9632_12646# a_16733_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3167 vdd a_16710_18354# a_17617_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3168 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3169 vss a_9513_15518# a_12211_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3170 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3171 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3172 vdd a_14314_14278# a_14489_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3173 a_8615_10476# a_8449_10476# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3174 vss a_15041_14038# a_14975_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3175 n0p ctl0p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3176 vdd a_6973_18312# a_8633_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3177 w_102926_7434# a_103126_7692# a_103126_7850# w_102926_7434# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X3178 vss ctl9n n9n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3179 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3180 a_13403_17542# a_13366_17696# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3181 vdd a_9632_12646# a_16597_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3182 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3183 vdd a_17005_20806# ctl9p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3184 a_11170_14796# a_7878_13210# a_11562_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3185 vdd a_5873_21894# ctl2p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3186 a_9108_20262# a_8982_20378# a_8704_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X3187 a_4488_16606# a_8484_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3188 vdd a_3899_9926# a_14842_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3189 a_17365_19718# a_17098_13164# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3190 vdd a_9470_18318# a_9247_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3191 a_9827_11558# a_6595_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3192 n2p ctl2p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3193 a_11107_21894# a_10050_17694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3194 a_5921_13355# a_6013_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3195 vdd a_4237_17240# a_4198_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3196 vss a_7821_19174# a_9680_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3197 a_9930_18782# a_7791_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3198 a_11555_14278# a_11077_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3199 vdd a_14346_22046# a_14123_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3200 vss a_4453_21324# a_4401_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3201 vss a_13403_20806# a_16913_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3202 vdd a_5942_10848# a_6117_10774# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3203 vss a_17727_13342# a_15441_14430# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3204 a_8451_19718# a_4897_20780# a_8017_19870# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3205 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3206 a_9631_13190# a_9454_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3207 vss a_3568_11166# a_4309_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3208 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3209 a_11360_18604# a_11393_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3210 a_6607_17784# a_6389_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3211 a_15390_17114# a_14381_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3212 a_18003_16998# a_16710_18354# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3213 vdd a_8520_14278# a_8626_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3214 vdd a_5565_14038# a_5552_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3215 vdd a_12037_13734# a_10083_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3216 a_7360_21172# a_7234_21074# a_6956_21058# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3217 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3218 a_17352_11014# a_17175_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3219 vdd a_13929_20780# a_4449_14804# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3220 a_14225_11558# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3221 vdd a_9447_20806# a_9740_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3222 vdd a_10153_20242# a_16177_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3223 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3224 vss a_8062_16454# a_11393_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3225 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3226 vss a_7694_19264# a_7570_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3227 vdd a_16691_16428# a_14834_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3228 a_3994_11014# a_3757_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3229 valid a_3534_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3230 a_3563_9926# rstn vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3231 vss a_16914_12620# a_17175_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3232 vss a_103126_7692# a_104073_7792# vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X3233 vdd a_12029_10444# a_11960_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3234 a_7600_13734# a_7423_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3235 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3236 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3237 a_16802_17516# a_16626_17542# a_16946_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3238 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3239 a_4446_13556# a_4232_13556# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3240 a_9832_21350# a_9113_21592# a_9269_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3241 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3242 a_13789_13440# a_13601_13556# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3243 a_4232_20084# a_4145_19860# a_3828_19970# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3244 vss a_14079_15120# a_14013_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3245 a_14563_14100# a_14519_13708# a_14397_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X3246 a_4954_17516# a_4896_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3247 clkc a_20220_14335# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3248 vss a_15126_9900# ctl8n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3249 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3250 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3251 a_8343_11014# a_7865_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3252 a_9698_11532# a_6595_10470# a_9921_11878# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3253 a_4751_12652# a_4585_12652# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3254 vdd a_10473_12646# a_9807_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3255 a_16218_21056# a_4449_14804# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3256 a_4539_15366# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3257 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3258 a_6497_17908# a_5873_17542# a_6389_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3259 a_4926_13439# a_4677_14528# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3260 a_15099_18452# a_14708_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3261 vdd a_3899_9926# a_6753_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3262 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3263 a_12943_13190# a_12773_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3264 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3265 vss a_3899_9926# a_3863_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3266 a_16549_12966# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3267 a_3534_14252# a_3713_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3268 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3269 vdd a_5754_21324# a_5692_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3270 a_11191_16454# a_11025_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3271 a_12483_11558# a_12306_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3272 ctl6p a_16177_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3273 a_13687_21582# a_14245_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3274 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3275 a_11998_9900# a_12177_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3276 a_11705_12076# a_11704_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3277 a_5087_14100# a_5043_13708# a_4921_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3278 a_3707_17690# a_4718_18880# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3279 a_9543_15616# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3280 vss a_9928_13734# a_10438_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3281 a_9513_15518# a_6918_13342# a_10203_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3282 n8n ctl8n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3283 a_7908_13236# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3284 a_104073_24504# a_103126_24720# vinp vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X3285 vss a_11237_21350# a_16177_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3286 a_5115_20806# a_3573_19718# a_4769_21056# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X3287 a_11297_22046# a_12373_20566# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3288 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3289 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3290 a_13741_18795# a_13833_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3291 a_15975_11564# a_15809_11564# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3292 a_11759_21048# a_11541_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X3293 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3294 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3295 ctl0n a_17925_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3296 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3297 vdd a_6117_10774# a_6104_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3298 a_16709_13734# a_16085_13740# a_16601_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3299 a_16598_14278# a_16481_14491# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3300 a_11541_20806# a_11191_20806# a_11446_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3301 vss a_4545_18060# a_3713_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3302 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3303 a_13779_12102# a_12589_12102# a_13670_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3304 n9n ctl9n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3305 a_17446_17542# a_17332_17756# a_17374_17542# vss sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3306 a_13599_11256# a_13381_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3307 a_17612_12102# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3308 a_9551_12352# a_7669_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3309 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3310 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3311 a_13215_17542# a_13049_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3312 a_14892_15910# a_14134_16026# a_14329_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3313 vss a_13839_10444# a_14063_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3314 a_16088_21350# a_15369_21592# a_15525_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3315 a_14381_18060# a_14624_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3316 a_6595_10470# a_6117_10774# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3317 a_13922_21670# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3318 vdd a_14079_15120# a_14109_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3319 vdd a_7600_13734# a_7706_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3320 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3321 vss a_9177_20236# a_9108_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3322 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3323 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3324 a_17791_16482# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3325 a_11873_10712# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3326 a_6701_18086# a_4897_20780# a_6783_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3327 vss a_14054_17908# a_14624_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3328 a_7030_11014# a_6871_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3329 vss a_8133_19692# a_9255_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3330 a_3534_16428# a_3665_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3331 a_11831_10836# a_11353_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3332 vss a_7639_15120# a_7573_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3333 a_9551_12102# a_7669_12076# vss vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X3334 vdd a_16913_21894# ctl7p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3335 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3336 n2n ctl2n vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3337 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3338 vss a_17433_14252# a_17367_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3339 a_9061_10848# a_8615_10476# a_8965_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3340 a_8498_11790# a_8706_11826# a_8640_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3341 a_10621_18464# a_10105_18092# a_10526_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3342 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3343 a_12106_20806# a_11025_20806# a_11759_21048# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3344 vss trimb1 a_23750_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X3345 vss a_11359_15518# a_11172_15340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3346 vdd a_17925_10478# trim2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3347 trim4 a_15533_14830# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3348 a_9059_19406# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3349 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3350 vss a_6918_13342# a_9513_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3351 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3352 vdd a_7690_11014# a_7865_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3353 vss a_6193_12076# a_6139_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3354 a_15126_9900# a_15305_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3355 vss a_6197_14252# a_9928_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3356 vdd a_6426_16428# a_17925_10078# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3357 vdd a_6939_12620# a_6969_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3358 a_17911_14822# a_17734_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3359 a_17635_11014# a_17458_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3360 vss a_7069_16454# a_6426_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3361 a_3563_9926# rstn vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3362 vss a_17065_11862# a_16999_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3363 vss a_12273_19148# a_12221_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3364 a_12181_18604# a_7821_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3365 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3366 a_16626_18630# a_16343_18630# a_16531_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3367 vss a_11297_22046# a_11487_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3368 vss a_12326_16142# a_15809_11564# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3369 a_3828_15618# a_4145_15508# a_4103_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3370 a_5867_15142# a_5921_14796# a_5879_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3371 vss a_16315_10470# a_16856_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3372 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3373 vss a_6089_14430# a_6701_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3374 a_7883_13734# a_7706_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3375 a_3534_21324# a_3713_21332# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3376 vss a_10585_19416# a_10546_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3377 a_5319_12620# a_5101_13024# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3378 a_13746_15054# a_13789_13440# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3379 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3380 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3381 result5 a_3534_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3382 vdd a_3899_9926# a_17182_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3383 a_5565_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3384 a_10083_11532# a_12037_13734# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3385 a_14407_20806# a_13929_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3386 a_8163_19968# a_4897_20780# a_8017_19870# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3387 a_7799_11014# a_6609_11014# a_7690_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3388 vdd a_17925_12654# trim0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3389 a_3534_18604# a_3713_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3390 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3391 vdd a_11073_11179# a_7687_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3392 a_5692_21350# a_4973_21592# a_5129_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3393 vdd a_7573_12254# a_9061_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3394 a_9645_12102# a_7573_12254# a_9551_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3395 vdd a_8132_17694# a_8081_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3396 a_14567_16276# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3397 vdd a_3568_11166# a_8449_10476# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3398 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3399 vss a_11705_12076# a_12023_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3400 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3401 vss a_3534_18604# result4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3402 a_13213_12468# a_12589_12102# a_13105_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3403 vdd a_11077_14252# a_6939_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3404 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3405 vss a_3534_12620# valid vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3406 a_16955_14278# a_16911_14520# a_16789_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3407 a_10933_14054# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3408 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3409 vss a_14943_14796# a_15533_14830# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3410 vdd a_7398_10444# a_6253_11574# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3411 vdd a_10183_11166# a_8734_10078# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X3412 a_4829_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3413 a_13929_20780# a_14132_21058# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3414 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3415 vdd a_3899_9926# a_15670_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3416 vss a_4145_19860# a_4106_19986# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3417 a_16410_17230# a_9807_12076# a_16552_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3418 a_14474_15910# a_14260_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3419 a_14489_14252# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3420 a_5873_19968# a_4897_20780# a_5955_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X3421 a_14746_13440# a_13865_14835# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3422 a_11349_13734# a_7306_15054# a_11241_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3423 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3424 vss clk a_10188_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3425 a_7190_21172# a_6753_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3426 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3427 a_7652_16026# a_6119_14528# a_7570_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3428 a_11705_12076# a_11704_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3429 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3430 vdd a_12326_16142# a_12313_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3431 a_17098_13164# a_17132_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3432 a_12179_11341# a_10083_11532# a_11965_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3433 a_16587_11924# a_16543_11532# a_16421_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3434 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3435 vdd a_14204_17756# a_14162_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3436 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3437 n0n ctl0n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3438 vdd a_9328_14430# a_9277_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3439 a_103126_24720# a_103126_24878# w_102926_24462# w_102926_24462# sky130_fd_pr__pfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X3440 a_4301_19955# a_4106_19986# a_4611_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3441 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3442 a_12273_19148# a_11360_18604# a_12491_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3443 a_13489_11380# a_12865_11014# a_13381_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3444 a_12855_13734# a_10083_11532# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3445 a_11597_14420# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3446 vss a_3693_11558# a_5965_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3447 vss a_3693_11558# a_6793_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3448 vss a_6426_16428# a_17925_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3449 ctl8p a_17005_21358# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3450 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3451 vdd a_6197_14252# a_6119_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=700000u l=150000u
+X3452 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X3453 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3454 vss a_3899_9926# a_15087_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3455 vss a_12281_20780# a_12215_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3456 a_8163_19968# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3457 a_6651_17542# a_6607_17784# a_6485_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3458 a_13685_19174# a_13403_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3459 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3460 vss a_16914_12620# a_17925_10478# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3461 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3462 a_16310_14938# a_7477_12254# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3463 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3464 a_14409_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3465 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3466 a_6117_10774# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3467 a_11529_13734# a_11021_14054# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3468 a_5152_18086# a_5026_18202# a_4748_18218# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3469 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3470 a_12755_12102# a_12589_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3471 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3472 vdd a_16710_18354# a_17647_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3473 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3474 vss a_5159_20951# a_5115_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3475 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3476 a_11359_15518# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3477 a_4973_21592# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3478 vdd a_11556_21350# a_11662_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3479 vdd a_5846_18060# a_5784_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3480 a_3534_18060# a_3713_18068# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3481 a_6954_17542# a_5873_17542# a_6607_17784# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3482 vss a_7005_20494# a_5754_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3483 a_4256_16454# a_4388_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3484 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3485 a_7343_11256# a_7125_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3486 a_4933_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3487 vss a_14329_15884# a_14260_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3488 vss a_13654_9900# ctl7n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3489 a_5775_13024# a_4585_12652# a_5666_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3490 vss a_3713_18604# a_6061_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3491 a_14397_14112# a_13951_13740# a_14301_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3492 a_12409_19494# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3493 a_17925_19406# a_15611_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3494 vss a_16597_15340# a_16389_13905# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3495 vdd a_3899_9926# a_4446_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3496 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3497 a_14079_15120# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3498 a_15369_21592# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3499 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3500 vss a_5873_21894# ctl2p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3501 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3502 vss a_10429_20780# a_10383_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3503 vdd a_12198_20640# a_12373_20566# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3504 a_5955_19968# a_3573_19718# a_5873_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3505 a_6119_14528# a_6197_14252# a_5965_14278# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3506 a_12765_19955# a_12609_19860# a_12910_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3507 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3508 a_8044_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3509 vss a_6197_14252# a_7423_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3510 a_5098_21868# a_5277_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3511 a_8448_15616# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3512 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3513 a_11315_14278# a_11280_14530# a_11077_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3514 a_5023_14278# a_3651_13734# a_4677_14528# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3515 a_14433_19718# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3516 a_9098_9926# a_8706_11826# a_8607_9900# vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.72e+06u as=0p ps=0u w=650000u l=150000u
+X3517 a_7573_12254# a_8852_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3518 vss a_3625_19692# a_3573_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3519 a_16531_18630# a_15611_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3520 vdd a_10328_16606# a_10285_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3521 a_16911_12344# a_16693_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3522 a_13967_14520# a_13749_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3523 a_7429_21043# a_7234_21074# a_7739_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3524 vdd a_9177_20236# a_9108_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3525 a_12655_15616# a_7878_13210# a_12583_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3526 vss a_6595_10470# a_8615_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3527 a_17166_14112# a_16085_13740# a_16819_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3528 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3529 vss a_8927_11856# a_8861_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3530 vss a_6143_20806# a_6877_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3532 a_13857_14644# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3533 vdd a_11297_22046# a_12804_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3534 vss a_3713_21332# a_14433_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3535 vdd a_7669_12076# a_10689_11896# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3536 a_13670_12102# a_12755_12102# a_13323_12344# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3537 vdd a_10153_20242# a_11025_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3538 a_12579_12646# a_12769_12872# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3539 a_11803_20806# a_11759_21048# a_11637_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3540 a_9884_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3541 a_12769_12872# a_14121_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3542 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3543 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3544 a_14708_16606# a_15390_17114# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3545 vss a_12200_11558# a_12306_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3546 a_5024_20394# a_5341_20504# a_5299_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3547 vss a_14337_18782# a_14337_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3548 a_9253_16230# a_6089_14430# a_9157_16230# vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X3549 vss a_10967_11558# a_11704_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3550 a_6143_20806# a_5965_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3551 vss a_17925_20806# trimb3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3552 a_6817_21568# a_6926_19968# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3553 vss a_3899_9926# a_4691_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3554 a_9177_20236# a_9021_20504# a_9322_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3555 vdd a_6043_13734# a_7435_15630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3556 a_12825_14796# a_12031_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3557 a_7429_12352# a_6948_13440# a_6051_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3558 vdd a_16355_19406# a_16445_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3559 a_16230_11924# a_15611_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3560 vss a_5392_19174# a_5498_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3561 vss a_12641_17230# a_12589_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3562 a_3534_18060# a_3713_18068# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3563 a_10729_18086# a_10105_18092# a_10621_18464# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X3564 a_8927_11856# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3565 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3566 a_12031_16998# a_11853_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3567 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3568 a_12825_14796# a_12031_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X3569 a_15611_12102# a_15020_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3570 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3571 vdd a_7694_19264# a_7652_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3572 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3573 a_7621_10790# a_7591_10444# a_7527_10790# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3574 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3575 a_7639_15120# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3576 vdd ctl7n n7n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3577 vss a_3568_11166# a_4585_12652# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3578 a_10067_16428# a_5067_14423# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3579 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3580 a_13403_20806# a_13233_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3581 a_4237_17240# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3582 vss a_9930_18782# a_9025_22027# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3583 a_11019_13342# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3584 vss a_10083_11532# a_12773_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3585 vdd a_13687_21582# a_13732_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3586 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3587 a_6294_17542# a_5965_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3588 a_7570_16026# a_6119_14528# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3589 vss a_13629_10702# a_13601_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3590 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3591 a_11019_13342# a_11345_12620# a_11951_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3592 a_7008_19968# a_3713_18604# a_6926_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3593 a_13845_12076# a_13670_12102# a_14024_12102# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3594 vss a_6753_20780# a_6701_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3595 a_8870_10836# a_8541_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3596 vss a_4301_13427# a_4232_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3597 a_3564_14278# a_3534_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3598 vss a_11569_13190# a_11711_11341# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3599 a_13767_11564# a_13601_11564# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3600 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3601 a_13955_15366# a_13417_15616# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3602 a_5129_21324# a_4973_21592# a_5274_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3603 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3604 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3605 a_12200_11558# a_12023_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3606 vdd ctl0p n0p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3607 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3608 vdd a_4453_17516# a_4401_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3609 a_17206_17542# a_16626_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3610 vss a_7069_21894# ctl3p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3611 vss a_10397_21894# a_11060_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3612 a_10365_17542# a_10092_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3613 vdd a_6193_12076# a_5305_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3614 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3615 vdd rstn a_3563_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3616 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X3617 vdd a_8433_12282# a_8339_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3618 vdd a_3534_12620# valid vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3619 vdd a_3625_13164# a_3573_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3620 vss a_7573_12254# a_9253_16230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3621 a_7887_16454# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3622 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3623 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3624 a_14225_11558# a_13601_11564# a_14117_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3625 vdd a_13839_10444# a_13775_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3626 a_7690_11014# a_6775_11014# a_7343_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3627 vdd a_4926_13439# a_4864_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3628 a_16506_14100# a_16389_13905# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3629 vss a_14981_21894# a_15168_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X3630 vdd a_8869_21868# a_4897_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3631 a_11591_10836# a_11556_10602# a_11353_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3632 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3633 a_13674_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3634 a_14259_20628# a_14224_20394# a_14021_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3635 a_12031_16998# a_11853_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3636 a_3713_18068# a_7129_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3637 a_15168_21172# a_14449_20948# a_14605_21043# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3638 a_10328_16606# a_12281_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3639 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3640 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3641 vdd a_6017_16972# a_5965_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3642 a_16433_11558# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3643 vss a_7600_13734# a_7706_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3644 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3645 a_13323_12344# a_13105_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3646 a_6472_15910# a_5395_15916# a_6310_16288# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3647 a_4103_13190# a_3625_13164# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3648 a_17647_16998# a_17617_16972# a_17050_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X3649 a_6783_18406# a_4769_20262# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3650 vss ctl7p n7p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3651 vdd a_17332_18844# a_17290_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3652 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3653 vss a_5185_15041# a_4613_13905# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3654 vss a_5065_18328# a_5026_18202# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3655 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3656 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3657 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3658 a_17258_14278# a_16343_14278# a_16911_14520# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3659 vss a_12029_10444# a_11960_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X3660 a_12307_20640# a_11117_20268# a_12198_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3661 a_5595_10444# a_5377_10848# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3662 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3663 vdd a_14889_18086# a_14987_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3664 vss a_4926_13439# a_4864_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3665 a_16251_13740# a_16085_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3666 vdd ctl1p n1p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3667 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3668 vdd a_6143_20806# a_7069_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3669 vdd a_3625_15340# a_3573_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3670 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3671 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3672 a_3651_13734# a_3481_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3673 vdd a_3899_9926# a_12174_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3674 a_14011_14278# a_13967_14520# a_13845_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3675 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3676 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X3677 vdd a_11569_13190# a_12579_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3678 vss a_8482_15752# a_11170_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3679 vss a_3899_9926# a_12327_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3680 a_7493_18630# a_7143_18630# a_7398_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3681 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3682 vdd a_8980_13734# a_9086_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3683 a_9928_14054# a_6197_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3684 vdd a_16911_14520# a_16801_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3685 vss trimb2 a_24177_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3686 a_17332_17756# a_17182_17908# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X3687 vdd a_7477_12254# a_13775_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3688 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3689 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3690 vss a_11053_19870# a_11025_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3691 a_6426_16428# a_7069_16454# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3692 a_14892_15910# a_14173_16152# a_14329_15884# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3693 a_16531_17542# a_16445_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3694 a_7887_16704# a_8025_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3695 vss a_3899_9926# a_3863_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3696 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3697 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3698 vdd a_14631_17296# a_14661_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3699 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3700 a_14318_17542# a_14204_17756# a_14246_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3701 a_17617_16972# a_16710_18354# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3702 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3703 a_4956_16998# a_4237_17240# a_4393_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3704 a_12859_17318# a_11455_15340# a_12641_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3705 a_6477_14796# a_6599_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3706 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3707 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3708 vss a_10473_12646# a_9807_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3709 vss a_12773_13342# a_12773_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3710 vss a_16552_10560# a_16549_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3711 a_11637_16454# a_11191_16454# a_11541_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3712 vss a_3534_14796# result0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3713 a_7669_12076# a_8339_12468# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3714 vdd a_3899_9926# a_11898_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3715 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3716 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3717 a_9021_20504# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3718 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3719 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3720 a_14132_21058# a_14410_21074# a_14366_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3721 a_6918_13342# a_8024_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3722 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3723 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3724 vdd a_3805_12267# a_3757_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3725 vss a_5965_20806# a_6143_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3726 a_12609_19860# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3727 vdd ctl8n n8n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3728 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3729 a_103126_7692# a_3564_14278# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3730 vdd a_5497_20236# a_5428_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3731 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3732 vdd a_9737_13734# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3733 vdd a_5159_20951# a_9923_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3734 vdd a_15533_14830# trim4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3735 a_11569_13190# a_11025_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3736 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3737 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3738 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3739 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3740 a_12498_15910# a_12313_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3741 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3742 a_7435_15630# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3743 a_12497_12646# a_11705_12076# a_12579_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3744 vdd a_15441_14430# a_15441_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3745 vdd comp a_15717_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3746 a_11213_18630# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3747 a_8684_15054# a_8780_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3748 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3749 vdd a_7273_20948# a_7234_21074# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3750 a_10621_18464# a_10271_18092# a_10526_18452# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3751 n4n ctl4n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3752 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3753 vdd a_9698_11532# a_6193_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3754 a_17365_20262# a_16914_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3755 vss a_6477_14796# a_3568_11166# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3756 a_17149_10444# a_15549_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3757 vdd ctl9p n9p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3758 a_5060_21350# a_4973_21592# a_4656_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3759 vdd a_4769_20262# a_4800_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3760 vss a_3713_20244# a_11213_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3761 a_5497_20236# a_5341_20504# a_5642_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3762 a_4864_20084# a_4106_19986# a_4301_19955# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3763 a_14573_16428# a_14932_16428# a_14709_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3764 a_13951_13740# a_13785_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3765 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3766 a_5185_15041# a_5277_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3767 vss a_17098_13164# a_17925_12654# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3768 a_11283_20268# a_11117_20268# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3769 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3770 a_11649_16820# a_11025_16454# a_11541_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3771 vss a_17925_19406# a_17925_19182# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3772 vss a_17098_13164# a_17365_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3773 vss a_7687_10444# a_9098_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3774 vdd a_7147_17230# a_7069_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X3775 a_3563_9926# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3776 a_13286_11014# a_13035_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3777 a_14849_21324# a_15052_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3778 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3779 vss a_14943_14796# a_12897_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3780 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3781 a_8163_19718# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3782 vss a_3899_9926# a_17446_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3783 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3784 a_15126_9900# a_15305_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3785 a_12106_20806# a_11191_20806# a_11759_21048# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3786 a_11186_18464# a_10105_18092# a_10839_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3787 vss ctl2p n2p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3788 vss a_8869_21868# a_4897_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3789 a_4759_14278# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3790 a_4475_13740# a_4309_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3791 ndp vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3792 vss a_14932_16428# a_14573_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3793 a_4654_11014# a_3739_11014# a_4307_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3794 vss a_11393_17542# a_11360_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3795 vss a_13565_16428# a_15390_17114# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3796 a_10065_19148# a_10268_19306# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3797 vdd a_3899_9926# a_13929_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3798 a_9487_20628# a_9108_20262# a_9415_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3799 vss a_6227_19406# a_6007_20954# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3800 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3801 a_8830_9900# a_7687_10444# a_10743_10790# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3802 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3803 a_5221_18060# a_5065_18328# a_5366_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3804 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3805 vss a_10183_11166# a_8734_10078# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3806 a_16343_17542# a_16177_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3807 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3808 vdd a_9894_21324# a_9832_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3809 vss a_4401_12254# a_4401_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3810 result6 a_3534_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3811 a_6956_21058# a_7234_21074# a_7190_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3812 a_11577_12352# a_11705_12076# a_11659_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3813 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3814 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3815 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3816 a_12579_12966# a_11849_12254# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3817 vdd a_11759_21048# a_11649_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3818 a_8980_16998# a_8803_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3819 a_6117_10774# a_5942_10848# a_6296_10836# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3820 a_15549_11014# a_15298_11264# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3821 a_13956_13190# a_13469_16606# a_13789_13440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3822 a_16434_14912# a_17433_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3823 vss a_15369_21592# a_15330_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3824 vdd a_13629_10702# a_13601_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3825 a_17258_14278# a_16177_14278# a_16911_14520# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3826 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3827 vss a_3899_9926# a_13891_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3828 vdd a_3665_17542# a_3843_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3829 vdd a_3994_10444# ctl1n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3830 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3831 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3832 a_4926_19967# a_4769_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3833 vdd a_13403_20806# a_13685_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3834 a_7524_12102# a_7477_12254# a_6051_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.1125e+11p ps=1.95e+06u w=650000u l=150000u
+X3835 vss a_4145_15508# a_4106_15634# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3836 vss clkc a_22891_16254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3837 a_11965_11014# a_11711_11341# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3838 a_15464_21894# a_9247_18060# a_15169_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3839 vss a_15525_21324# a_15456_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3840 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3841 a_6877_11558# a_6143_20806# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3842 a_14541_20504# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3843 vdd a_10585_19416# a_10546_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3844 vss a_17925_9926# ctl0n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3845 a_4829_10988# a_4654_11014# a_5008_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3846 vss a_16177_21894# ctl6p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3847 a_10328_16606# a_12281_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3848 a_14027_22046# a_14123_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3849 a_6051_12254# a_6948_13440# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3850 a_17374_17542# a_16177_17542# a_17182_17908# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3851 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3852 vdd a_7179_14511# a_6848_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3853 vss a_6319_12646# a_7683_13012# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3854 vdd a_4954_17516# a_5129_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3855 a_14409_13734# a_13785_13740# a_14301_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3856 a_17420_14644# a_16343_14278# a_17258_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3857 a_15052_21482# a_15369_21592# a_15327_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3858 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3859 a_16931_18384# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3860 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3861 vss a_14027_22046# a_13840_21868# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3862 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3863 a_4301_15603# a_4106_15634# a_4611_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3864 result3 a_3534_18060# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3865 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3866 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3867 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3868 a_8482_15752# a_7669_12076# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3869 vdd a_16597_13164# a_16481_14491# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3870 a_9030_21350# a_8593_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3871 a_14054_17908# a_13215_17542# a_14078_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3872 a_8979_20628# a_8501_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3873 vdd a_15611_14278# a_17925_19406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3874 a_5392_19174# a_5215_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3875 a_11960_10470# a_11834_10586# a_11556_10602# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3876 vdd a_12773_13342# a_12773_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3877 a_4897_20780# a_8869_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3878 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3879 a_14055_11014# a_12865_11014# a_13946_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3880 vdd a_13629_12878# a_13601_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3881 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3882 a_9543_15616# a_9513_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3883 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3884 vdd a_8607_9900# a_8541_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X3885 vdd a_17098_13164# a_17451_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3886 vss a_17925_10078# a_17925_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3887 a_8909_21056# a_9025_22027# a_8991_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3888 vdd a_7129_17516# a_7116_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3889 a_17617_16606# a_16710_18354# a_17791_16482# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3890 ctl0n a_17925_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3891 a_14519_13708# a_14301_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3892 vss a_14021_20236# a_3713_21332# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3893 a_13946_11014# a_13031_11014# a_13599_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3894 vdd a_12973_21894# a_14245_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3895 vdd a_6319_12646# a_8024_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3896 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3897 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3899 n6n ctl6n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+
+C291 vp n9p 4096fF
+C236 vp n8p 2048fF
+C183 vp n7p 1024fF
+C192 vp n6p 512fF
+C23  vp n5p 256fF
+C123 vp n4p 128fF
+C74  vp n3p 64fF
+C171 vp n2p 32fF
+C11  vp n1p 16fF
+C87  vp n0p 8fF
+C20  vp ndp 8fF
+C266 vn n9n 4096fF
+C288 vn n8n 2048fF
+C180 vn n7n 1024fF
+C214 vn n6n 512fF
+C128 vn n5n 256fF
+C189 vn n4n 128fF
+C284 vn n3n 64fF
+C318 vn n2n 32fF
+C22  vn n1n 16fF
+C238 vn n0n 8fF
+C50  vn ndn 8fF
+
+.ends
diff --git a/xschem/sub/sar_10b/sar/sar.pex.spice b/xschem/sub/sar_10b/sar/sar.pex.spice
new file mode 100644
index 0000000..4f49653
--- /dev/null
+++ b/xschem/sub/sar_10b/sar/sar.pex.spice
@@ -0,0 +1,4419 @@
+* pex extracted netlist. Can only be used on single 
+* supply domain where avdd and avss are inputs
+
+.subckt sar avdd dvdd dvss result9 result8 result7 result6 result5 result4 result3
++ result2 result1 result0 vinn avss clk vinp en valid cal rstn
+
+Rvdd avdd vdd 0.01
+Rvss avss vss 0.01
+Cdeca dvdd vdd 1f
+Cdecb dvss vss 1f
+
+X0 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=2.43729e+14p pd=2.52528e+09u as=0p ps=0u w=550000u l=1.97e+06u
+X1 a_10188_15910# clk vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.6e+11p pd=5.12e+06u as=3.39589e+14p ps=3.29177e+09u w=1e+06u l=150000u
+X2 a_17275_14112# a_16085_13740# a_17166_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X4 a_11237_21350# a_11060_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X5 a_23986_20196# trimb0 vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X6 a_10092_17542# a_5067_14423# a_9923_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X7 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X8 vdd a_12031_16998# a_12957_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X9 a_11186_18464# a_10271_18092# a_10839_18060# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X10 a_14323_21350# a_13732_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X11 vdd a_13741_18795# a_13366_17696# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X12 vdd a_3707_17690# a_3665_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X13 a_9463_12966# a_9513_15518# a_9632_12646# vss sky130_fd_pr__nfet_01v8 ad=7.085e+11p pd=7.38e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X14 a_15220_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X15 a_17697_18318# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X16 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X17 vss a_12031_16998# a_12957_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X18 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X19 ctl1n a_3994_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X20 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X21 a_14314_14278# a_13399_14278# a_13967_14520# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X22 vss a_13741_18795# a_13366_17696# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X23 vss ctl2n n2n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X24 vss a_3568_11166# a_8449_10476# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X25 a_7313_14278# a_3693_11558# a_7222_14278# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X26 ctl4n a_9645_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X27 n4n ctl4n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X28 a_13775_12966# a_11569_13190# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X29 a_12722_22144# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X30 a_4611_19718# a_4232_20084# a_4539_19718# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X31 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X32 vdd a_14605_21043# a_14536_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X33 vss a_12089_19692# a_3713_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X34 vdd a_16597_15340# a_16389_13905# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X35 a_22891_16254# vn a_23521_16136# vss sky130_fd_pr__nfet_01v8 ad=5.8e+11p pd=5.16e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X36 a_7143_18630# a_6977_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X37 vdd a_17341_14038# a_17328_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X38 ctl3p a_7069_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X39 a_24604_20196# trimb3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=8.7e+11p pd=7.74e+06u as=0p ps=0u w=1e+06u l=300000u
+X40 trim4 a_15533_14830# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X41 n9p ctl9p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X42 vss a_16502_18318# a_15441_18782# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X43 vss a_3625_15340# a_3573_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X44 vss a_6848_14252# a_5067_14423# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X45 a_8991_20806# a_8541_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X46 vss a_12897_13708# a_17925_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X47 a_10145_14861# a_9963_14861# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X48 a_6060_20262# a_5302_20378# a_5497_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X49 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X50 a_15975_11564# a_15809_11564# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X51 a_4301_13427# a_4145_13332# a_4446_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X52 a_12528_21466# a_3713_21332# a_12446_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X53 vdd a_3899_9926# a_9322_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X54 vdd a_14573_16428# a_13005_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X55 a_10585_19416# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X56 a_5152_18086# a_5065_18328# a_4748_18218# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X57 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X58 a_16561_14822# a_16310_14938# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X59 vss a_9422_12076# a_8706_11826# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X60 vdd a_8497_12878# a_6013_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X61 vss a_3534_20236# result5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X62 vss a_16561_14822# a_17132_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X63 vdd a_6607_17784# a_6497_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X64 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X65 a_8764_10176# a_8734_10078# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.65e+11p pd=7.93e+06u as=0p ps=0u w=1e+06u l=150000u
+X66 vss a_12037_13734# a_10083_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X67 a_8017_19870# a_6701_20806# a_8163_19718# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X68 a_16946_18630# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X69 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X70 a_9930_14430# a_6939_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X71 vdd a_9021_20504# a_8982_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X72 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X73 a_14366_21172# a_13929_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X74 trim2 a_17925_10478# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X75 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.053e+12p ps=1.104e+07u w=650000u l=150000u
+X76 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.24e+12p pd=2.048e+07u as=0p ps=0u w=1e+06u l=150000u
+X77 vdd a_9378_19406# a_8133_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X78 a_9928_13734# a_9737_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X79 a_6753_20780# a_6956_21058# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X80 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.408e+11p ps=1.12e+07u w=420000u l=150000u
+X81 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X82 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X83 vss a_15041_14038# a_7477_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X84 a_11759_21048# a_11541_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X85 a_7570_19290# a_3713_20244# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X86 a_8167_18630# a_6977_18630# a_8058_18630# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X87 vss a_4488_16606# a_8803_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X88 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X89 n2p ctl2p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=1.347e+13p ps=1.2894e+08u w=1e+06u l=150000u
+X90 vss a_3665_17542# a_3843_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X91 vss a_12326_16142# a_16085_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X92 vdd a_6043_13734# a_7104_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X93 vdd a_7335_15412# a_7435_15630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X94 a_12579_12646# a_11849_12254# a_12497_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X95 a_14975_14112# a_13785_13740# a_14866_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X96 a_12215_16454# a_11025_16454# a_12106_16454# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X97 a_16693_12102# a_16343_12102# a_16598_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X98 a_4145_19860# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X99 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X100 vss a_16729_9926# ctl9n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X101 vdd a_16819_13708# a_16709_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X102 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X103 a_6948_13440# a_7134_13164# a_7092_13190# vss sky130_fd_pr__nfet_01v8 ad=3.9325e+11p pd=2.51e+06u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X104 a_11077_18604# a_11360_18604# a_11295_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X105 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X106 vss a_4237_17240# a_4198_17114# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X107 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X108 a_14709_16704# a_14834_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.35e+11p pd=5.07e+06u as=0p ps=0u w=1e+06u l=150000u
+X109 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X110 a_11280_14530# a_11597_14420# a_11555_14278# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X111 vdd a_9247_18060# a_12273_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X112 a_16300_21056# a_4449_14804# a_16218_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X113 vdd a_13498_17542# a_13674_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X114 vdd a_10617_16972# a_5277_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X115 a_17050_16428# a_17617_16972# vss vss sky130_fd_pr__nfet_01v8 ad=5.005e+11p pd=2.84e+06u as=0p ps=0u w=650000u l=150000u
+X116 a_11304_13190# a_10083_11532# a_11025_13190# vss sky130_fd_pr__nfet_01v8 ad=2.665e+11p pd=2.12e+06u as=3.9975e+11p ps=3.83e+06u w=650000u l=150000u
+X117 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X118 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X119 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X120 a_8415_17694# a_4388_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X121 a_16789_12102# a_16343_12102# a_16693_12102# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X122 vss a_16710_18354# a_17835_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X123 vinn a_103126_7850# a_104073_8108# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X124 a_5499_14112# a_4309_13740# a_5390_14112# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X125 a_3534_21324# a_3713_21332# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X126 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X127 vdd a_7429_21043# a_7360_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X128 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X129 vss a_17005_21358# ctl8p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X130 vdd a_6485_16214# a_6472_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X131 vss a_17098_13164# a_17451_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X132 a_5485_10470# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X133 a_15033_21868# a_14123_21868# a_15464_21894# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X134 a_16839_17296# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X135 a_6389_17542# a_5873_17542# a_6294_17542# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X136 vss a_16434_14912# a_16310_14938# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X137 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X138 a_3534_15884# a_3573_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X139 a_11390_15142# a_7573_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X140 vss a_3573_13190# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X141 a_5497_20236# a_5302_20378# a_5807_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X142 a_13872_13440# a_13601_13556# a_13789_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.35e+11p pd=5.07e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X143 vdd a_9611_18782# a_9424_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X144 a_3713_14804# a_6485_16214# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X145 vdd a_14123_21868# a_16445_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X146 vss a_12609_19860# a_12570_19986# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X147 a_4851_21056# a_3573_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X148 vss a_5846_18060# a_5784_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X149 vdd a_3899_9926# a_3717_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X150 a_4564_16704# a_4488_16606# a_4256_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X151 vss a_5921_14796# a_5867_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X152 vdd a_3573_13190# a_9546_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.3e+11p ps=5.26e+06u w=1e+06u l=150000u
+X153 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X154 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X155 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X156 vss a_12957_18630# a_10153_20242# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X157 vss a_7765_13342# a_4889_12817# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X158 a_6219_19718# a_4769_20262# a_5873_19968# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X159 a_5921_14796# a_8967_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X160 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X161 a_5392_19174# a_5215_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X162 vss a_13687_21582# a_17005_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X163 a_14682_11936# a_13767_11564# a_14335_11532# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X164 a_16961_18125# a_16710_18354# a_16502_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X165 vss a_9632_12646# a_13601_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X166 a_15456_21350# a_15330_21466# a_15052_21482# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X167 vdd a_10153_20242# a_16177_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X168 a_11077_14252# a_11280_14530# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X169 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X170 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X171 vdd a_3625_19692# a_3573_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X172 a_13674_17516# a_13498_17542# a_13818_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X173 vdd a_6918_13342# a_7652_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X174 a_10370_16454# a_10328_16606# a_10067_16428# vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X175 a_17628_14822# a_17451_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X176 a_17182_17908# a_16177_17542# a_17106_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X177 a_7527_10470# a_6051_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=6.5e+11p pd=5.3e+06u as=0p ps=0u w=1e+06u l=150000u
+X178 a_5377_10848# a_4861_10476# a_5282_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X179 a_15052_21482# a_15330_21466# a_15286_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X180 a_14628_20262# a_14502_20378# a_14224_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X181 a_14204_17756# a_14054_17908# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X182 a_3568_11166# a_6477_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X183 a_6969_12646# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X184 a_17612_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X185 vdd a_4145_19860# a_4106_19986# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X186 vss a_12326_16142# a_13785_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X187 a_14843_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X188 vdd a_3899_9926# a_10886_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X189 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X190 vdd a_7573_12254# a_9551_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X191 a_15859_18305# a_12765_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X192 vdd a_14121_10988# a_14108_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X193 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X194 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X195 vss a_16355_19406# a_16445_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X196 a_12927_13734# a_12897_13708# a_12855_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X197 vdd a_6939_12620# a_9737_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X198 vss a_10153_20242# a_11117_20268# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X199 a_16736_18630# a_16343_18630# a_16626_18630# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=1.44e+11p ps=1.52e+06u w=360000u l=150000u
+X200 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X201 a_14932_16428# a_14245_18406# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X202 a_6871_12102# a_6701_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X203 a_5277_21868# a_9680_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X204 a_11446_16454# a_10015_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X205 vdd a_14329_15884# a_14260_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X206 a_11076_15518# a_11172_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X207 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X208 a_4232_13556# a_4145_13332# a_3828_13442# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X209 a_17341_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X210 vss a_13845_12076# a_13779_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X211 a_11678_15518# a_8482_15752# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X212 vss a_11076_15518# a_11025_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X213 vdd a_16434_14912# a_16392_14938# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X214 vdd a_11025_13190# a_11569_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X215 vdd a_19955_17707# a_19955_15979# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=300000u
+X216 vdd a_13323_12344# a_13213_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X217 a_8980_13734# a_8803_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X218 a_4897_20780# a_8869_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X219 vss a_9447_20806# a_9740_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X220 a_6926_19968# a_3713_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X221 vdd a_16839_17296# a_16869_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X222 a_13629_12878# a_7477_12254# a_13775_12966# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X223 a_14573_16428# a_14834_16606# a_14792_16454# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X224 a_13792_15188# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X225 a_5129_12102# a_4954_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X226 a_12696_20084# a_12609_19860# a_12292_19970# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X227 vdd ctl5p n5p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X228 a_6153_17318# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X229 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X230 a_7273_20948# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X231 vss a_15859_18305# a_14337_18782# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X232 a_11360_18604# a_11393_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X233 a_17628_14822# a_17451_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X234 a_3717_16972# a_3920_17130# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X235 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X236 vdd a_16914_12620# a_17365_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X237 a_6817_21568# a_6926_19968# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X238 a_16409_16129# a_14997_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X239 a_6661_21324# a_6817_21568# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X240 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X241 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X242 a_12552_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X243 a_15305_9900# a_13687_21582# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X244 vdd a_15611_14278# a_17925_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X245 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X246 vss a_3713_18068# a_6153_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X247 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X248 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X249 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X250 a_9657_15366# a_7799_16606# a_9550_15366# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=2.34e+06u as=2.5025e+11p ps=2.07e+06u w=650000u l=150000u
+X251 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.62e+12p ps=1.524e+07u w=1e+06u l=150000u
+X252 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X253 a_6122_20236# a_5873_19968# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X254 a_17106_18996# a_16626_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X255 vdd a_7797_11558# a_9645_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X256 vdd a_9247_18060# a_14297_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X257 a_7116_17908# a_6039_17542# a_6954_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X258 a_12339_10836# a_11960_10470# a_12267_10836# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X259 a_8313_15518# a_5867_15142# vss vss sky130_fd_pr__nfet_01v8 ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X260 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X261 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X262 vdd a_7765_13342# a_4889_12817# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X263 a_6426_18604# a_6661_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X264 vdd a_3899_9926# a_5642_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X265 vdd a_10397_21894# a_11060_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X266 a_9692_10470# a_8615_10476# a_9530_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X267 a_5744_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X268 a_13323_12344# a_13105_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X269 vdd a_3899_9926# a_14849_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X270 a_3534_14252# a_3713_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X271 a_13328_20084# a_12570_19986# a_12765_19955# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X272 a_3863_13190# a_3828_13442# a_3625_13164# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X273 a_7005_20494# a_6701_20806# a_7151_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X274 a_5531_18452# a_5152_18086# a_5459_18452# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X275 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X276 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X277 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X278 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X279 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X280 a_11562_14822# a_8482_15752# a_11307_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.1e+11p pd=2.62e+06u as=5.45e+11p ps=5.09e+06u w=1e+06u l=150000u
+X281 vss a_3534_14252# a_3564_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X282 result9 a_4270_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X283 vss ctl4n n4n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X284 vss a_14541_20504# a_14502_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X285 a_12029_10444# a_11834_10586# a_12339_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X286 vdd a_3899_9926# a_10065_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X287 a_8313_15518# a_8677_15346# a_8612_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X288 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X289 a_16999_11936# a_15809_11564# a_16890_11936# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X290 a_16510_10586# a_12769_12872# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X291 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X292 vdd a_5277_19692# a_6977_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X293 a_9632_12646# a_9513_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.6e+11p pd=5.12e+06u as=0p ps=0u w=1e+06u l=150000u
+X294 vss a_9949_20958# a_9894_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X295 a_17328_13734# a_16251_13740# a_17166_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X296 a_14206_14100# a_13601_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X297 vss ctl9p n9p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X298 a_14489_14252# a_14314_14278# a_14668_14278# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X299 n7p ctl7p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X300 a_5675_19174# a_5498_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X301 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X302 a_17925_19406# a_15611_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X303 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X304 a_13010_12102# a_12115_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X305 a_14132_21058# a_14449_20948# a_14407_20806# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X306 vss a_14697_20236# a_14628_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X307 a_10617_16972# a_6599_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X308 vss a_7865_10988# a_7799_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X309 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X310 a_15327_21716# a_14849_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X312 vdd a_12697_21350# a_13233_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X313 a_6485_16214# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X314 a_16597_13164# a_17098_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X315 a_9422_12076# a_7477_12254# a_9645_12102# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X316 a_9740_20262# a_8982_20378# a_9177_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X317 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X318 vss a_6025_11790# a_4401_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X319 vss trim3 a_24604_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=8.7e+11p ps=7.74e+06u w=1e+06u l=300000u
+X320 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X321 vdd a_7591_10444# a_7527_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X322 a_17341_14038# a_17166_14112# a_17520_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X323 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X324 a_9978_17114# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X325 vss a_11025_13190# a_11569_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X326 a_5299_20628# a_4821_20236# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X327 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X328 a_4154_16998# a_3717_16972# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X329 vss a_7797_11558# a_9645_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X330 vss a_5921_14796# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=6.565e+11p ps=5.92e+06u w=650000u l=150000u
+X331 a_9151_18318# a_9247_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X332 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X333 a_4232_13556# a_4106_13458# a_3828_13442# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X334 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X335 a_9546_12646# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X336 vdd a_16626_18630# a_16802_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X337 vss a_3665_16998# a_4589_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X338 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X339 a_11359_15518# a_11455_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X340 a_10585_19416# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X341 a_11529_13734# a_11021_14054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.35e+11p pd=2.67e+06u as=0p ps=0u w=1e+06u l=150000u
+X342 vss a_3899_9926# a_14011_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X343 vdd a_6701_20806# a_8163_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X344 vdd a_3899_9926# a_11353_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X345 vss a_3899_9926# a_14259_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X346 a_16626_17542# a_16177_17542# a_16531_17542# vss sky130_fd_pr__nfet_01v8 ad=1.44e+11p pd=1.52e+06u as=1.87e+11p ps=1.93e+06u w=360000u l=150000u
+X347 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X348 a_24177_20196# trimb2 vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=300000u
+X349 a_17290_17908# a_16343_17542# a_17182_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X350 vss a_5159_20951# a_6219_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X351 vss a_3899_9926# a_10883_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X352 vdd a_17433_14252# a_17420_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X353 vss ctl5p n5p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X354 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X355 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X356 a_4324_16998# a_4198_17114# a_3920_17130# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X357 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X358 vss a_3994_10444# ctl1n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X359 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X360 a_16343_12102# a_16177_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X361 a_11371_21894# a_11297_22046# a_11025_22144# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X362 a_7343_11256# a_7125_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X363 vdd a_6426_18604# a_7797_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X364 a_4864_20084# a_4145_19860# a_4301_19955# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X365 a_14121_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X366 a_5692_21350# a_4934_21466# a_5129_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X367 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X368 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X369 a_5390_14112# a_4475_13740# a_5043_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X370 a_17365_19718# a_17098_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X371 vss a_4769_20262# a_4718_18880# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X372 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X373 a_6356_16454# a_4388_16606# a_6061_16454# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X374 a_16597_15340# a_15611_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X375 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X376 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X377 a_5965_14278# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X378 a_15611_12102# a_15020_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X379 a_8607_9900# a_7883_12646# a_8860_9926# vss sky130_fd_pr__nfet_01v8 ad=2.86e+11p pd=2.18e+06u as=2.925e+11p ps=2.2e+06u w=650000u l=150000u
+X380 vss a_17617_16606# a_16824_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X381 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X382 vdd a_11998_9900# ctl6n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X383 a_16343_12102# a_16177_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X384 a_15611_18630# a_15441_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X385 vdd a_10839_18060# a_10729_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X386 a_5067_14423# a_6848_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X387 vdd ctl4p n4p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X388 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X389 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X390 vss a_4488_16606# a_4446_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X391 a_16343_18630# a_16177_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X392 a_5277_19692# a_10617_16972# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X393 vss a_13687_21582# a_15305_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X394 a_8025_16606# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X395 vdd a_17925_9926# ctl0n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X396 a_4751_12652# a_4585_12652# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X397 a_3534_20780# a_3713_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X398 a_12910_20084# a_12696_20084# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X399 a_8515_12102# a_8433_12282# a_8443_12102# vss sky130_fd_pr__nfet_01v8 ad=1.47e+11p pd=1.54e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X400 a_9463_12966# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X401 vdd a_14981_21894# a_15168_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X402 vdd a_5921_13355# a_3713_12628# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X403 vss a_14297_19692# a_14245_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X404 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X405 vdd ctl3p n3p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X406 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X407 vss a_7129_17516# a_7063_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X408 vss a_16506_17230# a_17739_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.445e+11p ps=3.66e+06u w=650000u l=150000u
+X409 a_9200_21350# a_9113_21592# a_8796_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X410 a_12765_19955# a_12570_19986# a_13075_19718# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X411 a_16911_14520# a_16693_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X412 n8p ctl8p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X413 a_11851_20236# a_11633_20640# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X414 a_13511_16454# a_13469_16606# a_12909_17230# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=5.005e+11p ps=2.84e+06u w=650000u l=150000u
+X415 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X416 vss a_5277_19692# a_5873_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X417 a_14335_11532# a_14117_11936# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X418 a_11421_20433# a_11025_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X419 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X420 vdd a_17050_16428# a_17697_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X421 a_8025_16606# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X422 a_5853_15910# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X423 a_7150_14278# a_6701_14278# a_6848_14252# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X424 a_14344_17364# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X425 a_8764_10176# a_7883_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X426 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X427 a_14013_15188# a_13865_14835# a_13650_15054# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X428 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X429 a_7477_12254# a_15041_14038# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X430 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=300000u
+X431 vdd a_9645_9926# ctl4n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X432 vss rstn a_3563_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X433 a_15020_12102# a_9632_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X434 ctl7p a_16913_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X435 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X436 a_5282_10836# a_4571_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X437 vdd a_4301_13427# a_4232_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X438 a_8704_20394# a_9021_20504# a_8979_20628# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X439 a_11741_20262# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X440 vss a_4954_17516# a_5215_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X441 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X442 vss a_3899_9926# a_11803_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X443 vdd a_16914_12620# a_17925_10478# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X444 vdd a_9632_12646# a_16413_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.4e+11p ps=5.48e+06u w=1e+06u l=150000u
+X445 a_7992_21172# a_7273_20948# a_7429_21043# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X446 a_3625_15340# a_3828_15618# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X447 vss rstn a_3563_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X448 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X449 vdd a_14335_11532# a_14225_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X450 vdd a_11237_21350# a_16177_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X451 a_9417_15518# a_7883_12646# vss vss sky130_fd_pr__nfet_01v8 ad=3.8025e+11p pd=3.77e+06u as=0p ps=0u w=650000u l=150000u
+X452 a_15286_21350# a_14849_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X453 vss a_3573_19718# a_4074_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X454 a_7601_18996# a_6977_18630# a_7493_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X455 a_11577_12352# a_11705_12076# a_11659_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X456 a_7883_13734# a_7706_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X457 a_15835_21716# a_15456_21350# a_15763_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X458 vss a_10067_16428# a_10015_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X459 vdd a_10065_19148# a_3713_20244# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X460 a_14108_11380# a_13031_11014# a_13946_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X461 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X462 a_8520_14278# a_8343_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X463 a_8520_14278# a_8343_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X464 vdd a_17925_19406# a_17925_19182# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X465 vdd a_14937_18318# a_14889_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X466 vss a_9930_14430# a_6089_14430# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X467 vdd a_13744_22046# a_13693_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X468 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X469 a_7852_11380# a_6775_11014# a_7690_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X470 vdd a_3899_9926# a_4446_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X471 a_4611_15366# a_4232_15732# a_4539_15366# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X472 a_10502_19174# a_10065_19148# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X473 vdd a_7210_15054# a_5277_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X474 a_9611_14430# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X475 a_9415_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X476 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X477 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X478 a_5065_18328# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X479 a_6485_16214# a_6310_16288# a_6664_16276# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X480 vss a_6918_13342# a_8343_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X481 a_4270_14796# a_4449_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X482 vdd a_6969_12646# a_6948_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.025e+12p ps=6.05e+06u w=1e+06u l=150000u
+X483 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X484 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=300000u
+X485 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X486 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X487 trimb2 a_17925_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X488 a_9059_19406# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X489 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X490 a_15525_21324# a_15330_21466# a_15835_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X491 vss a_5067_14423# a_10092_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X492 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X493 vss a_15611_14278# a_17925_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X494 a_103126_7692# a_3564_14278# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X495 vss a_4829_10988# a_4763_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X496 vss a_7621_21358# ctl4p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X497 a_5101_13024# a_4751_12652# a_5006_13012# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X498 a_5274_21350# a_5060_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X499 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X500 a_11291_12966# a_9928_13734# a_3713_14252# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X501 vdd a_17182_18996# a_17752_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X502 a_12395_15412# a_5775_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X503 a_5867_15142# a_5775_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X504 vss a_6877_11558# a_7069_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X505 a_3534_20780# a_3713_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X506 a_5925_16428# a_4488_16606# a_6143_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X507 vdd a_4301_15603# a_4232_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X508 vss a_7134_13164# a_8803_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X509 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X510 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X511 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X512 a_17890_13440# a_13839_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X513 vss vdd ndp vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X514 vdd a_7989_19718# a_7992_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X515 vdd a_5185_15041# a_4613_13905# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X516 a_15033_21868# a_11360_18604# a_15251_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X517 a_6061_18630# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X518 a_12773_14054# a_12897_13708# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X519 vss a_3534_20780# result6 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X520 vdd a_11353_10444# a_11301_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X521 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X522 a_4453_17516# a_4954_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X523 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X524 vss a_6426_18604# a_7621_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X525 vdd a_10153_20242# a_13049_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X526 a_13978_17908# a_13498_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=9.66e+10p pd=1.3e+06u as=0p ps=0u w=420000u l=150000u
+X527 vdd a_12281_16428# a_12268_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X528 a_13654_9900# a_13685_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X529 a_7527_10790# a_6051_12254# vss vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X530 a_9827_11558# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=6.5e+11p pd=5.3e+06u as=0p ps=0u w=1e+06u l=150000u
+X531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X532 a_4847_16454# a_4256_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X533 a_11790_10470# a_11353_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X534 vdd a_7573_12254# a_11307_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X535 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X536 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X537 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X538 a_7594_15372# a_6939_12620# a_7522_15372# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X539 a_9286_15054# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X540 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X541 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X542 a_16890_11936# a_15975_11564# a_16543_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X543 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X544 a_8684_15054# a_8780_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X545 a_17433_14252# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X546 a_11361_18390# a_11186_18464# a_11540_18452# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X547 a_7683_13012# a_6969_12646# a_7611_13012# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X548 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X549 a_4062_15732# a_3625_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X550 a_4307_11256# a_4089_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X551 a_4145_15508# a_3568_11166# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X552 a_16911_12344# a_16693_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X553 vdd ctl2p n2p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X554 a_8593_21324# a_8796_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X555 a_16801_12468# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X556 vdd a_13469_16606# a_13872_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X557 a_12909_17230# a_13293_16639# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X558 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X559 a_6017_16972# a_6143_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X560 n7p ctl7p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X561 vss a_14323_21350# a_16088_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X562 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X563 a_10050_17694# a_11361_18390# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X564 vss a_8133_19692# a_11371_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X565 a_7865_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X566 vdd a_8017_19870# a_7989_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X567 a_13381_11014# a_12865_11014# a_13286_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X568 a_13499_15616# a_13469_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X569 a_5925_16428# a_6426_16428# a_6356_16454# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X570 vdd a_6954_17542# a_7129_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X571 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X572 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X573 n6p ctl6p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X574 vss a_3534_18060# result3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X575 vss a_8607_9900# a_8541_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X576 a_8770_9926# a_8734_10078# vss vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X577 vdd a_3899_9926# a_11077_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X578 vss a_6122_20236# a_6060_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X579 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X580 a_7669_14861# a_7134_13164# a_7210_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X581 vss a_9928_13734# a_8482_15752# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X582 a_12765_15910# a_12498_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X583 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X584 a_13286_11014# a_13035_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X585 vss a_3899_9926# a_6991_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X586 ctl0p a_17925_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X587 a_4145_19860# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X588 a_5784_18086# a_5026_18202# a_5221_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X589 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X590 a_16601_14112# a_16085_13740# a_16506_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X591 vss a_11019_13342# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X592 a_9021_20504# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X593 vss a_4325_19174# a_4896_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X594 vss a_16413_12620# a_16361_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X595 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X596 a_8615_12102# a_8343_11014# a_8515_12102# vss sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=0p ps=0u w=420000u l=150000u
+X597 a_16721_19718# a_14975_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X598 a_16597_13164# a_17098_13164# a_17028_13190# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X599 vdd a_4725_15892# a_14040_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X600 a_6143_20806# a_5965_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X601 vdd a_12957_18630# a_10153_20242# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X602 ctl3n a_7069_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X603 vss a_9269_21324# a_9200_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X604 vdd a_12089_19692# a_3713_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X605 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X606 vss trimb3 a_24604_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X607 a_14063_12966# a_11705_12076# a_13629_12878# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X608 vdd a_14381_18060# a_14245_18406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X609 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X610 a_16914_12620# a_16856_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X611 a_8803_14278# a_8626_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X612 a_15887_19174# a_15717_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X613 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X614 a_4012_11558# a_3835_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X615 vss a_7134_13164# a_8770_12878# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X616 vss a_6007_20954# a_5965_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X617 a_10526_18452# a_10365_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X618 vdd a_17166_14112# a_17341_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X619 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X620 a_14565_17364# a_14417_17011# a_14202_17230# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X621 a_11353_10444# a_11556_10602# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X622 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X623 vss a_14489_14252# a_14423_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X624 vss a_8520_14278# a_8626_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X625 a_12281_16428# a_12106_16454# a_12460_16454# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X626 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X627 vdd a_15041_14038# a_15028_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X628 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X629 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X630 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X631 a_6197_14252# a_7104_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X632 vdd a_11359_15518# a_11172_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X633 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X634 vss a_6197_14252# a_7313_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X635 vss a_11297_22046# a_12722_22144# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X636 vss a_11077_18604# a_11025_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X637 vdd a_12326_16142# a_16177_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X638 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X639 a_7797_11558# a_6426_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X640 vdd a_11393_17542# a_11360_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X641 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X642 a_12765_15910# a_12498_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X643 vss a_15533_14830# trim4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X644 vdd a_6969_12646# a_8497_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X645 vdd a_9928_13734# a_12211_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X646 a_4703_17364# a_4324_16998# a_4631_17364# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X647 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X648 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X649 n1n ctl1n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X650 a_10672_19174# a_10546_19290# a_10268_19306# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X651 a_4671_17792# a_3665_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X652 a_13599_11256# a_13381_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X653 vdd a_3899_9926# a_14474_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X654 vdd a_6918_13342# a_8343_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X655 a_6948_13440# a_7134_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X656 vdd a_3568_11166# a_4585_12652# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X657 vdd a_11455_15340# a_12655_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X658 vdd a_9705_10774# a_9692_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X659 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X660 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X661 a_3534_20236# a_3713_20244# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X662 a_7493_18630# a_6977_18630# a_7398_18630# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X663 vdd a_7687_10444# a_9827_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X664 a_11541_20806# a_11025_20806# a_11446_20806# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X665 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X666 vss a_11078_9900# ctl5n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X667 a_4783_18452# a_4748_18218# a_4545_18060# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X668 vss a_17925_10478# trim2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X669 a_14866_14112# a_13785_13740# a_14519_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X670 a_13967_14520# a_13749_14278# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X671 a_7398_18630# a_7239_18086# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X672 a_11446_20806# a_11025_19718# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X673 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X674 vdd a_11569_13190# a_11711_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X675 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X676 a_6235_16998# a_3713_18068# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X677 a_6848_14252# a_6701_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.478e+11p pd=2.86e+06u as=0p ps=0u w=420000u l=150000u
+X678 a_10743_10790# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X679 a_7092_13190# a_6969_12646# a_6793_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.2575e+11p ps=3.91e+06u w=650000u l=150000u
+X680 a_5043_13708# a_4825_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X681 ctl0p a_17925_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X682 vss a_5277_21868# a_9999_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X683 a_11257_9900# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X684 vdd a_17352_11014# a_17458_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X685 a_17365_20262# a_16914_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X686 a_17647_16998# a_15887_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=0p ps=0u w=1e+06u l=150000u
+X687 vdd a_14519_13708# a_14409_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X688 vss a_17050_16428# a_16994_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X689 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X690 a_7601_18996# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X691 vdd a_3899_9926# a_17182_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.73e+11p ps=2.98e+06u w=420000u l=150000u
+X692 a_12281_16428# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X693 a_7791_15366# a_7435_15630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X694 vdd a_7477_12254# a_7429_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.95e+11p ps=5.19e+06u w=1e+06u l=150000u
+X695 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X696 a_5366_18086# a_5152_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X697 vdd a_17925_10078# a_17925_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X698 a_13839_10444# a_14857_11862# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X699 a_7639_15120# a_7306_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X700 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X701 vdd a_9807_12076# a_13499_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X702 a_10217_14861# a_7791_15366# a_10145_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=0p ps=0u w=420000u l=150000u
+X703 a_4718_18880# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X704 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X705 a_16784_15518# a_17341_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X706 result7 a_3534_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X707 a_4237_17240# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X708 vdd a_6310_16288# a_6485_16214# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X709 a_11659_12352# a_11301_10470# a_11577_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X710 a_4825_14112# a_4309_13740# a_4730_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X711 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X712 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X713 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X714 vss a_12326_16142# a_16177_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X715 n5p ctl5p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X716 a_11649_21172# a_11025_20806# a_11541_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X717 vss a_9815_17230# a_8830_17516# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X718 vdd a_5043_13708# a_4933_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X719 vss a_7694_19264# a_8451_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X720 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X721 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X722 a_16343_14278# a_16177_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X723 a_4156_19290# a_3665_16998# a_4074_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X724 a_7821_19174# a_7570_19290# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X725 result1 a_3534_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X726 vdd a_6701_20806# a_7008_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X727 vss a_10153_20242# a_16177_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X728 a_4748_18218# a_5065_18328# a_5023_18452# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X729 a_8230_13440# a_8176_13342# a_7765_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X730 a_3920_17130# a_4198_17114# a_4154_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X731 a_11283_20268# a_11117_20268# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X732 vdd a_3693_11558# a_9061_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=6.5e+11p ps=5.3e+06u w=1e+06u l=150000u
+X733 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X734 a_4864_13556# a_4106_13458# a_4301_13427# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X735 a_104073_24820# a_103126_24878# vss vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=5.8e+11p ps=5.16e+06u w=1e+06u l=500000u
+X736 a_5745_16288# a_5229_15916# a_5650_16276# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X737 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X738 a_14314_14278# a_13233_14278# a_13967_14520# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X739 vdd a_5221_18060# a_5152_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X740 vss a_14708_16606# a_15521_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.9175e+11p ps=1.89e+06u w=650000u l=150000u
+X741 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X742 vss cal a_3481_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X743 a_20220_14335# a_14507_18630# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X744 vdd a_9949_20958# a_9894_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X745 a_11514_14644# a_11077_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X746 trimb1 a_17925_19182# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X747 a_13035_12646# a_12497_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X748 a_5666_13024# a_4751_12652# a_5319_12620# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X749 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X750 vss a_13653_15884# a_13469_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X751 vdd a_6197_14252# a_7423_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X752 a_7711_18872# a_7493_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X753 vdd a_7687_10444# a_8830_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X754 a_11179_13440# a_8482_15752# a_11107_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X755 a_8734_17694# a_8830_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X756 a_8134_13190# a_7908_13236# a_7765_13342# vss sky130_fd_pr__nfet_01v8 ad=3.8675e+11p pd=3.79e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X757 a_4324_16998# a_4237_17240# a_3920_17130# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X758 a_9422_12076# a_9807_12076# a_9551_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X759 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X760 a_10431_14861# a_7477_12254# a_10217_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X761 vdd a_13946_11014# a_14121_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X762 vdd a_14323_21350# a_16088_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X763 a_11759_16696# a_11541_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X764 a_14022_11924# a_13601_10470# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X765 vss a_3899_9926# a_16955_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X766 a_12697_21350# a_12446_21466# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X767 vdd a_17098_13164# a_17365_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X768 vss a_8734_17694# a_4388_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X769 a_6477_14796# a_6599_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X770 vss a_10741_19148# a_10672_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X771 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X772 vss a_7821_15910# a_9815_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X773 a_15260_20262# a_14541_20504# a_14697_20236# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X774 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X775 a_13417_15616# a_13545_15340# a_13499_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X776 a_11078_9900# a_11257_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X777 a_16445_19174# a_16355_19406# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X778 a_16801_12468# a_16177_12102# a_16693_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X779 a_17332_18844# a_17182_18996# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X780 n6n ctl6n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X781 a_8734_17694# a_8830_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X782 a_6948_13440# a_6918_13342# a_6876_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.1e+11p ps=2.42e+06u w=1e+06u l=150000u
+X783 a_16552_17364# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X784 a_12268_16820# a_11191_16454# a_12106_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X785 vdd a_6025_11790# a_4401_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X786 a_4571_12102# a_4401_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X787 a_7398_18630# a_7239_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X788 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X789 vss a_6485_16214# a_6419_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X790 a_4351_11014# a_4307_11256# a_4185_11014# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X791 a_13323_16704# a_13293_16639# a_12909_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.3e+11p pd=5.06e+06u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X792 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X793 a_7335_15412# a_6319_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X794 a_15041_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X795 vdd a_3717_16972# a_3665_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X796 a_11078_9900# a_11257_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X797 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X798 a_10426_16428# a_12501_15372# vss vss sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X799 a_10383_20806# a_9025_22027# a_9949_20958# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X800 vdd a_9930_18782# a_9025_22027# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X801 a_4864_15732# a_4145_15508# a_4301_15603# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X802 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X803 a_8134_13190# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X804 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X805 a_10429_20780# a_12281_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X806 a_16531_17542# a_16445_19174# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X807 vdd a_13565_16428# a_8025_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X808 a_15007_20628# a_14628_20262# a_14935_20628# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X809 a_11965_11341# a_11301_10470# a_11893_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.864e+11p pd=2.68e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X810 vss a_14121_10988# a_14055_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X811 a_15472_17114# a_14381_18060# a_15390_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X812 a_13654_14278# a_12943_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=420000u l=150000u
+X813 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X814 result2 a_3534_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X815 ctl4p a_7621_21358# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X816 a_9200_21350# a_9074_21466# a_8796_21482# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X817 vss a_10176_19718# a_10282_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X818 a_9263_13734# a_9086_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X819 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X820 a_7129_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X821 a_12267_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X822 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X823 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X824 vdd a_14054_17908# a_14624_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X825 a_14879_15366# a_14889_18086# vss vss sky130_fd_pr__nfet_01v8 ad=3.445e+11p pd=3.66e+06u as=0p ps=0u w=650000u l=150000u
+X826 a_9705_10774# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X827 a_16543_11532# a_16325_11936# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X828 vdd a_6701_12254# a_6701_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X829 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X830 vdd a_3564_14278# a_103126_24878# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X831 trimb1 a_17925_19182# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X832 vdd a_3899_9926# a_3625_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X833 a_5027_10476# a_4861_10476# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X834 a_16733_13190# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X835 vss a_5921_13355# a_3713_12628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X836 a_6661_21324# a_6817_21568# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X837 a_11073_11179# a_8433_12282# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X838 vss a_11361_18390# a_11295_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X839 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X840 ctl8n a_15126_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X841 a_5925_18604# a_4488_16606# a_6143_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=3.25e+11p ps=2.65e+06u w=1e+06u l=150000u
+X842 vss a_5221_18060# a_5152_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X843 vss a_16434_14912# a_16733_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X844 vdd ctl8p n8p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X845 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X846 vss a_5197_11790# a_3897_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X847 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X848 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X849 vss a_6661_21324# a_6426_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X850 a_13670_12102# a_12589_12102# a_13323_12344# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X851 vdd a_7865_10988# a_7852_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X852 a_14245_18406# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X853 vdd a_6089_14430# a_6701_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X854 a_10268_19306# a_10546_19290# a_10502_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X855 a_15521_17542# a_14245_18406# a_14931_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X856 a_9639_10848# a_8449_10476# a_9530_10848# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X857 a_6007_16276# a_5963_15884# a_5841_16288# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X858 a_10188_15910# clk vss vss sky130_fd_pr__nfet_01v8 ad=2.352e+11p pd=2.8e+06u as=0p ps=0u w=420000u l=150000u
+X859 a_4089_11014# a_3739_11014# a_3994_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X860 vss a_4954_17516# a_5129_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X861 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X862 a_12654_10444# a_12681_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X863 vdd a_16543_11532# a_16433_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X864 a_3843_17542# a_3665_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X865 vss a_3899_9926# a_13367_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X866 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X867 vss a_17365_20262# a_17925_21358# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X868 vdd a_17925_21358# trimb2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X869 vdd a_11186_18464# a_11361_18390# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X870 vss a_4270_14796# result9 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X871 vss a_3693_11558# a_3835_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X872 a_9611_18782# a_9025_22027# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X873 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X874 vss a_3707_17690# a_3665_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X875 a_14631_17296# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X876 vdd a_12273_19148# a_12221_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X877 a_14931_15518# a_14834_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X878 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X879 a_7398_10444# a_6595_10470# a_7527_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X880 a_13643_11014# a_13599_11256# a_13477_11014# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X881 vss a_6043_13734# a_7104_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X882 vdd a_15126_9900# ctl8n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X883 vss ctl1n n1n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X884 a_4295_11558# a_4118_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X885 vdd a_3899_9926# a_14021_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X886 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X887 a_6043_13734# a_5565_14038# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X888 vss a_15611_14278# a_17925_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X889 n3n ctl3n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X890 a_3713_18068# a_7129_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X891 a_16802_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X892 vss a_17149_10444# a_14975_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X893 vdd a_13005_16972# a_13545_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X894 a_3651_13734# a_3481_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X895 a_12641_17230# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X896 a_17835_17318# a_15887_19174# a_17050_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X897 vdd a_14849_21324# a_4725_15892# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X898 a_4677_14528# a_4805_14252# a_4759_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X899 n0p ctl0p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X900 a_16909_16704# a_16824_16606# a_16691_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X901 a_12583_16276# a_12313_15910# a_12498_15910# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X902 a_11076_15518# a_11172_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X903 a_5371_11896# a_5305_11790# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X904 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X905 vdd a_11237_21350# a_11379_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X906 vss a_8233_18604# a_8167_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X907 a_11556_10602# a_11834_10586# a_11790_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X908 a_13545_15340# a_13005_16972# a_14699_12966# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X909 vss a_9021_20504# a_8982_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X910 vdd a_11851_20236# a_11741_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X911 a_6227_19406# a_3713_18068# a_6390_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X912 a_16815_13440# a_16434_14912# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X913 a_14027_22046# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X914 a_12198_20640# a_11283_20268# a_11851_20236# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X915 vss a_12765_19955# a_12696_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X916 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X917 vss a_11353_10444# a_11301_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X918 a_16789_14278# a_16343_14278# a_16693_14278# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X919 vdd a_5392_19174# a_5498_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X920 a_10883_18452# a_10839_18060# a_10717_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X921 a_14090_15910# a_13653_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X922 vdd a_8498_11790# a_6701_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X923 vdd a_17258_14278# a_17433_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X924 vss a_12281_16428# a_12215_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X925 a_3828_15618# a_4106_15634# a_4062_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X926 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X927 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X928 a_15549_11014# a_15298_11264# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X929 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X930 a_10153_20242# a_12957_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X931 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X932 a_9073_10470# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X933 vdd a_14682_11936# a_14857_11862# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X934 a_17433_12076# a_17258_12102# a_17612_12102# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X935 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X936 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X937 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X938 a_7821_15910# a_7570_16026# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X939 vss a_5067_14423# a_5023_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.275e+11p ps=2e+06u w=650000u l=150000u
+X940 vdd a_6197_14252# a_8484_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X941 a_8869_21868# a_9025_22027# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X942 a_9177_20236# a_8982_20378# a_9487_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X943 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X944 a_3994_21868# a_3843_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X945 a_10365_17542# a_10092_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X946 vdd a_5159_20951# a_4851_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X947 vss a_7273_20948# a_7234_21074# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X948 a_7310_17114# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X949 a_12391_11014# a_11965_11341# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X950 a_3534_12620# a_3713_12628# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X951 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X952 vdd a_17141_19148# a_16717_18099# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X953 a_3994_10444# a_4173_10452# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X954 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X955 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X956 a_17727_13342# a_13839_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X958 vss a_3994_21868# ctl1p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X959 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X960 vdd a_17365_19718# a_17925_20270# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X961 a_7908_13236# a_7878_13210# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X962 vss a_9611_18782# a_9424_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X963 vss a_3899_9926# a_7387_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X964 vdd a_17925_21894# ctl0p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X965 a_16445_19174# a_16355_19406# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X966 a_6485_17542# a_6039_17542# a_6389_17542# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X967 a_16773_17364# a_9807_12076# a_16410_17230# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X968 a_6025_11790# a_6253_11574# a_6199_11896# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X969 a_10217_15188# a_9963_14861# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X970 a_16815_15616# a_16784_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X971 a_14024_12102# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X972 vss a_13565_16428# a_8025_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X973 a_8980_16998# a_8803_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X974 vdd a_12326_16142# a_16177_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X975 vdd a_14975_12254# a_16721_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X976 a_9470_18318# a_8830_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X977 a_5650_16276# a_5533_16081# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X978 a_104073_8108# a_103126_7692# vss vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X979 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X980 a_4656_21482# a_4973_21592# a_4931_21716# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X981 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X982 a_16325_11936# a_15975_11564# a_16230_11924# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X983 a_10095_21056# a_9025_22027# a_9949_20958# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X984 vdd a_10153_20242# a_11117_20268# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X985 a_7755_18630# a_7711_18872# a_7589_18630# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X986 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X987 vss a_13565_16428# a_13511_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X988 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X989 a_13565_16428# a_17333_15884# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X990 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X991 a_12843_12966# a_12769_12872# a_12497_12646# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X992 a_11803_16454# a_11759_16696# a_11637_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X993 a_5473_10848# a_5027_10476# a_5377_10848# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X994 a_6039_17542# a_5873_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X995 vdd a_6197_14252# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X996 a_8497_12878# a_8770_12878# a_8728_13006# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X997 a_15763_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X998 vss a_11025_18630# a_11304_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X999 a_9631_11014# a_9454_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1000 vdd en a_3516_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1001 a_5921_13355# a_6013_13164# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1002 vss a_16913_21894# ctl7p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1003 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1004 vss a_6017_16972# a_5965_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1005 a_8957_11597# a_8706_11826# a_8498_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1006 vdd a_15041_14038# a_7477_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1007 vdd a_14297_19692# a_14245_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1008 a_13403_20806# a_13233_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1009 vdd a_6595_10470# a_8339_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.297e+11p ps=3.25e+06u w=420000u l=150000u
+X1010 vss a_16802_18604# a_16736_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1011 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1012 vdd a_12498_15910# a_12765_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1013 a_8497_12878# a_8770_12878# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1014 vss ctl6n n6n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1015 a_13499_15616# a_12589_16998# a_13417_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1016 vss a_7134_13164# a_8677_15346# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1017 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1018 n8n ctl8n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1019 a_5735_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1020 a_7151_20582# a_5159_20951# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1021 a_11633_20640# a_11283_20268# a_11538_20628# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1022 vss a_6701_20806# a_6926_19968# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1023 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1024 vss a_8482_15752# a_8313_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1025 a_13955_15366# a_13417_15616# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1026 a_9414_21350# a_9200_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1027 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1028 vdd a_8980_16998# a_9086_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1029 a_8967_15910# a_5067_14423# vss vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X1030 n5p ctl5p vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1031 a_5841_12950# a_5666_13024# a_6020_13012# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1032 a_11446_20806# a_11025_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1033 vdd vss ndn vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1034 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1035 vdd a_14021_20236# a_3713_21332# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1036 a_15280_11166# a_17065_11862# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1037 a_10095_21056# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1038 vdd a_9928_13734# a_10431_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1039 a_12704_19494# a_9247_18060# a_12409_19494# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1040 a_3843_17542# a_3665_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1041 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1042 vdd a_4145_13332# a_4106_13458# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1043 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1044 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1045 vdd a_6848_14252# a_5067_14423# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1046 vdd a_4388_16606# a_5925_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1047 a_7352_14861# a_7306_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1048 a_9886_15616# a_7791_15366# a_9543_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=4.15e+11p pd=2.83e+06u as=6.55e+11p ps=5.31e+06u w=1e+06u l=150000u
+X1049 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1050 vdd a_5277_21868# a_11257_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1051 a_12198_20640# a_11117_20268# a_11851_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1052 a_14682_11936# a_13601_11564# a_14335_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1053 vss a_11345_12620# a_11291_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1054 vdd a_9247_18060# a_15033_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1055 a_12944_16998# a_12909_17230# a_12641_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.05e+11p pd=2.61e+06u as=0p ps=0u w=1e+06u l=150000u
+X1056 vdd clkc a_23521_16136# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X1057 a_10426_16428# a_12501_15372# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.75e+11p pd=2.55e+06u as=0p ps=0u w=1e+06u l=150000u
+X1058 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1059 vdd a_12106_16454# a_12281_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1060 a_16347_10702# a_12769_12872# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1061 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1062 vss a_9286_15054# a_7799_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1063 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1064 vss ctl0n n0n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1065 vss a_8684_15054# a_8633_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1066 a_9579_21716# a_9200_21350# a_9507_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1067 vdd a_5277_19692# a_5873_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1068 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1069 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1070 a_7522_15372# a_7335_15412# a_7435_15630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X1071 n1p ctl1p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1072 a_9530_10848# a_8615_10476# a_9183_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1073 vdd a_3534_21324# result7 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1074 trim3 a_15533_10478# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1075 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1076 vss a_3899_9926# a_3955_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1077 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1078 a_104073_7792# vdd a_103126_7850# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1079 a_7611_13012# a_6043_13734# a_7529_12759# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1080 vss ctl3p n3p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1081 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1082 n1p ctl1p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1083 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1084 vdd a_8868_18318# a_8817_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1085 a_7335_15412# a_6319_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1086 a_16598_12102# a_16361_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1087 a_13105_12102# a_12589_12102# a_13010_12102# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1088 a_17847_18086# a_17050_16428# a_17141_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1089 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1090 vss a_4453_17516# a_4401_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1091 vss a_3717_16972# a_3665_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1092 vdd a_3534_15884# result1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1093 ctl6n a_11998_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1094 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1095 a_12592_10470# a_11873_10712# a_12029_10444# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X1096 a_5955_19968# a_4769_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X1097 a_14204_17756# a_14054_17908# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1098 a_7821_15910# a_7570_16026# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1099 vdd a_17628_14822# a_17734_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1100 a_4197_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1101 vss a_4821_20236# a_4769_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1102 a_9378_19406# a_7821_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1103 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1104 a_8339_12468# a_8343_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1105 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1106 a_11280_14530# a_11558_14546# a_11514_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1107 a_14750_21172# a_14536_21172# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1108 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1109 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1110 a_7233_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1111 a_11025_22144# a_9025_22027# a_11107_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1112 vdd a_7821_19174# a_9680_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1113 a_11051_19540# a_10672_19174# a_10979_19540# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1114 a_6139_12102# a_6051_12254# a_5305_11790# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1115 a_6197_14252# a_7104_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1116 a_8607_9900# a_8706_11826# a_8764_10176# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=0p ps=0u w=1e+06u l=150000u
+X1117 a_6969_12646# a_6939_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1118 a_7865_10988# a_7690_11014# a_8044_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1119 a_15210_12102# a_15280_11166# vss vss sky130_fd_pr__nfet_01v8 ad=4.55e+11p pd=4e+06u as=0p ps=0u w=650000u l=150000u
+X1120 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1121 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1122 vss a_3899_9926# a_11315_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1123 vinp a_103126_24720# vp vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1124 a_17635_11014# a_17458_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1125 ctl8n a_15126_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1126 a_14021_20236# a_14224_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1127 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1128 a_7308_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1129 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1130 vss a_13746_15054# a_15210_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1131 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1132 a_14167_20806# a_14132_21058# a_13929_20780# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1133 a_15305_9900# a_13687_21582# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1134 vss a_12498_15910# a_12765_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1135 vdd a_16445_20262# a_16729_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1136 vss a_7398_10444# a_6253_11574# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X1137 a_13545_15340# a_12909_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1138 a_15611_14278# a_15441_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1139 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1140 a_17166_14112# a_16251_13740# a_16819_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1141 a_5277_21868# a_9680_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1142 result4 a_3534_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1143 vdd a_7711_18872# a_7601_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1144 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1145 a_16343_14278# a_16177_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1146 vss a_4973_21592# a_4934_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1147 a_4759_14528# a_3573_13190# a_4677_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1148 vss a_17050_16428# a_17739_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1149 a_24604_20196# trimb3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1150 a_10717_18464# a_10271_18092# a_10621_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.188e+11p ps=1.38e+06u w=360000u l=150000u
+X1151 vss a_12395_15412# a_12501_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.226e+11p ps=2.74e+06u w=420000u l=150000u
+X1152 a_9705_10774# a_9530_10848# a_9884_10836# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1153 vdd a_5129_21324# a_5060_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1154 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1155 a_14054_17908# a_13049_17542# a_13978_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.73e+11p pd=2.98e+06u as=0p ps=0u w=420000u l=150000u
+X1156 a_13565_16428# a_17333_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1157 vdd a_6569_15340# a_6599_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1158 ctl9p a_17005_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1159 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1160 n9p ctl9p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1161 vss a_9113_21592# a_9074_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1162 trimb4 a_17925_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1163 vdd a_14866_14112# a_15041_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1164 vdd a_14202_17230# a_13833_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1165 a_10203_13440# a_6197_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X1166 vss a_14123_21868# a_17005_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1167 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1168 vss a_6939_12620# a_9737_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1169 vss a_11569_13190# a_12843_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1170 vdd a_9928_13734# a_12037_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1171 a_17028_15366# a_9632_12646# a_16733_15366# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1172 vdd a_5197_11790# a_3897_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X1173 a_5129_12102# a_4954_17516# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1174 vdd ctl1n n1n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1175 a_6319_12646# a_5841_12950# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1176 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1177 a_8704_20394# a_8982_20378# a_8938_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1178 vss a_14937_18318# a_14889_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1179 vdd a_9348_11014# a_9454_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1180 a_7125_11014# a_6609_11014# a_7030_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1181 vdd a_16413_12620# a_16361_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1182 vss a_4301_19955# a_4232_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X1183 vdd a_3568_11166# a_3573_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1184 vss a_16409_16129# a_14943_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1185 a_13403_17542# a_13366_17696# vss vss sky130_fd_pr__nfet_01v8 ad=1.87e+11p pd=1.93e+06u as=0p ps=0u w=640000u l=150000u
+X1186 vdd a_3534_16428# result2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1187 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1188 a_14541_20504# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1189 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1190 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1191 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1192 a_15036_11924# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1193 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1194 a_10543_19540# a_10065_19148# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1195 a_16717_18099# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1196 a_11257_9900# a_5277_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1197 vdd a_3568_11166# a_6609_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1198 a_4074_19290# a_3665_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1199 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1200 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1201 vdd a_3899_9926# a_13653_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1202 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1203 vdd a_13967_14520# a_13857_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1204 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1205 vdd a_9530_10848# a_9705_10774# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1206 a_7005_20494# a_4401_21350# a_7151_20582# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X1207 vdd a_17925_19182# trimb1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1208 vss a_3805_12267# a_3757_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1209 vss a_8967_15054# a_8780_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1210 a_5221_18060# a_5026_18202# a_5531_18452# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1211 vdd a_7134_13164# a_8677_15346# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1212 a_14975_12254# a_17149_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1213 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1214 a_5595_10444# a_5377_10848# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1215 vss a_7591_10444# a_10183_11166# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1216 a_14335_11532# a_14117_11936# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1217 vss a_4012_11558# a_4118_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1218 a_4270_14796# a_4449_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1219 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1220 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1221 a_13003_19718# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1222 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1223 a_14323_21350# a_13732_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1224 a_14202_17230# a_14381_18060# a_14344_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1225 a_5008_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1226 ndp vdd vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1227 vdd a_6043_13734# a_7529_12759# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X1228 a_8058_18630# a_7143_18630# a_7711_18872# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1229 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1230 a_14699_12966# a_12909_17230# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1231 a_4589_17542# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1232 a_5963_15884# a_5745_16288# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X1233 a_12273_19148# a_11237_21350# a_12704_19494# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X1234 a_14631_17296# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1235 vss a_13469_16606# a_13293_16639# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1236 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1237 a_13732_21350# a_9247_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=7.4e+11p pd=5.48e+06u as=0p ps=0u w=1e+06u l=150000u
+X1238 vdd a_8776_19406# a_8725_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1239 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1240 a_14866_14112# a_13951_13740# a_14519_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X1241 a_4103_19718# a_3625_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1242 vdd a_9348_13190# a_9454_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1243 a_16946_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1244 vss a_12909_17230# a_12859_17318# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.705e+11p ps=3.74e+06u w=650000u l=150000u
+X1245 a_12106_16454# a_11191_16454# a_11759_16696# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1246 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1247 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1248 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1249 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1250 a_11839_21350# a_11662_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1251 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1252 a_14937_18318# a_14834_16606# a_15171_18452# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1253 vss a_3899_9926# a_11591_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1254 vdd a_5595_10444# a_5485_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1255 vss a_17925_21894# ctl0p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1256 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1257 a_11107_22144# a_11297_22046# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X1258 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1259 a_9928_13734# a_6197_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1260 vss a_16914_12620# a_17365_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1261 a_16445_20262# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1262 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1263 vss a_4926_19967# a_4864_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1264 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1265 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1266 vdd a_13845_12076# a_13832_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1267 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1268 a_10397_21894# a_10146_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1269 a_7477_12254# a_15041_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1270 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1271 a_12186_11014# a_11301_10470# a_11965_11341# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1272 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1273 a_7821_19174# a_7570_19290# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1274 a_3625_19692# a_3828_19970# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1275 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1276 result2 a_3534_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1277 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1278 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1279 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1280 a_4446_16454# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1281 vdd a_12200_11558# a_12306_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1282 a_9348_13190# a_9171_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1283 a_11191_20806# a_11025_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1284 vdd a_4401_17542# a_4956_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1285 a_5101_13024# a_4585_12652# a_5006_13012# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1286 a_7429_21043# a_7273_20948# a_7574_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1287 a_6199_11896# a_5305_11790# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1288 a_13687_21582# a_14245_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1289 vdd clk a_10188_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1290 a_7883_12646# a_7529_12759# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1291 a_4825_14112# a_4475_13740# a_4730_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1292 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1293 vss a_7147_17230# a_7069_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1294 vdd a_9632_12646# a_13601_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1295 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1296 a_13856_16042# a_14134_16026# a_14090_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1297 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1298 vss a_11849_12254# a_15298_11264# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1299 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1300 a_9417_15518# a_3573_13190# a_9657_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1301 vss trim1 a_23750_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X1302 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1303 a_16644_18125# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1304 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1305 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1306 a_8058_18630# a_6977_18630# a_7711_18872# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X1307 a_5067_14423# a_6848_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1308 vdd a_16729_9926# ctl9n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1309 vss a_17925_19182# trimb1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1310 a_7591_10444# a_8852_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1312 a_5006_13012# a_4889_12817# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1313 a_11753_14515# a_11558_14546# a_12063_14278# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1314 trimb0 a_17925_20270# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1315 a_16710_18354# a_17752_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1316 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1317 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1318 a_14224_20394# a_14541_20504# a_14499_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1319 vdd a_3899_9926# a_12910_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1320 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1321 a_13749_14278# a_13399_14278# a_13654_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1322 vss a_15441_18782# a_15441_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1323 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1324 vdd a_9247_18060# a_11077_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1325 a_9928_14054# a_6918_13342# a_10118_14054# vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=5.135e+11p ps=5.48e+06u w=650000u l=150000u
+X1326 vdd a_17182_17908# a_17752_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1327 a_5390_14112# a_4309_13740# a_5043_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1328 a_14162_17908# a_13215_17542# a_14054_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1329 a_15028_13734# a_13951_13740# a_14866_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1330 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1331 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1332 a_8220_18996# a_7143_18630# a_8058_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1333 vdd a_13674_17516# a_13584_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X1334 vss ctl1p n1p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1335 a_13499_15366# a_12589_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1336 vdd a_4954_17516# a_5873_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1337 a_12115_12102# a_11577_12352# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1338 vdd a_12281_20780# a_12268_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1339 vdd a_5098_21868# ctl5p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1340 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1341 vss a_8497_12878# a_6013_13164# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1342 a_8313_15518# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1343 a_7210_15054# a_5867_15142# a_7352_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1344 vss a_12326_16142# a_12589_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1345 a_14828_13440# a_13865_14835# a_14746_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1346 vdd a_3573_19718# a_4156_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1347 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1348 vdd a_13670_12102# a_13845_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1349 a_5873_19968# a_4897_20780# a_5955_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1350 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1351 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1352 vdd a_7306_15054# a_8230_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1353 a_4539_13190# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1354 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1355 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1356 a_4089_11014# a_3573_11014# a_3994_11014# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1357 a_7439_20582# a_4897_20780# a_7005_20494# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1358 vss a_8980_16998# a_9086_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1359 a_10095_20806# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1360 a_9949_20958# a_10429_20780# a_10095_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1361 a_14605_21043# a_14449_20948# a_14750_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1362 a_4062_20084# a_3625_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1363 vss a_8062_16454# a_8484_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1364 a_14449_20948# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1365 vdd a_13653_15884# a_13469_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1366 a_4538_16998# a_4324_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1367 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1368 a_5377_10848# a_5027_10476# a_5282_10836# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1369 vdd a_6877_11558# a_7069_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1370 a_16736_17542# a_16343_17542# a_16626_17542# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=0p ps=0u w=360000u l=150000u
+X1371 a_17182_18996# a_16177_18630# a_17106_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1372 a_9071_21716# a_8593_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1373 a_7151_20262# a_4897_20780# a_7005_20494# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1374 a_7591_10444# a_8852_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1375 a_14857_11862# a_14682_11936# a_15036_11924# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1376 trimb2 a_17925_21358# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1377 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1378 a_5209_12646# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1379 vdd a_11849_12254# a_15380_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1380 vdd a_3713_14252# a_12927_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=700000u l=150000u
+X1381 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1382 vss a_11077_14252# a_6939_12620# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1383 vss a_9894_21324# a_9832_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1384 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1385 a_16710_18354# a_17752_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1386 vdd a_4145_15508# a_4106_15634# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1387 vdd a_4401_12254# a_4401_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1388 vdd a_8133_19692# a_11107_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1389 a_6973_18312# a_8233_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1390 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1391 a_7398_10444# a_7687_10444# a_7621_10790# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X1392 vdd a_4388_16606# a_5925_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1393 vdd a_4393_16972# a_4324_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1394 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1395 vss a_12769_12872# a_14063_10790# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1396 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1397 a_12583_15616# a_12395_15412# a_12501_15372# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1398 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1399 vss a_11455_15340# a_12501_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1400 a_12804_22144# a_4725_15892# a_12722_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1401 vss a_14631_17296# a_14565_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1402 vdd a_8967_15054# a_8780_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1403 a_8965_10848# a_8449_10476# a_8870_10836# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1404 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1405 trimb3 a_17925_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1406 vdd a_11025_18630# a_11304_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1407 a_6599_15366# a_6569_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1408 a_13367_12102# a_13323_12344# a_13201_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1409 vss a_3899_9926# a_6007_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1410 vdd a_3568_11166# a_5229_15916# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1411 a_8433_12282# a_9705_10774# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1412 a_15369_21592# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1413 trim1 a_17925_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1414 a_13845_12076# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1415 a_4145_13332# a_3568_11166# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1416 a_7352_15188# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1417 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1418 a_4954_17516# a_4896_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1419 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1420 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1421 a_7360_21172# a_7273_20948# a_6956_21058# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1422 a_14224_20394# a_14502_20378# a_14458_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1423 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1424 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1425 vss a_14173_16152# a_14134_16026# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1426 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1427 a_7151_20262# a_5159_20951# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1428 vss a_3568_11166# a_6609_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1429 vdd a_103126_7850# w_102926_7434# w_102926_7434# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=5.16e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1430 vdd a_5921_14796# a_11349_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1431 vss a_6426_18604# a_7797_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1432 vdd a_4301_19955# a_4232_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=840000u l=150000u
+X1433 a_16251_13740# a_16085_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1434 vdd a_14541_20504# a_14502_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1435 a_14078_17542# a_13498_17542# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1436 vss a_11345_12620# a_11304_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1437 a_8497_12878# a_7573_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1438 vss a_8017_19870# a_7989_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1439 clkc a_20220_14335# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1440 vdd a_3994_21868# ctl1p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1441 a_11025_22144# a_9025_22027# a_11107_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X1442 a_10979_19540# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1443 a_8062_16454# a_7821_15910# a_7887_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=5.95e+11p ps=5.19e+06u w=1e+06u l=150000u
+X1444 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1445 a_5305_11790# a_6051_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1446 a_13653_15884# a_13856_16042# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1447 a_9463_12966# a_6969_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1448 vdd a_15533_10478# trim3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1449 a_6595_10470# a_6117_10774# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1450 vdd a_12654_10444# a_12592_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1451 a_7239_18086# a_6701_18086# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1452 vdd a_16911_12344# a_16801_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1453 a_3828_13442# a_4145_13332# a_4103_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1454 vdd a_12391_11014# a_12681_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1455 vss ctl3n n3n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1456 vdd a_14857_11862# a_14844_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1457 a_12115_12102# a_11577_12352# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1458 vss a_10328_16606# a_12583_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1459 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1460 a_5197_11790# a_5307_11014# a_5371_11896# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1461 vss a_12326_16142# a_16177_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1462 a_10526_18452# a_10365_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1463 a_6848_14252# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1464 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1465 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1466 a_16890_11936# a_15809_11564# a_16543_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1467 vdd a_13403_20806# a_16913_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1468 a_9263_13734# a_9086_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1469 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1470 vss a_3899_9926# a_16863_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1471 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1472 a_7134_13164# a_8484_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1473 a_5963_15884# a_5745_16288# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1474 vss a_16445_20262# a_16729_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1475 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1476 vss ctl0p n0p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1477 n8p ctl8p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1478 a_15611_18630# a_15441_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1479 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1480 a_3920_17130# a_4237_17240# a_4195_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X1481 vdd a_9611_14430# a_9424_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1482 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1483 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1484 vdd a_9417_15518# a_8176_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.85e+11p ps=2.57e+06u w=1e+06u l=150000u
+X1485 a_17098_13164# a_17132_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1486 a_8640_11597# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X1487 a_10303_19540# a_10268_19306# a_10065_19148# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1488 vss a_11597_14420# a_11558_14546# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1489 vss a_16691_16428# a_14834_16606# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1490 a_6793_13190# a_6918_13342# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1491 a_4921_14112# a_4475_13740# a_4825_14112# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X1492 vdd a_9113_21592# a_9074_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1493 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1494 a_4197_11380# a_3573_11014# a_4089_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1495 vdd a_6319_12646# a_7529_12759# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1496 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1497 vss a_15887_19174# a_17617_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1498 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1499 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1500 a_7387_11014# a_7343_11256# a_7221_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X1501 vdd cal a_3481_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1502 a_12281_20780# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1503 vss a_11237_21350# a_12177_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1504 a_7273_20948# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1505 vss a_4449_14804# a_15169_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1506 vdd clk a_10188_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1507 vss a_16784_15518# a_17727_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1508 vdd a_16506_17230# a_16909_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1509 vss a_3899_9926# a_16955_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1510 a_5841_16288# a_5395_15916# a_5745_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1511 vdd a_7069_9926# ctl3n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1512 a_5027_10476# a_4861_10476# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1513 a_15041_14038# a_14866_14112# a_15220_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1514 ctl1p a_3994_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1515 vdd a_3534_18604# result4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1516 a_12696_20084# a_12570_19986# a_12292_19970# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1517 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1518 a_14346_22046# a_14442_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1519 vdd a_10429_20780# a_12528_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1520 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1521 result5 a_3534_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1522 vss comp a_15717_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1523 a_17290_18996# a_16343_18630# a_17182_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1524 a_14022_11924# a_13601_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X1525 a_4851_20806# a_4401_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X1526 a_17697_18318# a_17050_16428# a_18095_18406# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X1527 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1528 a_10183_11166# a_7687_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1529 vss a_5754_21324# a_5692_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1530 vdd a_17333_15884# a_13565_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1531 a_14300_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1532 a_9921_11878# a_7687_10444# a_9827_11878# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=2.08e+11p ps=1.94e+06u w=650000u l=150000u
+X1533 a_9949_20958# a_8541_21350# a_10095_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1534 a_3713_14252# a_9928_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1535 vss a_8176_13342# a_8134_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1536 a_17244_11924# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1537 vss a_5841_12950# a_5775_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1538 a_16343_17542# a_16177_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1539 a_17333_15884# a_15887_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1540 result0 a_3534_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1541 a_11487_19718# a_9025_22027# a_11053_19870# vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X1542 a_13293_16639# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1543 vss a_17333_15884# a_13565_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1544 ctl9n a_16729_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1545 vdd a_7134_13164# a_8803_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1546 a_3994_21868# a_3843_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1547 vdd a_5065_18328# a_5026_18202# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1548 a_4446_15732# a_4232_15732# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1549 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1550 a_10176_19718# a_9999_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1551 a_13654_9900# a_13685_19174# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1552 a_16543_11532# a_16325_11936# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1553 a_14442_21868# a_16218_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1554 vss a_5925_16428# a_5533_16081# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1555 vss a_3899_9926# a_6651_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1556 vss a_6117_10774# a_6051_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1557 a_13489_11380# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1558 a_3739_11014# a_3573_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1559 vdd a_9286_15054# a_7799_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1560 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1561 vss a_3568_11166# a_4861_10476# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1562 a_14661_17037# a_14381_18060# a_14202_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1563 a_6775_11014# a_6609_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1564 a_13872_13440# a_11705_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1565 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1566 vss a_3899_9926# a_11895_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1567 vdd a_16410_17230# a_16355_19406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1568 ctl9n a_16729_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1569 trim1 a_17925_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1570 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1571 vdd a_3899_9926# a_5274_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1572 vss a_16597_13164# a_16481_14491# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1573 vss a_3564_14278# a_103126_24878# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1574 a_5307_11014# a_4829_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1575 a_12268_21172# a_11191_20806# a_12106_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1576 a_16502_18318# a_16717_18099# a_16644_18125# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1577 vdd a_17727_13342# a_15441_14430# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X1578 ctl5p a_5098_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1579 vdd a_19955_17079# comp vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X1580 vss a_13629_12878# a_13601_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1581 vss a_10153_20242# a_11025_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1582 a_14507_18630# a_14337_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1583 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1584 a_17332_17756# a_17182_17908# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.184e+11p pd=2.2e+06u as=0p ps=0u w=840000u l=150000u
+X1585 vss ctl8n n8n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1586 vss a_3568_11166# a_3573_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1587 vss a_4301_15603# a_4232_15732# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X1588 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1589 n5n ctl5n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1590 a_7529_12759# a_6969_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1591 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1592 a_7573_15188# a_5867_15142# a_7210_15054# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1593 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1594 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1595 vss a_11873_10712# a_11834_10586# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1596 a_3805_12267# a_3897_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1597 a_15298_11264# a_15280_11166# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1598 a_14117_11936# a_13601_11564# a_14022_11924# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1599 n3p ctl3p vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1600 vss a_3899_9926# a_14318_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.66e+10p ps=1.3e+06u w=420000u l=150000u
+X1601 a_7030_11014# a_6871_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1602 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1603 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1604 a_8640_11924# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1605 a_17258_12102# a_16177_12102# a_16911_12344# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1606 a_8739_20628# a_8704_20394# a_8501_20236# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1607 vss a_3899_9926# a_14379_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1608 a_11021_13734# a_7669_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=4.1e+11p pd=2.82e+06u as=0p ps=0u w=1e+06u l=150000u
+X1609 a_16433_11558# a_15809_11564# a_16325_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1610 a_15328_12352# a_13746_15054# a_15020_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1611 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1612 a_9507_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1613 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1614 result6 a_3534_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1615 a_4800_18880# a_3573_15366# a_4718_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1616 vdd a_3693_11558# a_3835_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1617 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1618 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1619 vss trim2 a_24177_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=300000u
+X1620 a_13818_17542# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1621 a_3534_18604# a_3713_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1622 a_8412_18630# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1623 vdd a_8927_11856# a_8957_11597# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1624 a_12460_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X1625 a_8539_15616# a_8482_15752# a_8448_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=9.03e+10p pd=1.27e+06u as=1.281e+11p ps=1.45e+06u w=420000u l=150000u
+X1626 vss a_16552_10560# a_16347_10702# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1627 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1628 a_5639_10836# a_5595_10444# a_5473_10848# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X1629 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1630 a_16691_16428# a_16824_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+X1631 vdd a_3899_9926# a_3625_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1632 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1633 a_12313_15910# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1634 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1635 a_17420_12468# a_16343_12102# a_17258_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1636 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1637 a_8870_10836# a_8541_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1638 vdd vdd ndp vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1639 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1640 a_6871_12102# a_6701_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X1641 a_11053_19870# a_11297_22046# a_11199_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=5.9e+11p ps=5.18e+06u w=1e+06u l=150000u
+X1642 a_12273_19148# a_11237_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1643 a_4103_15366# a_3625_15340# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1644 a_4546_15884# a_4725_15892# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1645 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1646 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1647 a_11633_20640# a_11117_20268# a_11538_20628# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1648 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1649 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1650 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1651 vss a_9470_18318# a_9247_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1652 a_11873_10712# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1653 vss a_7591_10444# a_9171_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1654 vdd a_15369_21592# a_15330_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1655 a_11741_20262# a_11117_20268# a_11633_20640# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1656 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1657 a_10228_22144# a_3713_20780# a_10146_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1658 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1659 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1660 vss a_4847_16454# a_4864_15732# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1661 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1662 vdd a_11529_13734# a_12316_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1663 n4p ctl4p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1664 a_10153_20242# a_12957_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1665 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1666 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1667 vss a_14449_20948# a_14410_21074# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1668 a_9470_18318# a_8830_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1669 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1670 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1671 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1672 a_8868_18318# a_8964_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1673 a_6017_16972# a_4488_16606# a_6235_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1674 a_14458_20262# a_14021_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1675 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1676 a_13749_14278# a_13233_14278# a_13654_14278# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X1677 a_13323_16704# a_13469_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1678 vdd a_9269_21324# a_9200_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1679 a_17433_14252# a_17258_14278# a_17612_14278# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1680 a_14246_17542# a_13049_17542# a_14054_17908# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1681 vdd a_17925_20270# trimb0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1682 a_10459_19718# a_10282_19718# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1683 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1684 a_9632_12646# a_9513_15518# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1685 vdd a_12326_16142# a_16085_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1686 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1687 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1688 a_10271_18092# a_10105_18092# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1689 a_9328_18782# a_9424_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1690 a_4677_14528# a_4805_14252# a_4759_14278# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1691 vss a_12326_16142# a_12865_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1692 a_11107_22144# a_10050_17694# a_11025_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1693 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1694 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1695 a_6918_13342# a_8024_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1696 vdd a_8233_18604# a_8220_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1697 vss a_9611_14430# a_9424_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1698 vss a_8593_21324# a_8541_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1699 vss a_9328_18782# a_9277_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1700 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1701 a_16506_14100# a_16389_13905# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1702 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1703 a_4973_21592# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1704 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1705 a_9269_21324# a_9113_21592# a_9414_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1706 vdd a_16506_17230# a_17847_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1707 vdd a_11170_14796# a_7306_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1708 a_11923_12102# a_11849_12254# a_11577_12352# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X1709 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1710 vss a_6319_12646# a_8024_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1711 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1712 a_12501_15372# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1713 a_4931_21716# a_4453_21324# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1714 a_5459_18452# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1715 trim3 a_15533_10478# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1716 vss a_12326_16142# a_13233_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1717 vss a_17005_20806# ctl9p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1718 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1719 a_9113_21592# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1720 vdd a_10176_19718# a_10282_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1721 vss a_17925_19718# trimb4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1722 a_10741_19148# a_10585_19416# a_10886_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X1723 a_14997_13190# a_14746_13440# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1724 vdd a_12326_16142# a_13233_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1725 a_3828_19970# a_4106_19986# a_4062_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1726 a_9417_15518# a_7883_12646# a_9886_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1727 n0n ctl0n vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1728 a_12497_12646# a_11705_12076# a_12579_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X1729 vss a_8980_13734# a_9086_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1730 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1731 vss a_6197_14252# a_8484_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1732 a_5043_13708# a_4825_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1733 vdd a_11873_10712# a_11834_10586# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1734 a_3863_19718# a_3828_19970# a_3625_19692# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1735 vdd a_6143_20806# a_6877_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1736 a_17367_12102# a_16177_12102# a_17258_12102# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1737 a_7600_13734# a_7423_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1738 a_12373_20566# a_12198_20640# a_12552_20628# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1739 ctl7n a_13654_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1740 a_11849_12254# a_13845_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1741 vdd a_7621_21358# ctl4p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1742 vss a_17182_18996# a_17752_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1743 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1744 a_9447_20806# a_8909_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1745 a_10217_14861# a_7477_12254# a_10217_15188# vss sky130_fd_pr__nfet_01v8 ad=1.995e+11p pd=1.79e+06u as=0p ps=0u w=420000u l=150000u
+X1746 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1747 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1748 a_10268_19306# a_10585_19416# a_10543_19540# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1749 a_13608_17542# a_13215_17542# a_13498_17542# vss sky130_fd_pr__nfet_01v8 ad=1.341e+11p pd=1.5e+06u as=1.44e+11p ps=1.52e+06u w=360000u l=150000u
+X1750 a_7797_11558# a_6426_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1751 vdd a_11078_9900# ctl5n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1752 a_10459_19718# a_10282_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1753 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1754 a_4145_15508# a_3568_11166# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1755 vss a_8677_15346# a_8313_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1756 a_14442_21868# a_16218_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X1757 vss a_5277_21868# a_11257_9900# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1758 a_10285_16704# a_10426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X1759 a_9328_14430# a_9424_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1760 vss a_11073_11179# a_7687_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1761 a_8647_13006# a_7573_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X1762 a_6122_20236# a_5873_19968# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1763 a_17149_10444# a_15549_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1764 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1765 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1766 a_5209_12646# a_4585_12652# a_5101_13024# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1767 a_5565_14038# a_5390_14112# a_5744_14100# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1768 vdd a_16561_14822# a_17132_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1769 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1770 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1771 a_8967_15054# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1772 vss a_13929_20780# a_4449_14804# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1773 ctl3n a_7069_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1774 vss a_3899_9926# a_3863_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1775 a_14301_14112# a_13785_13740# a_14206_14100# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1776 a_13075_19718# a_12696_20084# a_13003_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1777 vdd a_11361_18390# a_11348_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1778 a_8498_11790# a_7883_12646# a_8640_11597# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1779 a_6227_19406# a_4401_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1780 a_12491_19174# a_3713_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1781 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1782 a_4926_13439# a_4677_14528# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1783 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1784 a_12029_10444# a_11873_10712# a_12174_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X1785 vdd a_3899_9926# a_5366_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1786 vdd a_17925_20806# trimb3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1787 a_9631_11014# a_9454_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1788 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1789 a_14297_19692# a_13403_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1790 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1791 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1792 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1793 a_5395_15916# a_5229_15916# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1794 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1795 a_15171_18452# a_14245_18406# a_15099_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1796 a_7573_12254# a_8852_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1797 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1798 a_7239_18086# a_6701_18086# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1799 vdd a_14943_14796# a_12897_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1800 vdd a_15033_21868# a_14981_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1801 vdd a_17925_15366# trim1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1802 vss a_9348_11014# a_9454_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1803 a_5319_12620# a_5101_13024# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1804 a_4325_19174# a_4074_19290# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1805 a_4232_20084# a_4106_19986# a_3828_19970# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X1806 a_19955_17079# comp vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X1807 a_16914_12620# a_16856_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1808 vss a_8343_11014# a_8852_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1809 a_8861_11924# a_7883_12646# a_8498_11790# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X1810 vdd a_7134_13164# a_8770_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X1811 vss a_4954_17516# a_5873_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1812 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1813 vdd a_8541_21350# a_10095_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1814 vdd a_7069_16606# a_7069_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1815 vdd a_3573_15366# a_4564_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1816 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1817 n3p ctl3p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1818 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1819 trimb4 a_17925_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1820 vdd a_16931_18384# a_16961_18125# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1821 a_11849_12254# a_13845_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1822 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1823 a_4195_17364# a_3717_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1824 vss a_13955_15366# a_14892_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X1825 a_6991_20806# a_6956_21058# a_6753_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1826 vdd a_3899_9926# a_4538_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1827 a_7878_13210# a_8813_16820# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1828 a_8830_9900# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1829 a_3534_16428# a_3665_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1830 vss a_6701_20806# a_7439_20582# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1831 a_14109_14861# a_12927_13734# a_13650_15054# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X1832 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1833 vdd a_16802_17516# a_16712_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X1834 a_12177_9900# a_11237_21350# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1835 vss a_9378_19406# a_8133_19692# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1836 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1837 vss a_14573_16428# a_13005_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1838 a_9632_12646# a_6969_12646# a_9546_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1839 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1840 vdd a_3899_9926# a_4821_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1841 vss a_8776_19406# a_8725_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1842 a_9611_18782# a_9025_22027# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1843 vss a_3534_16428# result2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1844 vdd a_17365_20262# a_17925_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1845 vdd a_6948_13440# a_10473_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1846 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1847 a_4295_11558# a_4118_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1848 a_3693_11558# a_3516_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1849 vss a_16721_19718# a_17925_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1850 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1851 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1852 a_22891_16254# clkc vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1853 vdd a_6197_14252# a_6848_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1854 a_12567_19718# a_12089_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1855 vdd a_14708_16606# a_14709_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1856 n3n ctl3n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1857 a_23986_12170# trim0 vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X1858 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1859 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1860 ctl8p a_17005_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1861 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1862 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1863 a_5942_10848# a_4861_10476# a_5595_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1864 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1865 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1866 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1867 vss a_10153_20242# a_16177_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1868 vss a_8132_17694# a_8081_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1869 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1870 vdd a_5159_20951# a_5955_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1871 vss a_12391_11014# a_12681_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1872 vss a_14931_15518# a_14879_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1873 a_11965_11341# a_10083_11532# a_11965_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.386e+11p ps=1.5e+06u w=420000u l=150000u
+X1874 a_12326_16142# a_12825_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1875 vdd a_3843_17542# a_4173_10452# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1876 result3 a_3534_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1877 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1878 vdd a_11678_15518# a_11455_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1879 a_12897_13708# a_14943_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1880 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1881 a_8831_21716# a_8796_21482# a_8593_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1882 a_17182_18996# a_16343_18630# a_17206_18630# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X1883 a_11649_16820# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X1884 vss a_4546_15884# result8 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1885 vss a_14857_11862# a_14791_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X1886 a_9113_21592# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X1887 a_8233_18604# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1888 vss a_8501_20236# a_7694_19264# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1889 vdd a_12106_20806# a_12281_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1890 a_13201_12102# a_12755_12102# a_13105_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1891 a_5879_14822# a_5775_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X1892 a_24604_12170# trim3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1893 vss a_13650_15054# a_12773_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1894 vss a_3568_11166# a_5229_15916# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1895 a_10118_14054# a_6918_13342# a_9928_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1896 vss a_7791_15366# a_8903_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.008e+11p ps=1.32e+06u w=420000u l=150000u
+X1897 a_14792_16454# a_14708_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1898 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1899 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X1900 vdd a_5307_11014# a_8173_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1901 a_8869_21868# a_9025_22027# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X1902 a_7883_12646# a_7529_12759# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1903 a_103126_24720# vdd a_104073_24820# vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X1904 a_4926_19967# a_4769_21056# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X1905 a_11199_19968# a_9025_22027# a_11053_19870# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1906 a_19955_15979# clkc vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X1907 vss a_11569_13190# a_11923_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1908 vss a_6939_12620# a_6969_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1909 vdd a_3534_20236# result5 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1910 a_16712_17908# a_16177_17542# a_16626_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1911 a_12755_12102# a_12589_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1912 a_14515_19968# a_3713_21332# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X1913 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1914 vss a_11345_12620# a_11019_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1915 a_16597_13164# a_13746_15054# a_16815_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1916 a_11237_21350# a_11060_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1917 vdd a_11345_12620# a_3713_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1918 a_14605_21043# a_14410_21074# a_14915_20806# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1919 a_6356_18630# a_4388_16606# a_6061_18630# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X1920 vss a_14381_18060# a_14327_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1921 a_16644_18452# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X1922 vss a_3713_14804# a_6061_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1923 a_16409_16129# a_14997_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X1924 vdd a_3534_14796# result0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1925 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1926 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1927 a_7992_21172# a_7234_21074# a_7429_21043# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1928 a_13650_15054# a_12927_13734# a_13792_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1929 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1930 a_8796_21482# a_9113_21592# a_9071_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1931 vdd a_4847_16454# a_4864_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1932 vss a_4145_13332# a_4106_13458# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1933 a_4933_13734# a_4309_13740# a_4825_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1934 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1935 a_13215_17542# a_13049_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1936 vdd a_3843_17542# a_4256_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1937 a_15087_21716# a_15052_21482# a_14849_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1938 vss a_17925_21358# trimb2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1939 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1940 a_7134_13164# a_8484_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1941 vss a_12697_21350# a_13233_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X1942 a_11361_18390# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1943 a_15435_17792# a_14834_16606# a_14931_15518# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.45e+11p pd=5.09e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1944 a_16230_11924# a_15611_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1945 a_5159_20951# a_12181_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X1946 vss a_17341_14038# a_17275_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1947 a_14079_15120# a_13746_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X1948 a_14728_19718# a_9247_18060# a_14433_19718# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X1949 vdd a_5277_19692# a_10105_18092# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1950 a_8433_12282# a_9705_10774# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1951 a_5059_20628# a_5024_20394# a_4821_20236# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1952 vdd a_4546_15884# result8 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1953 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1954 a_8967_15054# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1955 result1 a_3534_15884# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1956 a_4301_13427# a_4106_13458# a_4611_13190# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=2.802e+11p ps=2.2e+06u w=360000u l=150000u
+X1957 a_9447_20806# a_8909_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X1958 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X1959 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1960 a_14536_21172# a_14449_20948# a_14132_21058# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1961 a_12292_19970# a_12570_19986# a_12526_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1962 vdd a_10067_16428# a_10015_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X1963 a_16325_11936# a_15809_11564# a_16230_11924# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1964 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1965 a_16413_12620# a_16914_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1966 a_4545_18060# a_4748_18218# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X1967 vdd a_8830_9900# a_8764_10176# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1968 a_13857_14644# a_13233_14278# a_13749_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1969 a_13685_19174# a_13403_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1970 a_16597_15340# a_13746_15054# a_16815_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1971 a_11199_19968# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1972 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1973 vdd a_16890_11936# a_17065_11862# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X1974 a_10775_11896# a_7883_12646# a_10689_11896# vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X1975 vdd a_14245_18406# a_15435_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1976 vdd a_5963_15884# a_5853_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1977 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1978 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1979 vdd a_14975_12254# a_15533_10478# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1980 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1981 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1982 trim0 a_17925_12654# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X1983 a_7221_11014# a_6775_11014# a_7125_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X1984 a_14417_17011# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=0p ps=0u w=700000u l=150000u
+X1985 a_16392_14938# a_7477_12254# a_16310_14938# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X1986 a_9183_10444# a_8965_10848# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X1987 vss a_14849_21324# a_4725_15892# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X1988 a_14499_20628# a_14021_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1989 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1990 a_3534_15884# a_3573_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1991 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1992 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1993 vdd a_14245_19718# a_15260_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X1994 a_8415_17694# a_4388_16606# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X1995 a_11541_16454# a_11025_16454# a_11446_16454# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X1996 vdd ctl9n n9n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1997 a_11538_20628# a_11421_20433# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1998 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1999 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2000 a_16601_14112# a_16251_13740# a_16506_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2001 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2002 vss a_14123_21868# a_16445_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2003 a_11659_12102# a_11301_10470# vss vss sky130_fd_pr__nfet_01v8 ad=1.495e+11p pd=1.76e+06u as=0p ps=0u w=650000u l=150000u
+X2004 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2005 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2006 a_11753_14515# a_11597_14420# a_11898_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2007 a_6783_18086# a_6973_18312# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X2008 vss a_8415_17694# a_8228_17516# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2009 a_11446_16454# a_10015_16454# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2010 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2011 a_6877_11558# a_6143_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2012 a_14173_16152# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2013 a_14987_15616# a_14931_15518# a_14417_17011# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2014 ctl4p a_7621_21358# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2015 a_15168_21172# a_14410_21074# a_14605_21043# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2016 a_6775_11014# a_6609_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2017 vdd a_3534_20780# result6 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2018 a_5784_18086# a_5065_18328# a_5221_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2019 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2020 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2021 a_5485_10470# a_4861_10476# a_5377_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2022 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X2023 vss a_6569_15340# a_6599_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2024 a_13775_10790# a_11569_13190# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2025 n5n ctl5n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2026 a_3693_11558# a_3516_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2027 a_12697_21350# a_12446_21466# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2028 vss a_17365_19718# a_17925_20270# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2029 ctl5n a_11078_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2030 vss a_9807_12076# a_9422_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2031 a_23521_16372# vp a_22891_16254# vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2032 vdd a_9183_10444# a_9073_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2033 a_4173_10452# a_3843_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2034 a_7147_17230# a_3713_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2035 a_8062_16454# a_8025_16606# a_7959_16454# vss sky130_fd_pr__nfet_01v8 ad=2.1125e+11p pd=1.95e+06u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X2036 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2037 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2038 vdd a_7639_15120# a_7669_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2039 vdd a_17433_12076# a_17420_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2040 vss a_3625_13164# a_3573_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2041 a_16863_14100# a_16819_13708# a_16697_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2042 a_4691_21716# a_4656_21482# a_4453_21324# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2043 vss a_9928_13734# a_9963_14861# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2044 a_11729_20640# a_11283_20268# a_11633_20640# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2045 a_11348_18086# a_10271_18092# a_11186_18464# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2046 vss a_7669_12076# a_7627_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X2047 vdd a_9928_13734# a_9963_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X2048 vdd a_6477_14796# a_3568_11166# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2049 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2050 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2051 a_9263_16998# a_9086_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2052 a_7179_14511# a_6319_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2053 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2054 a_10741_19148# a_10546_19290# a_11051_19540# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2055 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2056 a_7574_21172# a_7360_21172# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2057 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2058 vss a_12373_20566# a_12307_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.338e+11p ps=1.5e+06u w=420000u l=150000u
+X2059 vdd a_3899_9926# a_12089_19692# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2060 vss a_4401_17542# a_4956_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2061 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2062 vss a_3899_9926# a_8831_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2063 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2064 a_13865_14835# a_14489_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2065 a_9061_15910# a_5067_14423# a_8967_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.2e+11p ps=2.64e+06u w=1e+06u l=150000u
+X2066 vss vss ndn vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2067 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2068 vdd a_11077_18604# a_11025_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2069 a_4393_16972# a_4237_17240# a_4538_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2070 vss a_11569_13190# a_12186_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2071 a_16802_18604# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2072 ctl2n a_5873_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2073 vss a_5129_21324# a_5060_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2074 a_11191_20806# a_11025_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2075 a_7711_18872# a_7493_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2076 a_16802_18604# a_16626_18630# a_16946_18630# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2077 vdd a_13565_16428# a_13323_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2078 a_11597_14420# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2079 a_12897_13708# a_14943_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2080 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2081 a_13498_17542# a_13049_17542# a_13403_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2082 vss ctl8p n8p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2083 a_9378_19406# a_7821_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2084 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2085 vss a_5565_14038# a_5499_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2086 a_14842_20262# a_14628_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2087 a_8132_17694# a_8228_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2088 a_8776_19406# a_8872_19148# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2089 a_5307_11014# a_4829_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2090 vss a_15441_14430# a_15441_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2091 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2092 vdd a_17149_10444# a_14975_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2093 a_5098_21868# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2094 vdd a_8415_17694# a_8228_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2095 w_102926_24462# a_104073_24504# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X2096 a_18095_18406# a_16506_17230# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2097 a_9551_12352# a_7477_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2098 vdd a_7069_21894# ctl3p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2099 vdd a_16721_19718# a_17925_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2100 vss a_12221_19174# a_13328_20084# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2101 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2102 a_4821_20236# a_5024_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2103 a_6039_17542# a_5873_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2104 a_5745_16288# a_5395_15916# a_5650_16276# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2105 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2106 a_16631_12646# a_16552_10560# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.25e+11p pd=2.65e+06u as=0p ps=0u w=1e+06u l=150000u
+X2107 a_14628_20262# a_14541_20504# a_14224_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2108 vss a_3899_9926# a_9227_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2109 vss a_7573_12254# a_9171_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2110 vdd a_3899_9926# a_8501_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2111 vdd a_9328_18782# a_9277_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2112 a_8868_18318# a_8964_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2113 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2114 vdd a_7069_16454# a_6426_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2115 vss a_11529_13734# a_12316_14644# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X2116 a_13328_20084# a_12609_19860# a_12765_19955# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2117 vdd a_15441_18782# a_15441_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2118 a_11170_14796# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=3.5425e+11p pd=3.69e+06u as=0p ps=0u w=650000u l=150000u
+X2119 a_16865_18452# a_16717_18099# a_16502_18318# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=1.995e+11p ps=1.79e+06u w=420000u l=150000u
+X2120 a_9550_15366# a_9513_15518# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2121 vdd a_10429_20780# a_11199_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2122 a_5925_18604# a_6426_18604# a_6356_18630# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2123 a_9183_10444# a_8965_10848# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2124 a_12316_14644# a_11597_14420# a_11753_14515# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2125 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2126 a_10188_15910# clk vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2127 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2128 a_17911_14822# a_17734_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2129 a_3625_13164# a_3828_13442# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2130 a_11199_19718# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2131 vss en a_3516_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2132 a_13399_14278# a_13233_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2133 result9 a_4270_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2134 vdd a_9930_14430# a_6089_14430# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2135 vdd a_3899_9926# a_9414_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2136 vdd a_7134_13164# a_7887_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2137 vdd a_19955_17707# a_19981_17649# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X2138 ctl5n a_11078_9900# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2139 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2140 a_11895_20628# a_11851_20236# a_11729_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2141 a_17446_18630# a_17332_18844# a_17374_18630# vss sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2142 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X2143 a_16994_16454# a_16506_17230# a_16691_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2144 vdd a_13654_9900# ctl7n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2145 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2146 a_6310_16288# a_5229_15916# a_5963_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2147 vdd a_14173_16152# a_14134_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2148 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2149 a_16844_12966# a_9632_12646# a_16549_12966# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2150 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2151 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2152 a_14297_19692# a_13403_20806# a_14728_19718# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2153 a_13381_11014# a_13031_11014# a_13286_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2154 a_10118_14054# a_9737_13734# a_9928_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2155 vdd a_3899_9926# a_4453_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2156 a_5341_20504# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2157 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2158 a_4185_11014# a_3739_11014# a_4089_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2159 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2160 vss a_17925_15366# trim1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2161 a_12327_19718# a_12292_19970# a_12089_19692# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2162 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2163 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2164 vss a_3899_9926# a_4783_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2165 vdd a_8062_16454# a_8484_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2166 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2167 a_9328_18782# a_9424_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2168 vss a_16802_17516# a_16736_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2169 a_8991_21056# a_7694_19264# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=0p ps=0u w=1e+06u l=150000u
+X2170 vss a_4393_16972# a_4324_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2171 a_16626_17542# a_16343_17542# a_16531_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.499e+11p ps=2.35e+06u w=420000u l=150000u
+X2172 a_3863_15366# a_3828_15618# a_3625_15340# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2173 a_15525_21324# a_15369_21592# a_15670_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=3.402e+11p ps=3.3e+06u w=420000u l=150000u
+X2174 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2175 a_3739_11014# a_3573_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2176 a_5341_20504# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2177 a_11508_18630# a_9247_18060# a_11213_18630# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2178 a_19981_16059# a_19955_15979# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X2179 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2180 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2181 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2182 vss a_3899_9926# a_5363_13012# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2183 vss a_7791_15366# a_9417_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2184 a_14915_20806# a_14536_21172# a_14843_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2185 a_12769_12872# a_14121_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2186 a_4654_11014# a_3573_11014# a_4307_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2187 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2188 a_12927_13734# a_3713_14252# a_12773_14054# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2189 vss a_9059_19406# a_8872_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2190 vss a_6595_10470# a_7398_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2191 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2192 vdd a_13650_15054# a_12773_13342# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2193 vss ctl5n n5n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2194 a_24177_12170# trim2 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2195 n7n ctl7n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2196 a_13293_16639# a_13469_16606# a_13679_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2197 vss a_11019_13342# a_11025_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2198 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2199 a_17433_12076# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2200 vdd a_17925_19718# trimb4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2201 a_14879_15366# a_11455_15340# a_14417_17011# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2202 a_19955_17707# a_19955_15979# vdd vdd sky130_fd_pr__pfet_01v8 ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=300000u
+X2203 a_13629_10702# a_13839_10444# a_13775_10790# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2204 a_4062_13556# a_3625_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2205 result8 a_4546_15884# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2206 vdd a_4307_11256# a_4197_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2207 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2208 n6p ctl6p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2209 vdd a_4401_21350# a_7151_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2210 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2211 result7 a_3534_21324# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2212 a_9263_16998# a_9086_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2213 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2214 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2215 vdd a_14337_18782# a_14337_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2216 a_4488_16606# a_8484_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2217 result8 a_4546_15884# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2218 vdd a_7343_11256# a_7233_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2219 a_13035_12646# a_12497_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2220 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2221 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2222 a_16506_17230# a_17752_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2223 a_9631_13190# a_9454_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2224 vss a_14245_19718# a_15260_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2225 a_12526_20084# a_12089_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2226 vss a_12973_21894# a_14245_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2227 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2228 a_13822_21670# a_13687_21582# a_13732_21350# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2229 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2230 vss a_3899_9926# a_8739_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2231 vdd a_11237_21350# a_12177_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2232 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2233 a_4232_15732# a_4106_15634# a_3828_15618# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2234 ctl2n a_5873_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2235 vss a_9348_13190# a_9454_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2236 a_12973_21894# a_12722_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2237 vdd a_10050_17694# a_16300_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2238 a_16721_19718# a_14975_12254# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2239 a_4546_15884# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2240 vss a_6918_13342# a_8852_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2241 a_15435_17792# a_14708_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2242 w_102926_24462# a_103126_24720# vdd w_102926_24462# sky130_fd_pr__pfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X2243 vdd a_17005_21358# ctl8p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2244 a_8776_19406# a_8872_19148# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2245 a_14327_18406# a_13565_16428# a_14245_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2246 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2247 vdd a_8501_20236# a_7694_19264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2248 vdd a_5925_16428# a_5533_16081# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2249 a_13477_11014# a_13031_11014# a_13381_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2250 vdd rstn a_3563_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X2251 a_22733_12170# trim4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2252 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2253 vdd a_3534_18060# result3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2254 a_4301_15603# a_4145_15508# a_4446_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2255 a_4730_14100# a_4613_13905# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2256 vdd a_8058_18630# a_8233_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2257 vss a_15033_21868# a_14981_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2258 a_8443_12102# a_8173_12102# a_8339_12468# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2259 a_4730_14100# a_4613_13905# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2260 vdd a_6426_18604# a_7621_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2261 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2262 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2263 a_16413_12620# a_13746_15054# a_16631_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2264 a_16697_14112# a_16251_13740# a_16601_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2265 a_12609_19860# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2266 a_5159_20951# a_12181_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2267 a_11851_20236# a_11633_20640# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2268 a_6020_13012# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2269 vn a_103126_7850# vinn vss sky130_fd_pr__nfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X2270 a_5060_21350# a_4934_21466# a_4656_21482# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2271 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2272 a_12483_11558# a_12306_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2273 a_8339_12468# a_8173_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2274 vdd a_5390_14112# a_5565_14038# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2275 a_10429_20780# a_12281_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2276 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2277 a_4453_17516# a_4488_16606# a_4671_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2278 ctl4n a_9645_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2279 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2280 a_8017_19870# a_7694_19264# a_8163_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X2281 vdd ctl3n n3n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2282 a_3994_11014# a_3757_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2283 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2284 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2285 a_16839_17296# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2286 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2287 a_3568_11166# a_6477_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2288 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2289 a_13654_14278# a_12943_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2290 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2291 a_5955_19718# a_3573_19718# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2292 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2293 a_16561_14822# a_16310_14938# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2294 a_8615_10476# a_8449_10476# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2295 a_10346_11264# a_7687_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X2296 a_11053_19870# a_10429_20780# a_11199_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2297 vdd a_4453_21324# a_4401_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2298 vss a_15533_10478# trim3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2299 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2300 a_6296_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=0p ps=0u w=420000u l=150000u
+X2301 a_16693_12102# a_16177_12102# a_16598_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X2302 a_16693_14278# a_16343_14278# a_16598_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2303 a_13399_14278# a_13233_14278# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2304 a_13775_10470# a_11705_12076# a_13629_10702# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2305 vdd a_12765_19955# a_12696_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2306 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2307 vdd a_13955_15366# a_14892_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2308 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X2309 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2310 a_4890_21350# a_4453_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2311 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2312 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2313 a_10617_16972# a_6599_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2314 a_13891_16276# a_13856_16042# a_13653_15884# vss sky130_fd_pr__nfet_01v8 ad=1.281e+11p pd=1.45e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2315 vdd a_8343_11014# a_8852_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2316 a_16598_12102# a_16361_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2317 a_14697_20236# a_14541_20504# a_14842_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2318 vdd a_7791_15366# a_8813_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X2319 a_15456_21350# a_15369_21592# a_15052_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2320 vdd a_10153_20242# a_11025_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2321 a_17925_10078# a_6426_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2322 a_16413_12620# a_16914_12620# a_16844_12966# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2323 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2324 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2325 a_7125_11014# a_6775_11014# a_7030_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2326 a_10967_11558# a_10689_11896# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=4.75e+11p pd=2.95e+06u as=0p ps=0u w=1e+06u l=150000u
+X2327 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2328 vss a_6969_12646# a_9463_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2329 vdd a_12181_18604# a_5159_20951# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2330 a_3955_17364# a_3920_17130# a_3717_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2331 a_8903_16454# a_8633_16820# a_8813_16820# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2332 vss a_14975_12254# a_15533_10478# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2333 a_14975_12254# a_17149_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2334 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2335 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2336 a_5282_10836# a_4571_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2337 vss a_11237_21350# a_11379_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2338 a_7739_20806# a_7360_21172# a_7667_20806# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2339 a_6599_15366# a_6569_15340# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2340 a_13832_12468# a_12755_12102# a_13670_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2341 ctl3p a_7069_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2342 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2343 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2344 a_14997_13190# a_14746_13440# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2345 a_10672_19174# a_10585_19416# a_10268_19306# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2346 a_9815_17230# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2347 vdd a_16347_10702# a_16315_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2348 a_11345_12620# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2349 vss trimb3 a_24604_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2350 vdd a_3899_9926# a_4545_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2351 a_8501_20236# a_8704_20394# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2352 a_7589_18630# a_7143_18630# a_7493_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2353 vss a_11705_12076# a_13956_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.82e+11p ps=1.86e+06u w=650000u l=150000u
+X2354 a_11637_20806# a_11191_20806# a_11541_20806# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2355 a_6426_16428# a_7069_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2356 a_11170_14796# a_7799_16606# a_11390_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2357 vss a_3713_18068# a_6227_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2358 a_13775_12646# a_11705_12076# a_13629_12878# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2359 a_9827_11878# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2360 ctl6p a_16177_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2361 a_16088_21350# a_15330_21466# a_15525_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2362 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2363 a_23521_16372# clkc vdd vdd sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2364 a_11077_18604# a_5277_21868# a_11508_18630# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2365 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2366 a_13856_16042# a_14173_16152# a_14131_16276# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2367 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2368 vdd a_6918_13342# a_8852_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2369 a_9832_21350# a_9074_21466# a_9269_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.302e+11p pd=1.46e+06u as=0p ps=0u w=420000u l=150000u
+X2370 a_7887_16704# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2371 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2372 a_3534_12620# a_3713_12628# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2373 a_4446_20084# a_4232_20084# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2374 a_13763_15366# a_13469_16606# a_13417_15616# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X2375 vdd a_3563_9926# a_3899_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2376 vss a_10426_16428# a_10370_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2377 a_11304_19174# a_10546_19290# a_10741_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2378 a_9930_14430# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2379 a_5024_20394# a_5302_20378# a_5258_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2380 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2381 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2382 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2383 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2384 a_14932_16428# a_14245_18406# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2385 a_12211_13440# a_7669_12076# a_8482_15752# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2386 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2387 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2388 vdd a_12641_17230# a_12589_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X2389 a_14708_16606# a_15390_17114# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2390 a_11960_10470# a_11873_10712# a_11556_10602# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2391 a_13031_11014# a_12865_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2392 a_4453_21324# a_4656_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2393 a_5428_20262# a_5302_20378# a_5024_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2394 vdd a_5341_20504# a_5302_20378# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2395 a_15169_21894# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2396 a_16347_10702# a_16552_10560# a_16510_10586# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2397 vdd a_10967_11558# a_11704_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2398 a_7222_14278# a_7179_14511# a_7150_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2399 a_12973_21894# a_12722_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2400 vss a_11360_18604# a_13922_21670# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.55e+11p ps=4e+06u w=650000u l=150000u
+X2401 a_13922_21670# a_9247_18060# a_13822_21670# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2402 a_4232_15732# a_4145_15508# a_3828_15618# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2403 vdd a_6918_13342# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2404 vss a_14202_17230# a_13833_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2405 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2406 a_13746_15054# a_13789_13440# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2407 a_7179_14511# a_6319_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2408 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2409 a_14213_11936# a_13767_11564# a_14117_11936# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2410 a_13865_14835# a_14489_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2411 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2412 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2413 vss a_14605_21043# a_14536_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2414 a_10050_17694# a_11361_18390# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2415 a_17141_19148# a_17697_18318# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2416 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2417 vss trim3 a_24604_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2418 a_9269_21324# a_9074_21466# a_9579_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2419 a_17206_18630# a_16626_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2420 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2421 vdd ctl4n n4n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2422 a_17352_11014# a_17175_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2423 vdd a_9513_15518# a_9632_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2424 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2425 a_11021_14054# a_10083_11532# a_11021_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X2426 vss a_7989_19718# a_7992_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2427 a_4748_18218# a_5026_18202# a_4982_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2428 a_12592_10470# a_11834_10586# a_12029_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2429 a_4611_13190# a_4232_13556# a_4539_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2430 vdd a_5965_20806# a_6143_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2431 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2432 vss a_103126_24878# a_104073_24504# vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X2433 vss a_6725_15499# a_11853_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X2434 vdd ctl5n n5n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2435 vdd a_16315_10470# a_16856_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2436 a_8728_13006# a_6969_12646# a_8647_13006# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2437 a_13679_16704# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2438 vss a_12825_14796# a_12326_16142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2439 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2440 ndn vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2441 vss a_17352_11014# a_17458_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2442 a_5666_13024# a_4585_12652# a_5319_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2443 vss ctl6p n6p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2444 vdd a_4821_20236# a_4769_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2445 vdd a_17332_17756# a_17290_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2446 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2447 a_11541_16454# a_11191_16454# a_11446_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2448 a_13951_13740# a_13785_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2449 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2450 a_16410_17230# a_11455_15340# a_16552_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2451 a_10438_15188# a_7791_15366# a_10217_14861# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2452 a_9328_14430# a_9424_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2453 a_6701_18086# a_4897_20780# a_6783_18406# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=1.495e+11p ps=1.76e+06u w=650000u l=150000u
+X2454 a_7878_13210# a_8813_16820# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2455 a_5395_15916# a_5229_15916# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2456 a_12391_11014# a_11965_11341# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2457 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2458 a_9928_13734# a_9737_13734# a_10118_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2459 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2460 n1n ctl1n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2461 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2462 a_16506_17230# a_17752_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2463 vdd a_5319_12620# a_5209_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2464 vss a_11556_21350# a_11662_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2465 a_16597_15340# a_15611_14278# a_17028_15366# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2466 a_3564_14278# a_3534_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2467 vss a_9328_14430# a_9277_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2468 a_12177_9900# a_11237_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2469 vdd a_12326_16142# a_13601_11564# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2470 a_10967_11558# a_10689_11896# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X2471 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2472 vdd a_7591_10444# a_9171_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2473 a_6047_14528# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2474 vss a_9928_13734# a_12037_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X2475 vss a_17141_19148# a_17087_19494# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2476 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2477 a_13839_10444# a_14857_11862# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2478 a_13845_14278# a_13399_14278# a_13749_14278# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2479 a_15110_12102# a_14975_12254# a_15020_12102# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2480 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2481 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2482 a_13031_11014# a_12865_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2483 a_9698_11532# a_10083_11532# a_9827_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.2e+11p pd=2.64e+06u as=0p ps=0u w=1e+06u l=150000u
+X2484 vss a_8498_11790# a_6701_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2485 vdd a_3899_9926# a_3625_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2486 vdd a_4270_14796# result9 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2487 a_9546_12646# a_6969_12646# a_9632_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2488 a_11107_13440# a_11019_13342# a_11025_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2489 vss a_5341_20504# a_5302_20378# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2490 a_10886_19174# a_10672_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2491 a_13498_17542# a_13215_17542# a_13403_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=2.499e+11p ps=2.35e+06u w=420000u l=150000u
+X2492 a_12106_16454# a_11025_16454# a_11759_16696# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2493 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2494 a_17332_18844# a_17182_18996# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X2495 vss a_19955_17079# comp vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=5.16e+06u w=1e+06u l=400000u
+X2496 vdd a_14943_14796# a_15533_14830# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2497 a_4631_17364# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2498 vdd a_4545_18060# a_3713_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2499 a_4145_13332# a_3568_11166# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2500 a_20220_14335# a_14507_18630# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X2501 vdd a_9815_17230# a_8830_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2502 a_17052_11558# a_15975_11564# a_16890_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2503 a_8612_15616# a_5867_15142# a_8539_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2504 a_10933_14054# a_10083_11532# a_11021_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.665e+11p ps=2.12e+06u w=650000u l=150000u
+X2505 vdd a_6007_20954# a_5965_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2506 a_14173_16152# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2507 a_17367_14278# a_16177_14278# a_17258_14278# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2508 a_4982_18086# a_4545_18060# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2509 a_16819_13708# a_16601_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2510 vss a_5497_20236# a_5428_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2511 a_10839_18060# a_10621_18464# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2512 vss a_6043_13734# a_7594_15372# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2513 trimb0 a_17925_20270# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2514 vdd a_11853_16998# a_12031_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2515 vdd a_7799_16606# a_9543_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2516 vdd a_12221_19174# a_13328_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2517 a_10271_18092# a_10105_18092# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2518 a_14937_18318# a_14834_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.415e+11p pd=2.83e+06u as=0p ps=0u w=420000u l=150000u
+X2519 a_6876_13440# a_3693_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2520 vdd a_12609_19860# a_12570_19986# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2521 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2522 vss a_3534_15884# result1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2523 a_14449_20948# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2524 vdd a_7573_12254# a_9171_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2525 vdd a_16409_16129# a_14943_14796# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2526 ctl1n a_3994_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2527 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2528 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2529 vss a_13403_20806# a_13685_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2530 a_5775_15054# a_10217_14861# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2532 a_11556_21350# a_11379_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2533 vss a_7669_12076# a_10775_11896# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2534 vss a_17433_12076# a_17367_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2535 a_5439_21716# a_5060_21350# a_5367_21716# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2536 a_4851_21056# a_4401_21350# a_4769_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2537 vdd a_9151_18318# a_8964_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2538 a_14381_18060# a_14624_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2539 a_17333_15884# a_15887_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2540 a_11556_10602# a_11873_10712# a_11831_10836# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=1.338e+11p ps=1.5e+06u w=360000u l=150000u
+X2541 a_11991_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X2542 a_9348_11014# a_9171_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2543 a_12360_20262# a_11283_20268# a_12198_20640# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2544 a_16909_16704# a_17050_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2545 vdd a_11076_15518# a_11025_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2546 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2547 a_12174_10470# a_11960_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2548 vss a_17925_12654# trim0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2549 a_14301_14112# a_13951_13740# a_14206_14100# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2550 a_14844_11558# a_13767_11564# a_14682_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2551 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2552 a_5675_19174# a_5498_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2553 a_5006_13012# a_4889_12817# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2554 vss a_3899_9926# a_5059_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2555 vss a_13674_17516# a_13608_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2556 a_11893_11341# a_11711_11341# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2557 vdd a_14027_22046# a_13840_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2558 a_7063_17542# a_5873_17542# a_6954_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2559 a_6448_17318# a_4388_16606# a_6153_17318# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2560 a_14063_10790# a_11705_12076# a_13629_10702# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2561 vss a_8868_18318# a_8817_18086# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2562 a_14260_15910# a_14134_16026# a_13856_16042# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2563 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2564 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2565 vdd a_6227_19406# a_6007_20954# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X2566 vss a_9807_12076# a_13763_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2567 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2568 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2569 a_8980_13734# a_8803_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2570 vdd a_11705_12076# a_12023_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2571 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2572 a_6954_17542# a_6039_17542# a_6607_17784# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2573 a_12211_15142# a_6089_14430# a_11345_12620# vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2574 a_5925_16428# a_6426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2575 vss a_7429_21043# a_7360_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X2576 vdd a_17258_12102# a_17433_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2577 a_5129_21324# a_4934_21466# a_5439_21716# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2578 a_3828_13442# a_4106_13458# a_4062_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2579 a_14346_22046# a_14442_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2580 a_9286_15054# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2581 a_7627_12102# a_7573_12254# a_7524_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.3725e+11p ps=2.03e+06u w=650000u l=150000u
+X2582 a_15033_21868# a_14123_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2583 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2584 a_14507_18630# a_14337_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2585 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2586 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2587 a_13744_22046# a_13840_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2588 vdd ctl6n n6n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2589 a_6389_17542# a_6039_17542# a_6294_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2590 vss a_6701_12254# a_6701_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X2591 a_4173_10452# a_3843_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2592 vss a_3713_20780# a_12409_19494# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2593 a_7143_18630# a_6977_18630# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2594 ndn vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2595 vdd a_4829_10988# a_4816_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2596 a_17727_13342# a_16784_15518# a_17890_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2597 vss a_14346_22046# a_14123_21868# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2598 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2599 vdd a_5925_18604# a_5846_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2600 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2601 comp a_19981_16059# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X2602 a_8965_10848# a_8615_10476# a_8870_10836# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2603 vss a_13744_22046# a_13693_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2604 vss a_6973_18312# a_7147_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2605 a_12326_16142# a_12825_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2606 a_6051_10848# a_4861_10476# a_5942_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2607 a_9611_14430# a_6089_14430# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2608 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2609 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2610 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2611 vdd a_14489_14252# a_14476_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2612 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2613 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2614 vss a_5098_21868# ctl5p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2615 a_11241_13734# a_11019_13342# a_11021_14054# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.9e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X2616 vss a_3899_9926# a_17446_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2617 a_11191_16454# a_11025_16454# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2618 a_14519_13708# a_14301_14112# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X2619 a_9061_15910# a_6089_14430# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2620 a_11684_14644# a_11597_14420# a_11280_14530# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2621 vss a_8541_21350# a_10146_22144# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2622 vdd a_13005_16972# a_12944_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2623 vdd a_5873_9926# ctl2n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2624 n2n ctl2n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2625 a_5942_10848# a_5027_10476# a_5595_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2626 vdd a_3568_11166# a_4861_10476# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2627 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2628 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2629 vss a_5873_9926# ctl2n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2630 vdd a_16914_12620# a_17175_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2631 vss a_8313_15518# a_4805_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7875e+11p ps=1.85e+06u w=650000u l=150000u
+X2632 a_8764_10176# a_7687_10444# a_8607_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2633 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2634 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2635 a_16869_17037# a_11455_15340# a_16410_17230# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.864e+11p ps=2.68e+06u w=420000u l=150000u
+X2636 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2637 a_16955_12102# a_16911_12344# a_16789_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2638 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2639 vdd a_10083_11532# a_11179_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2640 a_11021_14054# a_7669_12076# a_10933_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2641 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2642 a_9923_17792# a_10050_17694# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2643 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2644 a_11556_21350# a_11379_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2645 a_7231_20806# a_6753_20780# vss vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X2646 a_9740_20262# a_9021_20504# a_9177_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2647 vdd a_17098_13164# a_17925_12654# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2648 a_7129_17516# a_6954_17542# a_7308_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2649 a_4346_16454# a_3843_17542# a_4256_16454# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2650 a_11659_12352# a_11849_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2651 vdd a_9422_12076# a_8706_11826# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X2652 vss a_3899_9926# a_14167_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2653 a_14329_15884# a_14173_16152# a_14474_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2654 a_3899_9926# a_3563_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2655 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2656 a_12215_20806# a_11025_20806# a_12106_20806# vss sky130_fd_pr__nfet_01v8 ad=1.338e+11p pd=1.5e+06u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2657 a_9530_10848# a_8449_10476# a_9183_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2658 vdd a_15280_11166# a_15328_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2659 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2660 a_5258_20262# a_4821_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2661 a_4012_11558# a_3835_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2662 a_14857_11862# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2663 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2664 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2665 a_13946_11014# a_12865_11014# a_13599_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2666 a_4763_11014# a_3573_11014# a_4654_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2667 a_9227_10836# a_9183_10444# a_9061_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2668 a_12316_14644# a_11558_14546# a_11753_14515# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2669 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2670 a_4884_17542# a_4388_16606# a_4589_17542# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2671 vss a_16839_17296# a_16773_17364# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2672 a_11307_14822# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2673 vss a_5129_12102# a_5873_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2674 a_12292_19970# a_12609_19860# a_12567_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2675 vdd a_3899_9926# a_4446_15732# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2676 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2677 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2678 a_6390_19290# a_4401_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2679 vdd a_13599_11256# a_13489_11380# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2680 vdd a_6253_11574# a_6025_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2681 a_9928_13734# a_6918_13342# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2682 a_13744_22046# a_13840_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2683 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2684 a_14668_14278# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2685 vdd a_6753_20780# a_6701_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2686 a_6973_18312# a_8233_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2687 a_10397_21894# a_10146_22144# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2688 a_14935_20628# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2689 a_5775_15054# a_10217_14861# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2690 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2691 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2692 a_15210_12102# a_9632_12646# a_15110_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2693 vdd a_3899_9926# a_8593_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2694 vdd a_4325_19174# a_4896_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2695 a_17374_18630# a_16177_18630# a_17182_18996# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2696 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2697 vss a_3899_9926# a_14563_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2698 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2699 vdd a_4654_11014# a_4829_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2700 a_13629_10702# a_12769_12872# a_13775_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2701 vss a_10153_20242# a_13049_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2702 a_7765_13342# a_7908_13236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2703 a_17520_14100# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2704 a_5197_11790# a_5305_11790# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2705 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2706 vdd a_9059_19406# a_8872_19148# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2707 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2708 a_4656_21482# a_4934_21466# a_4890_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2709 vss a_10429_20780# a_12446_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2710 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2711 a_7429_12352# a_7573_12254# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2712 a_5185_15041# a_5277_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2713 a_14344_17037# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X2714 a_11649_21172# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2715 a_6143_16704# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2716 a_6419_16288# a_5229_15916# a_6310_16288# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X2717 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2718 vdd a_8684_15054# a_8633_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2719 vdd a_8734_17694# a_4388_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2720 a_15251_22144# a_4449_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2721 a_4956_16998# a_4198_17114# a_4393_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2722 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2723 vss a_3899_9926# a_5087_14100# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2724 a_16733_15366# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2725 a_19955_17079# comp vss vss sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=400000u
+X2726 vdd a_12326_16142# a_13785_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2727 a_6426_18604# a_6661_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2728 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2729 a_16502_18318# a_16710_18354# a_16644_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2730 a_4847_16454# a_4256_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2731 a_9157_16230# a_3693_11558# a_8967_15910# vss sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=0p ps=0u w=650000u l=150000u
+X2732 vss a_16347_10702# a_16315_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2733 vss a_16784_15518# a_16733_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2734 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2735 vdd a_9513_15518# a_11345_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2736 a_16911_14520# a_16693_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2737 vdd a_16502_18318# a_15441_18782# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2738 a_5363_13012# a_5319_12620# a_5197_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X2739 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2740 vss a_3899_9926# a_5639_10836# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2741 a_16801_14644# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.402e+11p pd=3.3e+06u as=0p ps=0u w=420000u l=150000u
+X2742 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2743 vss a_9417_15518# a_8176_13342# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2744 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2745 a_13105_12102# a_12755_12102# a_13010_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2746 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2747 a_13629_12878# a_13839_10444# a_13775_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2748 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2749 a_5853_15910# a_5229_15916# a_5745_16288# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2750 vdd a_3568_11166# a_4309_13740# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2751 vdd a_16177_21894# ctl6p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2752 a_16445_20262# a_14123_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2753 a_12943_13190# a_12773_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2754 vdd a_14697_20236# a_14628_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2755 a_6017_16972# a_6143_20806# a_6448_17318# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2756 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2757 a_6043_13734# a_5565_14038# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2758 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2759 a_8132_17694# a_8228_17516# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2760 vss a_10617_16972# a_5277_19692# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2761 vdd a_17617_16606# a_16824_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=4.75e+11p ps=2.95e+06u w=1e+06u l=150000u
+X2762 a_9513_15518# a_6197_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2763 vdd a_4926_19967# a_4864_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.302e+11p ps=1.46e+06u w=420000u l=150000u
+X2764 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2765 a_4864_13556# a_4145_13332# a_4301_13427# vss sky130_fd_pr__nfet_01v8 ad=1.32e+11p pd=1.49e+06u as=0p ps=0u w=360000u l=150000u
+X2766 vdd a_5067_14423# a_4759_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2767 vss a_3899_9926# a_4351_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2768 vdd a_13687_21582# a_17005_21358# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2769 a_11295_18464# a_10105_18092# a_11186_18464# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2770 a_11073_11179# a_8433_12282# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2771 vdd a_14975_12254# a_15020_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2772 vss a_16410_17230# a_16355_19406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2773 a_11898_14644# a_11684_14644# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2774 a_17617_16606# a_13565_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2775 a_16421_11936# a_15975_11564# a_16325_11936# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=0p ps=0u w=360000u l=150000u
+X2776 a_8796_21482# a_9074_21466# a_9030_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2777 a_11839_21350# a_11662_21350# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2778 vdd clkc a_19955_17707# vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X2779 vss a_10083_11532# a_9698_11532# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.145e+11p ps=1.96e+06u w=650000u l=150000u
+X2780 a_14423_14278# a_13233_14278# a_14314_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2781 a_13792_14861# a_13746_15054# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X2782 vdd a_11569_13190# a_11659_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2783 vdd a_5159_20951# a_6783_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2784 a_6725_15499# a_10188_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2785 vss a_7069_16606# a_7069_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2786 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2787 vss a_9705_10774# a_9639_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2788 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2789 vss a_3534_21324# result7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2790 a_9930_18782# a_7791_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2791 vdd a_14245_18406# a_14937_18318# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2792 vss ctl7n n7n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2793 a_14260_15910# a_14173_16152# a_13856_16042# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2794 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2795 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2796 vdd a_4488_16606# a_8803_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2797 a_9073_10470# a_8449_10476# a_8965_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2798 vdd a_14449_20948# a_14410_21074# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2799 ctl9p a_17005_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2800 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2801 ctl2p a_5873_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2802 vss a_11853_16998# a_12031_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2803 a_11179_13440# a_11345_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2804 n4p ctl4p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2805 a_14040_21350# a_11360_18604# a_13732_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2806 a_17739_18406# a_17697_18318# a_17141_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.95e+11p ps=1.9e+06u w=650000u l=150000u
+X2807 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2808 a_6569_15340# a_6725_15499# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X2809 vss a_14975_12254# a_16721_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2810 a_3805_12267# a_3897_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2811 vdd a_11301_10470# a_14828_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2812 a_6061_16454# a_4488_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2813 a_17087_19494# a_11455_15340# a_16717_18099# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2814 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2815 vss a_3843_17542# a_4173_10452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2816 result4 a_3534_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2817 vss a_6918_13342# a_7570_16026# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2818 a_4539_19718# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2819 valid a_3534_12620# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2820 a_5552_13734# a_4475_13740# a_5390_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2821 a_4446_16454# a_4388_16606# a_4346_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2822 vss a_17628_14822# a_17734_14822# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2823 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2824 a_10083_11532# a_12037_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2825 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2826 a_4307_11256# a_4089_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2827 a_7047_18406# a_6973_18312# a_6701_18086# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X2828 a_7690_11014# a_6609_11014# a_7343_11256# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.583e+11p ps=2.37e+06u w=420000u l=150000u
+X2829 vdd a_11753_14515# a_11684_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2830 a_13775_10470# a_11569_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2831 a_9322_20262# a_9108_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2832 a_16531_18630# a_15611_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.499e+11p pd=2.35e+06u as=0p ps=0u w=840000u l=150000u
+X2833 a_14639_16276# a_14260_15910# a_14567_16276# vss sky130_fd_pr__nfet_01v8 ad=2.802e+11p pd=2.2e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2834 a_6664_16276# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2835 vdd a_8593_21324# a_8541_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2836 vss a_9645_9926# ctl4n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2837 a_12181_18604# a_7821_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2838 a_4453_17516# a_4954_17516# a_4884_17542# vss sky130_fd_pr__nfet_01v8 ad=1.95e+11p pd=1.9e+06u as=0p ps=0u w=650000u l=150000u
+X2839 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2840 a_6607_17784# a_6389_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2841 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2842 a_3563_9926# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2843 a_6497_17908# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2844 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2845 a_11304_19174# a_10585_19416# a_10741_19148# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2846 a_11077_18604# a_5277_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2847 vdd a_4388_16606# a_4453_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2848 vdd a_4954_17516# a_5215_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2849 a_4816_11380# a_3739_11014# a_4654_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2850 a_9108_20262# a_9021_20504# a_8704_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X2851 vss a_12181_18604# a_5159_20951# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2852 a_7527_10470# a_7687_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2853 a_16819_13708# a_16601_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X2854 vss a_5277_19692# a_10105_18092# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2855 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2856 a_4571_12102# a_4401_12102# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2857 a_14476_14644# a_13399_14278# a_14314_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2858 vdd a_3534_14252# a_3564_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2859 a_9807_12076# a_10473_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2860 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X2861 a_13767_11564# a_13601_11564# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2862 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2863 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X2864 a_11421_20433# a_11025_22144# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2865 vdd a_5841_12950# a_5828_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2866 a_14329_15884# a_14134_16026# a_14639_16276# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2867 vss a_3899_9926# a_13643_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2868 a_11684_14644# a_11558_14546# a_11280_14530# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X2869 a_19955_17707# a_19955_15979# a_23521_16372# vss sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=300000u
+X2870 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2871 vdd a_3899_9926# a_14054_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2872 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2873 vss a_3899_9926# a_16587_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2874 a_17258_12102# a_16343_12102# a_16911_12344# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=360000u l=150000u
+X2875 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2876 a_16931_18384# a_9807_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X2877 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2878 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2879 a_10285_16704# a_5067_14423# a_10067_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2880 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X2881 vdd a_4388_16606# a_6017_16972# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2882 a_3707_17690# a_4718_18880# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2883 a_13584_17908# a_13049_17542# a_13498_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2884 a_4393_16972# a_4198_17114# a_4703_17364# vss sky130_fd_pr__nfet_01v8 ad=1.188e+11p pd=1.38e+06u as=0p ps=0u w=360000u l=150000u
+X2885 a_3994_10444# a_4173_10452# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2886 a_16709_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2887 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2888 a_14937_18318# a_14708_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2889 a_13775_12646# a_11569_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2890 a_5065_18328# a_5277_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2891 a_7667_20806# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2892 vdd a_17065_11862# a_17052_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2893 a_11569_13190# a_11025_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2894 a_11540_18452# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2895 a_12395_15412# a_5775_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2896 vdd a_12326_16142# a_15809_11564# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2897 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2898 a_5023_18452# a_4545_18060# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2899 vss a_6426_16428# a_17925_10078# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2900 a_6104_10470# a_5027_10476# a_5942_10848# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X2901 a_6569_15340# a_6725_15499# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X2902 a_8938_20262# a_8501_20236# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2903 vdd a_13565_16428# a_15472_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2904 a_15280_11166# a_17065_11862# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2905 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X2906 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2907 a_4769_21056# a_4897_20780# a_4851_20806# vss sky130_fd_pr__nfet_01v8 ad=3.38e+11p pd=3.64e+06u as=0p ps=0u w=650000u l=150000u
+X2908 vss a_17182_17908# a_17752_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2909 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2910 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2911 ctl2p a_5873_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2912 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2913 vss a_16931_18384# a_16865_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2914 vss a_7821_15910# a_8062_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2915 a_4864_15732# a_4106_15634# a_4301_15603# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2916 vss a_19981_17649# a_19955_17079# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X2917 vdd a_5129_12102# a_5873_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2918 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2919 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2920 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X2921 vss a_10050_17694# a_16218_21056# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2922 a_4325_19174# a_4074_19290# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X2923 n9n ctl9n vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2924 a_14791_11936# a_13601_11564# a_14682_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2925 vss a_5277_19692# a_6977_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2926 vss a_9151_18318# a_8964_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2927 a_10006_17542# a_5159_20951# vss vss sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X2928 a_5925_18604# a_6426_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2929 a_6783_18086# a_4769_20262# a_6701_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.1285e+11p ps=5.04e+06u w=1e+06u l=150000u
+X2930 a_12859_17318# a_13005_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2931 ctl7n a_13654_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2932 a_6025_11790# a_5305_11790# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2933 a_14206_14100# a_13601_12646# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2934 vdd a_12897_13708# a_17925_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2935 a_7652_19290# a_3713_20244# a_7570_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2936 a_14697_20236# a_14502_20378# a_15007_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2937 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2938 ctl5p a_5098_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2939 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2940 a_14297_19692# a_11360_18604# a_14515_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2941 a_9151_18318# a_9247_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2942 vss a_10153_20242# a_11025_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2943 ctl7p a_16913_21894# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2944 a_4769_21056# a_4897_20780# a_4851_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2945 a_3828_19970# a_4145_19860# a_4103_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2946 a_6310_16288# a_5395_15916# a_5963_15884# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2947 a_10183_11166# a_7591_10444# a_10346_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2948 a_10146_22144# a_3713_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2949 vdd a_12373_20566# a_12360_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2950 a_11951_12646# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.1e+11p pd=2.42e+06u as=0p ps=0u w=1e+06u l=150000u
+X2951 a_8813_16820# a_8633_16820# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2952 a_15670_21350# a_15456_21350# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2953 a_9348_11014# a_9171_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2954 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2955 vdd a_8133_19692# a_8991_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2956 trim2 a_17925_10478# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2957 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X2958 vdd a_15859_18305# a_14337_18782# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X2959 a_17106_17908# a_16626_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2960 vdd a_6122_20236# a_6060_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2961 a_11297_22046# a_12373_20566# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2962 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2963 vdd a_11053_19870# a_11025_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2964 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2965 a_14117_11936# a_13767_11564# a_14022_11924# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X2966 a_13010_12102# a_12115_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2967 vdd a_5666_13024# a_5841_12950# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X2968 trimb3 a_17925_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X2969 a_16343_18630# a_16177_18630# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X2970 a_17925_10078# a_6426_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X2971 a_16801_14644# a_16177_14278# a_16693_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2972 a_10092_17542# a_10050_17694# a_10006_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X2973 a_11678_15518# a_8482_15752# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X2974 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2975 a_15859_18305# a_12765_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X2976 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2977 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X2978 vdd ctl0n n0n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2979 vss a_3899_9926# a_11803_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X2980 vss a_3899_9926# a_7755_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2981 a_13741_18795# a_13833_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X2982 a_7669_12076# a_8339_12468# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X2983 a_6060_20262# a_5341_20504# a_5497_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2984 a_15260_20262# a_14502_20378# a_14697_20236# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2985 vss a_11678_15518# a_11455_15340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X2986 vss a_11998_9900# ctl6n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X2987 a_11295_18880# a_3713_20244# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2988 a_5921_14796# a_8967_15910# vss vss sky130_fd_pr__nfet_01v8 ad=1.7225e+11p pd=1.83e+06u as=0p ps=0u w=650000u l=150000u
+X2989 vdd a_15525_21324# a_15456_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2990 a_12460_16454# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2991 a_5197_13024# a_4751_12652# a_5101_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X2992 vdd a_6426_16428# a_17925_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X2993 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X2994 vdd a_11759_16696# a_11649_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2995 vdd a_12825_14796# a_12326_16142# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2996 a_7233_11380# a_6609_11014# a_7125_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2997 a_9348_13190# a_9171_13190# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X2998 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2999 vss a_9698_11532# a_6193_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X3000 a_14202_17230# a_14417_17011# a_14344_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3001 a_24604_12170# trim3 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3002 a_16552_10560# a_17433_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3003 vss a_7069_9926# ctl3n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3004 vdd ctl7p n7p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3005 a_13213_12468# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3006 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3007 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3008 vss a_10065_19148# a_3713_20244# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3009 vss a_3899_9926# a_10303_19540# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3010 trim0 a_17925_12654# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3011 vdd a_10741_19148# a_10672_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3012 a_11025_13190# a_8482_15752# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3013 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3014 vss a_12326_16142# a_13601_11564# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3015 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3016 a_5807_20628# a_5428_20262# a_5735_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3017 a_6956_21058# a_7273_20948# a_7231_20806# vss sky130_fd_pr__nfet_01v8 ad=1.422e+11p pd=1.51e+06u as=0p ps=0u w=360000u l=150000u
+X3018 a_8909_21056# a_9025_22027# a_8991_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X3019 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3020 vdd a_16802_18604# a_16712_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.89e+11p ps=1.74e+06u w=420000u l=150000u
+X3021 vdd a_14123_21868# a_17005_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3022 a_10188_15910# clk vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3023 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3024 vss a_5159_20951# a_7047_18406# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3025 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3026 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3027 vss a_5307_11014# a_8173_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3028 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3029 a_12446_21466# a_3713_21332# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3030 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3031 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3032 a_8803_14278# a_8626_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3033 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3034 vdd a_16626_17542# a_16802_17516# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3035 vdd a_13687_21582# a_15305_9900# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3036 vss a_6143_20806# a_7069_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3037 vss a_19955_17707# a_19981_17649# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X3038 a_5841_12950# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3039 a_5642_20262# a_5428_20262# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3040 vdd a_5277_21868# a_9999_19718# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3041 a_16552_10560# a_17433_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3042 a_17182_17908# a_16343_17542# a_17206_17542# vss sky130_fd_pr__nfet_01v8 ad=1.386e+11p pd=1.5e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3043 a_14121_10988# a_13946_11014# a_14300_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3044 a_7147_17230# a_6973_18312# a_7310_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3045 a_17065_11862# a_16890_11936# a_17244_11924# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3046 vdd ctl2n n2n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3047 a_16434_14912# a_17433_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3048 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3049 vss ctl4p n4p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3050 vdd a_3899_9926# a_14750_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3051 vdd a_7005_20494# a_5754_21324# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3052 a_6143_18880# a_3713_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3053 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3054 vss clk a_10188_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3055 a_14536_21172# a_14410_21074# a_14132_21058# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3056 a_7959_16454# a_7134_13164# a_7887_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.365e+11p ps=1.72e+06u w=650000u l=150000u
+X3057 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3058 a_6319_12646# a_5841_12950# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3059 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3060 a_5428_20262# a_5341_20504# a_5024_20394# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=420000u l=150000u
+X3061 vdd a_11597_14420# a_11558_14546# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3062 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3063 a_7791_15366# a_7435_15630# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3064 a_17065_11862# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3065 a_16626_18630# a_16177_18630# a_16531_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.87e+11p ps=1.93e+06u w=360000u l=150000u
+X3066 vdd a_6725_15499# a_11853_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3067 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3068 a_23521_16136# a_19955_17707# a_19955_15979# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X3069 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3070 a_10176_19718# a_9999_19718# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3071 a_13650_15054# a_13865_14835# a_13792_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3072 a_8343_11014# a_7865_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3073 a_8927_11856# a_7591_10444# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3074 a_5650_16276# a_5533_16081# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3075 a_11998_9900# a_12177_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3076 a_14379_11924# a_14335_11532# a_14213_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3077 vdd a_12326_16142# a_12589_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3078 a_16693_14278# a_16177_14278# a_16598_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=360000u l=150000u
+X3079 vdd a_5307_11014# a_5197_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3080 vdd a_11569_13190# a_12179_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.533e+11p ps=1.57e+06u w=420000u l=150000u
+X3081 vdd a_4973_21592# a_4934_21466# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3082 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3083 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3084 a_5277_19692# a_10617_16972# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3085 vdd a_7669_12076# a_7429_12352# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3086 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3087 vdd a_8313_15518# a_4805_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.75e+11p ps=2.55e+06u w=1e+06u l=150000u
+X3088 vss a_7210_15054# a_5277_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3089 a_4301_19955# a_4145_19860# a_4446_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.449e+11p pd=1.53e+06u as=0p ps=0u w=420000u l=150000u
+X3090 a_16598_14278# a_16481_14491# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3091 a_8633_16820# a_6973_18312# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3092 a_16784_15518# a_17341_14038# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3093 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3094 a_8991_21056# a_8541_21350# a_8909_21056# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3095 a_9815_17230# a_7821_15910# a_9978_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3096 a_4759_14528# a_3651_13734# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3097 a_12654_10444# a_12681_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3098 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3099 vss a_11301_10470# a_14746_13440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3100 a_19981_16059# a_19955_15979# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3101 vdd a_8062_16454# a_11393_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3102 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3103 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3104 a_16712_18996# a_16177_18630# a_16626_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3105 vdd a_4012_11558# a_4118_11558# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3106 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3107 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3108 vdd a_10328_16606# a_12498_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+X3109 vdd a_6661_21324# a_6426_18604# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3110 vss a_17925_20270# trimb0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3111 a_5367_21716# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3112 a_15611_14278# a_15441_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3113 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3114 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3115 a_12373_20566# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3116 a_15887_19174# a_15717_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3117 ctl6n a_11998_9900# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3118 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3119 a_10839_18060# a_10621_18464# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.583e+11p pd=2.37e+06u as=0p ps=0u w=840000u l=150000u
+X3120 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3121 a_8860_9926# a_8830_9900# a_8770_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3122 vdd a_12326_16142# a_12865_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3123 a_9807_12076# a_10473_12646# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3124 a_12063_14278# a_11684_14644# a_11991_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3125 vss a_5925_18604# a_5846_18060# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3126 a_16552_17037# a_16506_17230# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=0p ps=0u w=420000u l=150000u
+X3127 a_3713_14804# a_6485_16214# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3128 vss a_12654_10444# a_12592_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3129 vdd a_9632_12646# a_16597_13164# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3130 result0 a_3534_14796# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3131 a_3534_20236# a_3713_20244# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3132 a_5828_12646# a_4751_12652# a_5666_13024# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3133 a_9255_20806# a_7694_19264# a_8909_21056# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=3.38e+11p ps=3.64e+06u w=650000u l=150000u
+X3134 a_6119_14528# a_6089_14430# a_6047_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=3.48e+11p pd=2.78e+06u as=0p ps=0u w=1e+06u l=150000u
+X3135 a_17617_16972# a_15887_19174# a_18003_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3136 a_7210_15054# a_7134_13164# a_7352_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3137 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3138 ctl1p a_3994_21868# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3139 a_12200_11558# a_12023_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3140 vss a_6948_13440# a_10473_12646# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3141 a_4475_13740# a_4309_13740# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3142 a_14131_16276# a_13653_15884# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3143 n7n ctl7n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3144 a_10689_11896# a_7883_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3145 a_3534_14796# a_3713_14804# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3146 a_6294_17542# a_5965_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3147 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3148 vdd ctl6p n6p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3149 a_13417_15616# a_13545_15340# a_13499_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3150 vdd a_8541_21350# a_10228_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3151 a_12281_20780# a_12106_20806# a_12460_20806# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3152 a_8233_18604# a_8058_18630# a_8412_18630# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3153 vss a_11753_14515# a_11684_14644# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3154 a_12089_19692# a_12292_19970# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3155 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3156 a_11759_16696# a_11541_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3157 a_15380_11264# a_15280_11166# a_15298_11264# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3158 a_11538_20628# a_11421_20433# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3159 vdd a_3899_9926# a_7574_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3160 a_10729_18086# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3161 a_6725_15499# a_10188_15910# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3162 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3163 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3164 a_3534_14796# a_3713_14804# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3165 vss a_11170_14796# a_7306_15054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.7225e+11p ps=1.83e+06u w=650000u l=150000u
+X3166 a_17028_13190# a_9632_12646# a_16733_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3167 vdd a_16710_18354# a_17617_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3168 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3169 vss a_9513_15518# a_12211_15142# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3170 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3171 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3172 vdd a_14314_14278# a_14489_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3173 a_8615_10476# a_8449_10476# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3174 vss a_15041_14038# a_14975_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3175 n0p ctl0p vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3176 vdd a_6973_18312# a_8633_16820# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3177 w_102926_7434# a_103126_7692# a_103126_7850# w_102926_7434# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X3178 vss ctl9n n9n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3179 a_22733_20196# trimb4 vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3180 a_13403_17542# a_13366_17696# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3181 vdd a_9632_12646# a_16597_15340# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3182 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3183 vdd a_17005_20806# ctl9p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3184 a_11170_14796# a_7878_13210# a_11562_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3185 vdd a_5873_21894# ctl2p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3186 a_9108_20262# a_8982_20378# a_8704_20394# vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=360000u l=150000u
+X3187 a_4488_16606# a_8484_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3188 vdd a_3899_9926# a_14842_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3189 a_17365_19718# a_17098_13164# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3190 vdd a_9470_18318# a_9247_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3191 a_9827_11558# a_6595_10470# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3192 n2p ctl2p vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3193 a_11107_21894# a_10050_17694# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3194 a_5921_13355# a_6013_13164# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3195 vdd a_4237_17240# a_4198_17114# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3196 vss a_7821_19174# a_9680_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3197 a_9930_18782# a_7791_15366# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3198 a_11555_14278# a_11077_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3199 vdd a_14346_22046# a_14123_21868# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3200 vss a_4453_21324# a_4401_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3201 vss a_13403_20806# a_16913_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3202 vdd a_5942_10848# a_6117_10774# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X3203 vss a_17727_13342# a_15441_14430# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3204 a_8451_19718# a_4897_20780# a_8017_19870# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3205 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3206 a_9631_13190# a_9454_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3207 vss a_3568_11166# a_4309_13740# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3208 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3209 a_11360_18604# a_11393_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3210 a_6607_17784# a_6389_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3211 a_15390_17114# a_14381_18060# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3212 a_18003_16998# a_16710_18354# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3213 vdd a_8520_14278# a_8626_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3214 vdd a_5565_14038# a_5552_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3215 vdd a_12037_13734# a_10083_11532# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3216 a_7360_21172# a_7234_21074# a_6956_21058# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3217 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3218 a_17352_11014# a_17175_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3219 vdd a_13929_20780# a_4449_14804# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3220 a_14225_11558# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3221 vdd a_9447_20806# a_9740_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3222 vdd a_10153_20242# a_16177_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3223 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3224 vss a_8062_16454# a_11393_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3225 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3226 vss a_7694_19264# a_7570_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3227 vdd a_16691_16428# a_14834_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3228 a_3994_11014# a_3757_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3229 valid a_3534_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3230 a_3563_9926# rstn vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3231 vss a_16914_12620# a_17175_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3232 vss a_103126_7692# a_104073_7792# vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X3233 vdd a_12029_10444# a_11960_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3234 a_7600_13734# a_7423_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3235 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3236 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3237 a_16802_17516# a_16626_17542# a_16946_17542# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3238 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3239 a_4446_13556# a_4232_13556# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3240 a_9832_21350# a_9113_21592# a_9269_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3241 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3242 a_13789_13440# a_13601_13556# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3243 a_4232_20084# a_4145_19860# a_3828_19970# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3244 vss a_14079_15120# a_14013_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3245 a_14563_14100# a_14519_13708# a_14397_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.802e+11p ps=2.2e+06u w=420000u l=150000u
+X3246 a_4954_17516# a_4896_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3247 clkc a_20220_14335# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3248 vss a_15126_9900# ctl8n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3249 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3250 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3251 a_8343_11014# a_7865_10988# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3252 a_9698_11532# a_6595_10470# a_9921_11878# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3253 a_4751_12652# a_4585_12652# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3254 vdd a_10473_12646# a_9807_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3255 a_16218_21056# a_4449_14804# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3256 a_4539_15366# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3257 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3258 a_6497_17908# a_5873_17542# a_6389_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3259 a_4926_13439# a_4677_14528# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3260 a_15099_18452# a_14708_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3261 vdd a_3899_9926# a_6753_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3262 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3263 a_12943_13190# a_12773_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3264 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3265 vss a_3899_9926# a_3863_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3266 a_16549_12966# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3267 a_3534_14252# a_3713_14252# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3268 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3269 vdd a_5754_21324# a_5692_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3270 a_11191_16454# a_11025_16454# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3271 a_12483_11558# a_12306_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3272 ctl6p a_16177_21894# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3273 a_13687_21582# a_14245_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3274 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3275 a_11998_9900# a_12177_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3276 a_11705_12076# a_11704_11558# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3277 a_5087_14100# a_5043_13708# a_4921_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3278 a_3707_17690# a_4718_18880# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3279 a_9543_15616# a_3573_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3280 vss a_9928_13734# a_10438_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3281 a_9513_15518# a_6918_13342# a_10203_13440# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3282 n8n ctl8n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3283 a_7908_13236# a_7878_13210# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3284 a_104073_24504# a_103126_24720# vinp vss sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X3285 vss a_11237_21350# a_16177_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3286 a_5115_20806# a_3573_19718# a_4769_21056# vss sky130_fd_pr__nfet_01v8 ad=2.275e+11p pd=2e+06u as=0p ps=0u w=650000u l=150000u
+X3287 a_11297_22046# a_12373_20566# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3288 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3289 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3290 a_13741_18795# a_13833_18604# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3291 a_15975_11564# a_15809_11564# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3292 a_11759_21048# a_11541_20806# vss vss sky130_fd_pr__nfet_01v8 ad=1.998e+11p pd=1.97e+06u as=0p ps=0u w=640000u l=150000u
+X3293 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3294 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3295 ctl0n a_17925_9926# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X3296 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3297 vdd a_6117_10774# a_6104_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3298 a_16709_13734# a_16085_13740# a_16601_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3299 a_16598_14278# a_16481_14491# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3300 a_11541_20806# a_11191_20806# a_11446_20806# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3301 vss a_4545_18060# a_3713_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3302 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3303 a_13779_12102# a_12589_12102# a_13670_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3304 n9n ctl9n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3305 a_17446_17542# a_17332_17756# a_17374_17542# vss sky130_fd_pr__nfet_01v8 ad=9.66e+10p pd=1.3e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X3306 a_13599_11256# a_13381_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3307 a_17612_12102# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3308 a_9551_12352# a_7669_12076# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3309 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3310 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3311 a_13215_17542# a_13049_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3312 a_14892_15910# a_14134_16026# a_14329_15884# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3313 vss a_13839_10444# a_14063_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3314 a_16088_21350# a_15369_21592# a_15525_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3315 a_14381_18060# a_14624_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3316 a_6595_10470# a_6117_10774# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3317 a_13922_21670# a_4725_15892# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3318 vdd a_14079_15120# a_14109_14861# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3319 vdd a_7600_13734# a_7706_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3320 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3321 vss a_9177_20236# a_9108_20262# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3322 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3323 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3324 a_17791_16482# a_13565_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3325 a_11873_10712# a_12326_16142# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3326 a_6701_18086# a_4897_20780# a_6783_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3327 vss a_14054_17908# a_14624_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3328 a_7030_11014# a_6871_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3329 vss a_8133_19692# a_9255_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3330 a_3534_16428# a_3665_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3331 a_11831_10836# a_11353_10444# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3332 vss a_7639_15120# a_7573_15188# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3333 a_9551_12102# a_7669_12076# vss vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X3334 vdd a_16913_21894# ctl7p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3335 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3336 n2n ctl2n vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3337 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3338 vss a_17433_14252# a_17367_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3339 a_9061_10848# a_8615_10476# a_8965_10848# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3340 a_8498_11790# a_8706_11826# a_8640_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3341 a_10621_18464# a_10105_18092# a_10526_18452# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3342 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3343 a_12106_20806# a_11025_20806# a_11759_21048# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3344 vss trimb1 a_23750_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=300000u
+X3345 vss a_11359_15518# a_11172_15340# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3346 vdd a_17925_10478# trim2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3347 trim4 a_15533_14830# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3348 a_9059_19406# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3349 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3350 vss a_6918_13342# a_9513_15518# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3351 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3352 vdd a_7690_11014# a_7865_10988# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3353 vss a_6193_12076# a_6139_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3354 a_15126_9900# a_15305_9900# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3355 vss a_6197_14252# a_9928_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3356 vdd a_6426_16428# a_17925_10078# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3357 vdd a_6939_12620# a_6969_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3358 a_17911_14822# a_17734_14822# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3359 a_17635_11014# a_17458_11014# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3360 vss a_7069_16454# a_6426_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3361 a_3563_9926# rstn vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3362 vss a_17065_11862# a_16999_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3363 vss a_12273_19148# a_12221_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3364 a_12181_18604# a_7821_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3365 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3366 a_16626_18630# a_16343_18630# a_16531_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3367 vss a_11297_22046# a_11487_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3368 vss a_12326_16142# a_15809_11564# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3369 a_3828_15618# a_4145_15508# a_4103_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3370 a_5867_15142# a_5921_14796# a_5879_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3371 vss a_16315_10470# a_16856_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3372 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3373 vss a_6089_14430# a_6701_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3374 a_7883_13734# a_7706_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3375 a_3534_21324# a_3713_21332# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3376 vss a_10585_19416# a_10546_19290# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3377 a_5319_12620# a_5101_13024# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3378 a_13746_15054# a_13789_13440# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3379 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3380 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3381 result5 a_3534_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3382 vdd a_3899_9926# a_17182_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3383 a_5565_14038# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3384 a_10083_11532# a_12037_13734# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3385 a_14407_20806# a_13929_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3386 a_8163_19968# a_4897_20780# a_8017_19870# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3387 a_7799_11014# a_6609_11014# a_7690_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3388 vdd a_17925_12654# trim0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3389 a_3534_18604# a_3713_18604# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3390 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3391 vdd a_11073_11179# a_7687_10444# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3392 a_5692_21350# a_4973_21592# a_5129_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3393 vdd a_7573_12254# a_9061_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3394 a_9645_12102# a_7573_12254# a_9551_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3395 vdd a_8132_17694# a_8081_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3396 a_14567_16276# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3397 vdd a_3568_11166# a_8449_10476# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3398 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3399 vss a_11705_12076# a_12023_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3400 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3401 vss a_3534_18604# result4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3402 a_13213_12468# a_12589_12102# a_13105_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3403 vdd a_11077_14252# a_6939_12620# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3404 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3405 vss a_3534_12620# valid vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3406 a_16955_14278# a_16911_14520# a_16789_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3407 a_10933_14054# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3408 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3409 vss a_14943_14796# a_15533_14830# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3410 vdd a_7398_10444# a_6253_11574# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3411 vdd a_10183_11166# a_8734_10078# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X3412 a_4829_10988# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3413 a_13929_20780# a_14132_21058# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3414 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3415 vdd a_3899_9926# a_15670_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3416 vss a_4145_19860# a_4106_19986# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3417 a_16410_17230# a_9807_12076# a_16552_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3418 a_14474_15910# a_14260_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3419 a_14489_14252# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3420 a_5873_19968# a_4897_20780# a_5955_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=5.1285e+11p pd=5.04e+06u as=0p ps=0u w=1e+06u l=150000u
+X3421 a_14746_13440# a_13865_14835# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3422 a_11349_13734# a_7306_15054# a_11241_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3423 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3424 vss clk a_10188_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3425 a_7190_21172# a_6753_20780# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X3426 a_3899_9926# a_3563_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3427 a_7652_16026# a_6119_14528# a_7570_16026# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3428 a_11705_12076# a_11704_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3429 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3430 vdd a_12326_16142# a_12313_15910# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3431 a_17098_13164# a_17132_14822# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3432 a_12179_11341# a_10083_11532# a_11965_11341# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3433 a_16587_11924# a_16543_11532# a_16421_11936# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3434 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3435 vdd a_14204_17756# a_14162_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3436 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3437 n0n ctl0n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3438 vdd a_9328_14430# a_9277_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3439 a_103126_24720# a_103126_24878# w_102926_24462# w_102926_24462# sky130_fd_pr__pfet_g5v0d10v5 ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X3440 a_4301_19955# a_4106_19986# a_4611_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3441 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3442 a_12273_19148# a_11360_18604# a_12491_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3443 a_13489_11380# a_12865_11014# a_13381_11014# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3444 a_12855_13734# a_10083_11532# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3445 a_11597_14420# a_12326_16142# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3446 vss a_3693_11558# a_5965_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3447 vss a_3693_11558# a_6793_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3448 vss a_6426_16428# a_17925_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3449 ctl8p a_17005_21358# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3450 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3451 vdd a_6197_14252# a_6119_14528# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=700000u l=150000u
+X3452 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X3453 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3454 vss a_3899_9926# a_15087_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3455 vss a_12281_20780# a_12215_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3456 a_8163_19968# a_8133_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3457 a_6651_17542# a_6607_17784# a_6485_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3458 a_13685_19174# a_13403_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3459 vdd a_10188_15910# a_6725_15499# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3460 vss a_16914_12620# a_17925_10478# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3461 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3462 a_16310_14938# a_7477_12254# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3463 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3464 a_14409_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3465 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3466 a_6117_10774# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3467 a_11529_13734# a_11021_14054# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3468 a_5152_18086# a_5026_18202# a_4748_18218# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3469 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3470 a_12755_12102# a_12589_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3471 vss trim4 a_22733_12170# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3472 vdd a_16710_18354# a_17647_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3473 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3474 vss a_5159_20951# a_5115_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3475 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3476 a_11359_15518# a_11455_15340# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3477 a_4973_21592# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3478 vdd a_11556_21350# a_11662_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3479 vdd a_5846_18060# a_5784_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3480 a_3534_18060# a_3713_18068# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3481 a_6954_17542# a_5873_17542# a_6607_17784# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3482 vss a_7005_20494# a_5754_21324# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3483 a_4256_16454# a_4388_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3484 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3485 a_7343_11256# a_7125_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3486 a_4933_13734# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3487 vss a_14329_15884# a_14260_15910# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3488 vss a_13654_9900# ctl7n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3489 a_5775_13024# a_4585_12652# a_5666_13024# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3490 vss a_3713_18604# a_6061_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3491 a_14397_14112# a_13951_13740# a_14301_14112# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3492 a_12409_19494# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3493 a_17925_19406# a_15611_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3494 vss a_16597_15340# a_16389_13905# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3495 vdd a_3899_9926# a_4446_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3496 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3497 a_14079_15120# a_13746_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3498 a_15369_21592# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3499 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3500 vss a_5873_21894# ctl2p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3501 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3502 vss a_10429_20780# a_10383_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3503 vdd a_12198_20640# a_12373_20566# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3504 a_5955_19968# a_3573_19718# a_5873_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3505 a_6119_14528# a_6197_14252# a_5965_14278# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3506 a_12765_19955# a_12609_19860# a_12910_20084# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3507 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3508 a_8044_11014# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3509 vss a_6197_14252# a_7423_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3510 a_5098_21868# a_5277_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3511 a_8448_15616# a_7799_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3512 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3513 a_11315_14278# a_11280_14530# a_11077_14252# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3514 a_5023_14278# a_3651_13734# a_4677_14528# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3515 a_14433_19718# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3516 a_9098_9926# a_8706_11826# a_8607_9900# vss sky130_fd_pr__nfet_01v8 ad=1.365e+11p pd=1.72e+06u as=0p ps=0u w=650000u l=150000u
+X3517 a_7573_12254# a_8852_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3518 vss a_3625_19692# a_3573_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3519 a_16531_18630# a_15611_18630# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3520 vdd a_10328_16606# a_10285_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3521 a_16911_12344# a_16693_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3522 a_13967_14520# a_13749_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3523 a_7429_21043# a_7234_21074# a_7739_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3524 vdd a_9177_20236# a_9108_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3525 a_12655_15616# a_7878_13210# a_12583_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3526 vss a_6595_10470# a_8615_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3527 a_17166_14112# a_16085_13740# a_16819_13708# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3528 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3529 vss a_8927_11856# a_8861_11924# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3530 vss a_6143_20806# a_6877_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3531 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3532 a_13857_14644# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3533 vdd a_11297_22046# a_12804_22144# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3534 vss a_3713_21332# a_14433_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3535 vdd a_7669_12076# a_10689_11896# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3536 a_13670_12102# a_12755_12102# a_13323_12344# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3537 vdd a_10153_20242# a_11025_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3538 a_12579_12646# a_12769_12872# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3539 a_11803_20806# a_11759_21048# a_11637_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3540 a_9884_10836# a_3899_9926# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3541 a_12769_12872# a_14121_10988# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3542 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3543 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3544 a_14708_16606# a_15390_17114# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=3.4e+11p pd=2.68e+06u as=0p ps=0u w=1e+06u l=150000u
+X3545 vss a_12200_11558# a_12306_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3546 a_5024_20394# a_5341_20504# a_5299_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3547 vss a_14337_18782# a_14337_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3548 a_9253_16230# a_6089_14430# a_9157_16230# vss sky130_fd_pr__nfet_01v8 ad=2.08e+11p pd=1.94e+06u as=0p ps=0u w=650000u l=150000u
+X3549 vss a_10967_11558# a_11704_11558# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3550 a_6143_20806# a_5965_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3551 vss a_17925_20806# trimb3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3552 a_6817_21568# a_6926_19968# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3553 vss a_3899_9926# a_4691_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3554 a_9177_20236# a_9021_20504# a_9322_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3555 vdd a_6043_13734# a_7435_15630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3556 a_12825_14796# a_12031_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3557 a_7429_12352# a_6948_13440# a_6051_12254# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3558 vdd a_16355_19406# a_16445_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3559 a_16230_11924# a_15611_12102# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3560 vss a_5392_19174# a_5498_19174# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3561 vss a_12641_17230# a_12589_16998# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3562 a_3534_18060# a_3713_18068# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3563 a_10729_18086# a_10105_18092# a_10621_18464# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.449e+11p ps=1.53e+06u w=420000u l=150000u
+X3564 a_8927_11856# a_7591_10444# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3565 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3566 a_12031_16998# a_11853_16998# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3567 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3568 a_12825_14796# a_12031_16998# vss vss sky130_fd_pr__nfet_01v8 ad=1.113e+11p pd=1.37e+06u as=0p ps=0u w=420000u l=150000u
+X3569 a_15611_12102# a_15020_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3570 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3571 vdd a_7694_19264# a_7652_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3572 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3573 a_7621_10790# a_7591_10444# a_7527_10790# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3574 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3575 a_7639_15120# a_7306_15054# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3576 vdd ctl7n n7n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3577 vss a_3568_11166# a_4585_12652# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3578 a_10067_16428# a_5067_14423# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3579 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3580 a_13403_20806# a_13233_20806# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3581 a_4237_17240# a_5277_19692# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3582 vss a_9930_18782# a_9025_22027# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3583 a_11019_13342# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3584 vss a_10083_11532# a_12773_14054# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3585 vdd a_13687_21582# a_13732_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3586 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3587 a_6294_17542# a_5965_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3588 a_7570_16026# a_6119_14528# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3589 vss a_13629_10702# a_13601_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3590 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3591 a_11019_13342# a_11345_12620# a_11951_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3592 a_7008_19968# a_3713_18604# a_6926_19968# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3593 a_13845_12076# a_13670_12102# a_14024_12102# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3594 vss a_6753_20780# a_6701_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3595 a_8870_10836# a_8541_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3596 vss a_4301_13427# a_4232_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3597 a_3564_14278# a_3534_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3598 vss a_11569_13190# a_11711_11341# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3599 a_13767_11564# a_13601_11564# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3600 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3601 a_13955_15366# a_13417_15616# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3602 a_5129_21324# a_4973_21592# a_5274_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3603 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3604 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3605 a_12200_11558# a_12023_11558# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3606 vdd ctl0p n0p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3607 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3608 vdd a_4453_17516# a_4401_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3609 a_17206_17542# a_16626_17542# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3610 vss a_7069_21894# ctl3p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3611 vss a_10397_21894# a_11060_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3612 a_10365_17542# a_10092_17542# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3613 vdd a_6193_12076# a_5305_11790# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3614 vss a_10188_15910# a_6725_15499# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3615 vdd rstn a_3563_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3616 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X3617 vdd a_8433_12282# a_8339_12468# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3618 vdd a_3534_12620# valid vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3619 vdd a_3625_13164# a_3573_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3620 vss a_7573_12254# a_9253_16230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3621 a_7887_16454# a_7799_16606# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3622 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3623 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3624 a_14225_11558# a_13601_11564# a_14117_11936# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3625 vdd a_13839_10444# a_13775_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3626 a_7690_11014# a_6775_11014# a_7343_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3627 vdd a_4926_13439# a_4864_13556# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3628 a_16506_14100# a_16389_13905# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3629 vss a_14981_21894# a_15168_21172# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X3630 vdd a_8869_21868# a_4897_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X3631 a_11591_10836# a_11556_10602# a_11353_10444# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3632 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3633 a_13674_17516# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3634 a_14259_20628# a_14224_20394# a_14021_20236# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3635 a_12031_16998# a_11853_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3636 a_3713_18068# a_7129_17516# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3637 a_15168_21172# a_14449_20948# a_14605_21043# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3638 a_10328_16606# a_12281_16428# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3639 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3640 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3641 vdd a_6017_16972# a_5965_16998# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3642 a_16433_11558# a_3899_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3643 vss a_7600_13734# a_7706_13734# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3644 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3645 a_13323_12344# a_13105_12102# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3646 a_6472_15910# a_5395_15916# a_6310_16288# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3647 a_4103_13190# a_3625_13164# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3648 a_17647_16998# a_17617_16972# a_17050_16428# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3e+11p ps=2.6e+06u w=1e+06u l=150000u
+X3649 a_6783_18406# a_4769_20262# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3650 vss ctl7p n7p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3651 vdd a_17332_18844# a_17290_18996# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3652 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3653 vss a_5185_15041# a_4613_13905# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3654 vss a_5065_18328# a_5026_18202# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3655 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3656 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3657 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3658 a_17258_14278# a_16343_14278# a_16911_14520# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3659 vss a_12029_10444# a_11960_10470# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.998e+11p ps=1.97e+06u w=640000u l=150000u
+X3660 a_12307_20640# a_11117_20268# a_12198_20640# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3661 a_5595_10444# a_5377_10848# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3662 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3663 vdd a_14889_18086# a_14987_15616# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3664 vss a_4926_13439# a_4864_13556# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3665 a_16251_13740# a_16085_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3666 vdd ctl1p n1p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3667 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3668 vdd a_6143_20806# a_7069_21894# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3669 vdd a_3625_15340# a_3573_15366# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3670 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3671 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3672 a_3651_13734# a_3481_13734# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X3673 vdd a_3899_9926# a_12174_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3674 a_14011_14278# a_13967_14520# a_13845_14278# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3675 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3676 w_102926_7434# a_104073_8108# sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X3677 vdd a_11569_13190# a_12579_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3678 vss a_8482_15752# a_11170_14796# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3679 vss a_3899_9926# a_12327_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3680 a_7493_18630# a_7143_18630# a_7398_18630# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3681 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3682 vdd a_8980_13734# a_9086_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3683 a_9928_14054# a_6197_14252# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3684 vdd a_16911_14520# a_16801_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3685 vss trimb2 a_24177_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3686 a_17332_17756# a_17182_17908# vss vss sky130_fd_pr__nfet_01v8 ad=1.404e+11p pd=1.6e+06u as=0p ps=0u w=540000u l=150000u
+X3687 vdd a_7477_12254# a_13775_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3688 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3689 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3690 vss a_11053_19870# a_11025_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3691 a_6426_16428# a_7069_16454# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3692 a_14892_15910# a_14173_16152# a_14329_15884# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3693 a_16531_17542# a_16445_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3694 a_7887_16704# a_8025_16606# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3695 vss a_3899_9926# a_3863_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3696 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3697 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3698 vdd a_14631_17296# a_14661_17037# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3699 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3700 a_14318_17542# a_14204_17756# a_14246_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3701 a_17617_16972# a_16710_18354# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3702 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3703 a_4956_16998# a_4237_17240# a_4393_16972# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3704 a_12859_17318# a_11455_15340# a_12641_17230# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3705 a_6477_14796# a_6599_15366# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3706 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3707 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3708 vss a_10473_12646# a_9807_12076# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3709 vss a_12773_13342# a_12773_13190# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3710 vss a_16552_10560# a_16549_12966# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3711 a_11637_16454# a_11191_16454# a_11541_16454# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3712 vss a_3534_14796# result0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3713 a_7669_12076# a_8339_12468# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3714 vdd a_3899_9926# a_11898_14644# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3715 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3716 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X3717 a_9021_20504# a_10153_20242# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3718 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3719 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3720 a_14132_21058# a_14410_21074# a_14366_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3721 a_6918_13342# a_8024_14278# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3722 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3723 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3724 vdd a_3805_12267# a_3757_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3725 vss a_5965_20806# a_6143_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3726 a_12609_19860# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3727 vdd ctl8n n8n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3728 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3729 a_103126_7692# a_3564_14278# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X3730 vdd a_5497_20236# a_5428_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3731 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3732 vdd a_9737_13734# a_9928_13734# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3733 vdd a_5159_20951# a_9923_17792# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3734 vdd a_15533_14830# trim4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3735 a_11569_13190# a_11025_13190# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3736 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3737 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3738 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3739 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3740 a_12498_15910# a_12313_15910# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3741 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3742 a_7435_15630# a_6939_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3743 a_12497_12646# a_11705_12076# a_12579_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3744 vdd a_15441_14430# a_15441_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3745 vdd comp a_15717_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3746 a_11213_18630# a_11360_18604# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3747 a_8684_15054# a_8780_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3748 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3749 vdd a_7273_20948# a_7234_21074# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3750 a_10621_18464# a_10271_18092# a_10526_18452# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3751 n4n ctl4n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3752 vss trimb4 a_22733_20196# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3753 vdd a_9698_11532# a_6193_12076# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X3754 a_17365_20262# a_16914_12620# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3755 vss a_6477_14796# a_3568_11166# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3756 a_17149_10444# a_15549_11014# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3757 vdd ctl9p n9p vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3758 a_5060_21350# a_4973_21592# a_4656_21482# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3759 vdd a_4769_20262# a_4800_18880# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3760 vss a_3713_20244# a_11213_18630# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3761 a_5497_20236# a_5341_20504# a_5642_20262# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3762 a_4864_20084# a_4106_19986# a_4301_19955# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3763 a_14573_16428# a_14932_16428# a_14709_16704# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.65e+11p pd=2.53e+06u as=0p ps=0u w=1e+06u l=150000u
+X3764 a_13951_13740# a_13785_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3765 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3766 a_5185_15041# a_5277_14796# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3767 vss a_17098_13164# a_17925_12654# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3768 a_11283_20268# a_11117_20268# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3769 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X3770 a_11649_16820# a_11025_16454# a_11541_16454# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3771 vss a_17925_19406# a_17925_19182# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3772 vss a_17098_13164# a_17365_19718# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3773 vss a_7687_10444# a_9098_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3774 vdd a_7147_17230# a_7069_16606# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.4e+11p ps=2.68e+06u w=1e+06u l=150000u
+X3775 a_3563_9926# rstn vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3776 a_13286_11014# a_13035_12646# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3777 a_14849_21324# a_15052_21482# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3778 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3779 vss a_14943_14796# a_12897_13708# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3780 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3781 a_8163_19718# a_8133_19692# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3782 vss a_3899_9926# a_17446_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3783 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3784 a_15126_9900# a_15305_9900# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3785 a_12106_20806# a_11191_20806# a_11759_21048# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3786 a_11186_18464# a_10105_18092# a_10839_18060# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3787 vss ctl2p n2p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3788 vss a_8869_21868# a_4897_20780# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3789 a_4759_14278# a_3573_13190# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3790 a_4475_13740# a_4309_13740# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3791 ndp vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3792 vss a_14932_16428# a_14573_16428# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3793 a_4654_11014# a_3739_11014# a_4307_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3794 vss a_11393_17542# a_11360_18604# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3795 vss a_13565_16428# a_15390_17114# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3796 a_10065_19148# a_10268_19306# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3797 vdd a_3899_9926# a_13929_20780# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3798 a_9487_20628# a_9108_20262# a_9415_20628# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3799 vss a_6227_19406# a_6007_20954# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3800 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3801 a_8830_9900# a_7687_10444# a_10743_10790# vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3802 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3803 a_5221_18060# a_5065_18328# a_5366_18086# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3804 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3805 vss a_10183_11166# a_8734_10078# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3806 a_16343_17542# a_16177_17542# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X3807 vss a_3563_9926# a_3899_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3808 vdd a_9894_21324# a_9832_21350# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3809 vss a_4401_12254# a_4401_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X3810 result6 a_3534_20780# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3811 a_6956_21058# a_7234_21074# a_7190_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3812 a_11577_12352# a_11705_12076# a_11659_12102# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3813 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3814 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3815 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3816 a_12579_12966# a_11849_12254# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3817 vdd a_11759_21048# a_11649_21172# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3818 a_8980_16998# a_8803_16998# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3819 a_6117_10774# a_5942_10848# a_6296_10836# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3820 a_15549_11014# a_15298_11264# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3821 a_13956_13190# a_13469_16606# a_13789_13440# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3822 a_16434_14912# a_17433_14252# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X3823 vss a_15369_21592# a_15330_21466# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3824 vdd a_13629_10702# a_13601_10470# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3825 a_17258_14278# a_16177_14278# a_16911_14520# vdd sky130_fd_pr__pfet_01v8_hvt ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3826 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3827 vss a_3899_9926# a_13891_16276# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3828 vdd a_3665_17542# a_3843_17542# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3829 vdd a_3994_10444# ctl1n vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3830 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X3831 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3832 a_4926_19967# a_4769_21056# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3833 vdd a_13403_20806# a_13685_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3834 a_7524_12102# a_7477_12254# a_6051_12254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.1125e+11p ps=1.95e+06u w=650000u l=150000u
+X3835 vss a_4145_15508# a_4106_15634# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3836 vss clkc a_22891_16254# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=300000u
+X3837 a_11965_11014# a_11711_11341# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3838 a_15464_21894# a_9247_18060# a_15169_21894# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3839 vss a_15525_21324# a_15456_21350# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X3840 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3841 a_6877_11558# a_6143_20806# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3842 a_14541_20504# a_10153_20242# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3843 vdd a_10585_19416# a_10546_19290# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3844 vss a_17925_9926# ctl0n vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3845 a_4829_10988# a_4654_11014# a_5008_11014# vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3846 vss a_16177_21894# ctl6p vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3847 a_10328_16606# a_12281_16428# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3848 a_14027_22046# a_14123_21868# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3849 a_6051_12254# a_6948_13440# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3850 a_17374_17542# a_16177_17542# a_17182_17908# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3851 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X3852 vdd a_7179_14511# a_6848_14252# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3853 vss a_6319_12646# a_7683_13012# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3854 vdd a_4954_17516# a_5129_12102# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3855 a_14409_13734# a_13785_13740# a_14301_14112# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3856 a_17420_14644# a_16343_14278# a_17258_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3857 a_15052_21482# a_15369_21592# a_15327_21716# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3858 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X3859 a_16931_18384# a_9807_12076# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X3860 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3861 vss a_14027_22046# a_13840_21868# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3862 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3863 a_4301_15603# a_4106_15634# a_4611_15366# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3864 result3 a_3534_18060# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3865 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3866 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3867 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3868 a_8482_15752# a_7669_12076# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3869 vdd a_16597_13164# a_16481_14491# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3870 a_9030_21350# a_8593_21324# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3871 a_14054_17908# a_13215_17542# a_14078_17542# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3872 a_8979_20628# a_8501_20236# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3873 vdd a_15611_14278# a_17925_19406# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3874 a_5392_19174# a_5215_19174# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3875 a_11960_10470# a_11834_10586# a_11556_10602# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3876 vdd a_12773_13342# a_12773_13190# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3877 a_4897_20780# a_8869_21868# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3878 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3879 a_14055_11014# a_12865_11014# a_13946_11014# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.422e+11p ps=1.51e+06u w=360000u l=150000u
+X3880 vdd a_13629_12878# a_13601_12646# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X3881 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X3882 a_9543_15616# a_9513_15518# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3883 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3884 vdd a_8607_9900# a_8541_9926# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X3885 vdd a_17098_13164# a_17451_14822# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3886 vss a_17925_10078# a_17925_9926# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3887 a_8909_21056# a_9025_22027# a_8991_20806# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3888 vdd a_7129_17516# a_7116_17908# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3889 a_17617_16606# a_16710_18354# a_17791_16482# vss sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=0p ps=0u w=420000u l=150000u
+X3890 ctl0n a_17925_9926# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3891 a_14519_13708# a_14301_14112# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3892 vss a_14021_20236# a_3713_21332# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X3893 a_13946_11014# a_13031_11014# a_13599_11256# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X3894 vdd a_12973_21894# a_14245_19174# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X3895 vdd a_6319_12646# a_8024_14278# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X3896 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X3897 vdd vss sky130_fd_pr__cap_mim_m3_2 l=1.2e+07u w=1.2e+07u
+X3898 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X3899 n6n ctl6n vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+C0 a_6599_15366# vdd 3.81fF
+C1 a_3899_9926# a_9021_20504# 2.50fF
+C2 ctl6p vdd 2.91fF
+C3 a_12177_9900# vdd 3.77fF
+C4 a_11569_13190# vdd 4.02fF
+C5 a_13955_15366# vdd 2.96fF
+C6 n7n n6n 55.10fF
+C7 a_6939_12620# vdd 3.83fF
+C8 a_4897_20780# a_5277_21868# 2.08fF
+C9 a_12773_13342# vdd 2.63fF
+C10 a_7477_12254# vdd 15.87fF
+C11 ctl5p vdd 5.74fF
+C12 a_3899_9926# a_3713_18068# 2.20fF
+C13 a_23521_16372# a_23750_20196# 3.69fF
+C14 n7p n9p 333.30fF
+C15 a_17925_19406# a_16710_18354# 2.19fF
+C16 n9n vn 4171.25fF
+C17 n3n vn 65.20fF
+C18 n9n n0n 2.16fF
+C19 a_5277_21868# vdd 7.16fF
+C20 a_4388_16606# vdd 7.45fF
+C21 a_15611_14278# a_12897_13708# 2.04fF
+C22 a_3693_11558# a_5067_14423# 2.71fF
+C23 n5p n4p 50.87fF
+C24 n7p vp 1041.33fF
+C25 a_3843_17542# vdd 7.36fF
+C26 a_14997_13190# vdd 2.97fF
+C27 a_3899_9926# a_4954_17516# 3.47fF
+C28 a_6426_16428# a_7878_13210# 3.09fF
+C29 a_9247_18060# vdd 5.44fF
+C30 clk a_7883_12646# 3.00fF
+C31 n8p n2p 4.17fF
+C32 ctl5p ctl4p 4.11fF
+C33 a_9025_22027# a_8133_19692# 4.34fF
+C34 a_23521_16372# a_24177_20196# 7.38fF
+C35 a_6051_12254# a_6193_12076# 2.51fF
+C36 a_12769_12872# a_11705_12076# 2.04fF
+C37 a_6426_16428# a_12897_13708# 2.46fF
+C38 a_5921_14796# vdd 10.39fF
+C39 a_14245_19718# vdd 3.04fF
+C40 a_6426_16428# a_17098_13164# 3.17fF
+C41 n0n vn 8.20fF
+C42 a_4805_14252# vdd 4.09fF
+C43 a_5159_20951# a_6973_18312# 2.42fF
+C44 a_7821_15910# vdd 3.92fF
+C45 a_12589_16998# vdd 2.17fF
+C46 trimb4 trimb1 4.03fF
+C47 n8n n2n 4.17fF
+C48 a_6119_14528# vdd 3.48fF
+C49 trim4 trim1 4.00fF
+C50 a_3568_11166# vdd 10.59fF
+C51 a_3899_9926# a_12177_9900# 2.73fF
+C52 a_7669_12076# a_10083_11532# 2.12fF
+C53 a_104073_24504# w_102926_24462# 18.26fF
+C54 a_3899_9926# a_6609_11014# 2.06fF
+C55 ctl7p vdd 6.48fF
+C56 n6n n5n 52.96fF
+C57 ctl7n ctl8n 2.86fF
+C58 n8n n7n 184.55fF
+C59 a_11257_9900# vdd 3.13fF
+C60 ctl2p ctl3p 4.90fF
+C61 n2p n9p 10.46fF
+C62 a_6193_12076# vdd 3.64fF
+C63 a_4613_13905# vdd 2.08fF
+C64 a_11237_21350# a_10050_17694# 2.41fF
+C65 a_17098_13164# a_16914_12620# 5.93fF
+C66 ctl6n ctl7n 3.26fF
+C67 a_7687_10444# a_7591_10444# 3.15fF
+C68 a_3899_9926# a_11117_20268# 2.54fF
+C69 a_10429_20780# vdd 5.05fF
+C70 a_23986_12170# a_23521_16136# 3.69fF
+C71 a_11019_13342# vdd 2.21fF
+C72 a_4449_14804# vdd 5.51fF
+C73 n5p n6p 52.96fF
+C74 a_24177_12170# a_22733_12170# 3.57fF
+C75 n2p vp 32.66fF
+C76 ctl5n ctl6n 3.65fF
+C77 a_9025_22027# a_5277_21868# 2.63fF
+C78 a_3713_20244# vdd 3.90fF
+C79 a_17365_19718# vdd 2.05fF
+C80 a_15887_19174# vdd 3.83fF
+C81 a_7883_12646# a_9513_15518# 2.50fF
+C82 ctl5p ctl6p 3.65fF
+C83 a_7669_12076# vdd 5.12fF
+C84 n1p n2p 29.37fF
+C85 a_3899_9926# a_9247_18060# 3.19fF
+C86 n9n n1n 3.60fF
+C87 a_12973_21894# vdd 2.00fF
+C88 a_5277_19692# vdd 9.51fF
+C89 ctl9n ctl8n 2.43fF
+C90 a_7878_13210# vdd 4.42fF
+C91 a_4725_15892# vdd 8.16fF
+C92 a_16784_15518# vdd 2.11fF
+C93 n8n n6n 66.05fF
+C94 a_12031_16998# vdd 2.33fF
+C95 a_24604_12170# a_23521_16136# 14.55fF
+C96 a_13005_16972# a_11455_15340# 2.09fF
+C97 a_15887_19174# a_17925_19406# 2.50fF
+C98 a_4401_21350# vdd 2.76fF
+C99 a_7883_12646# vdd 5.20fF
+C100 trim3 vdd 2.34fF
+C101 cal en 3.84fF
+C102 a_12897_13708# vdd 7.07fF
+C103 a_14507_18630# vdd 3.43fF
+C104 a_8343_11014# vdd 2.04fF
+C105 a_11237_21350# vdd 6.55fF
+C106 a_12326_16142# a_13685_19174# 2.24fF
+C107 a_22733_20196# a_24177_20196# 3.57fF
+C108 a_17098_13164# vdd 3.35fF
+C109 a_23750_12170# a_23521_16136# 3.69fF
+C110 result6 result5 3.74fF
+C111 a_12909_17230# a_13005_16972# 2.08fF
+C112 ctl9n ctl0n 2.04fF
+C113 a_7134_13164# vdd 3.62fF
+C114 a_3899_9926# a_3568_11166# 3.25fF
+C115 trimb2 trimb0 4.14fF
+C116 a_3713_20244# a_3573_19718# 2.13fF
+C117 a_10153_20242# a_10050_17694# 3.55fF
+C118 n1n vn 16.39fF
+C119 n1n n0n 15.44fF
+C120 a_3693_11558# vdd 5.67fF
+C121 a_12326_16142# vdd 8.92fF
+C122 ctl2p ctl1p 5.30fF
+C123 a_5129_12102# vdd 2.14fF
+C124 n8p n9p 717.42fF
+C125 a_4897_20780# a_5159_20951# 2.77fF
+C126 a_9807_12076# a_11455_15340# 4.84fF
+C127 trimb2 trimb3 4.55fF
+C128 a_11301_10470# vdd 3.25fF
+C129 ndp vp 8.13fF
+C130 n8n n5n 32.64fF
+C131 a_3713_20780# vdd 4.60fF
+C132 a_14889_18086# a_14931_15518# 2.14fF
+C133 n9n n2n 10.46fF
+C134 n2n n3n 41.57fF
+C135 ctl4p ctl3p 4.48fF
+C136 a_10153_20242# a_13685_19174# 2.08fF
+C137 a_14442_21868# vdd 3.30fF
+C138 n8p vp 2085.14fF
+C139 ctl8p ctl9p 2.54fF
+C140 a_3899_9926# a_4449_14804# 2.07fF
+C141 n7p n6p 55.10fF
+C142 a_14245_18406# vdd 2.94fF
+C143 n0p n9p 2.16fF
+C144 a_5159_20951# vdd 6.79fF
+C145 a_13687_21582# vdd 5.97fF
+C146 a_10426_16428# vdd 5.31fF
+C147 a_4488_16606# vdd 3.69fF
+C148 n1p ndp 15.92fF
+C149 a_10188_15910# a_6725_15499# 3.42fF
+C150 trimb4 vdd 2.04fF
+C151 a_13565_16428# a_11455_15340# 2.06fF
+C152 a_14123_21868# vdd 2.95fF
+C153 ctl7p ctl6p 4.81fF
+C154 ctl0p ctl9p 2.04fF
+C155 n0p vp 8.20fF
+C156 result7 result8 4.03fF
+C157 n3p n2p 41.57fF
+C158 a_7573_12254# vdd 4.66fF
+C159 a_5307_11014# vdd 3.09fF
+C160 a_3899_9926# a_5277_19692# 2.05fF
+C161 a_11849_12254# vdd 2.90fF
+C162 n9n n7n 333.30fF
+C163 ndn vn 8.13fF
+C164 a_7591_10444# vdd 3.41fF
+C165 a_10153_20242# vdd 12.98fF
+C166 n1p n0p 15.44fF
+C167 a_24177_20196# a_24604_20196# 2.16fF
+C168 a_11455_15340# vdd 7.54fF
+C169 vdd a_5067_14423# 4.21fF
+C170 result7 result6 3.86fF
+C171 a_16445_20262# vdd 7.10fF
+C172 n2n vn 32.66fF
+C173 a_3693_11558# a_6143_20806# 2.14fF
+C174 a_3899_9926# a_3713_12628# 2.28fF
+C175 a_12765_15910# vdd 3.30fF
+C176 a_23521_16372# a_22733_20196# 29.02fF
+C177 a_12909_17230# vdd 4.45fF
+C178 a_6973_18312# vdd 2.47fF
+C179 a_9632_12646# vdd 6.04fF
+C180 vp n9p 4171.25fF
+C181 a_7477_12254# a_7669_12076# 2.68fF
+C182 a_3713_14252# a_11345_12620# 2.08fF
+C183 ctl1n ctl2n 5.30fF
+C184 a_14943_14796# vdd 2.91fF
+C185 a_15611_14278# vdd 2.63fF
+C186 n7n vn 1041.33fF
+C187 a_6725_15499# vdd 8.26fF
+C188 a_7306_15054# a_5775_15054# 4.03fF
+C189 n9n n6n 165.83fF
+C190 n5n n4n 50.87fF
+C191 a_8541_21350# vdd 3.89fF
+C192 a_8025_16606# vdd 11.06fF
+C193 a_3899_9926# a_12326_16142# 3.84fF
+C194 vdd a_8062_16454# 3.01fF
+C195 n1p n9p 3.60fF
+C196 a_7687_10444# vdd 4.09fF
+C197 a_3899_9926# a_3713_20780# 2.05fF
+C198 clk vdd 9.05fF
+C199 result9 result8 4.56fF
+C200 a_6197_14252# vdd 2.92fF
+C201 a_3899_9926# a_15549_11014# 2.06fF
+C202 a_23521_16372# a_23986_20196# 3.69fF
+C203 n1p vp 16.39fF
+C204 n8p n4p 16.37fF
+C205 a_6426_16428# vdd 9.93fF
+C206 a_3573_15366# vdd 3.29fF
+C207 result9 vdd 7.77fF
+C208 a_13005_16972# vdd 3.20fF
+C209 n8p n3p 8.24fF
+C210 a_12326_16142# a_11569_13190# 2.12fF
+C211 a_7306_15054# vdd 5.96fF
+C212 trim2 trim0 4.14fF
+C213 a_5754_21324# vdd 2.11fF
+C214 n6n vn 520.73fF
+C215 a_13403_20806# vdd 3.46fF
+C216 a_3713_14252# vdd 4.85fF
+C217 a_3899_9926# a_10153_20242# 4.42fF
+C218 n9n n5n 82.97fF
+C219 n8n n4n 16.37fF
+C220 ctl2n ctl3n 4.90fF
+C221 a_9807_12076# a_13565_16428# 2.55fF
+C222 trim4 vdd 2.22fF
+C223 ctl9p vdd 2.24fF
+C224 result5 result4 2.66fF
+C225 a_23521_16372# a_24604_20196# 14.55fF
+C226 ctl2p vdd 2.34fF
+C227 a_11297_22046# vdd 2.49fF
+C228 a_14708_16606# vdd 2.15fF
+C229 ctl4n ctl5n 4.08fF
+C230 trim0 trim1 4.15fF
+C231 n1n ndn 15.92fF
+C232 a_16914_12620# vdd 3.87fF
+C233 a_10050_17694# vdd 11.62fF
+C234 a_6051_12254# vdd 2.75fF
+C235 a_9807_12076# vdd 8.54fF
+C236 a_14997_13190# a_12326_16142# 2.19fF
+C237 n4p n9p 41.54fF
+C238 a_6918_13342# vdd 5.97fF
+C239 a_10083_11532# vdd 4.70fF
+C240 a_5775_15054# vdd 9.24fF
+C241 a_6197_14252# a_6089_14430# 2.18fF
+C242 a_3564_14278# vdd 2.79fF
+C243 n2n n1n 29.37fF
+C244 a_4769_20262# vdd 2.88fF
+C245 a_3713_21332# vdd 4.14fF
+C246 a_13746_15054# a_9632_12646# 2.36fF
+C247 n5n vn 260.42fF
+C248 n3p n9p 20.82fF
+C249 n4p vp 130.27fF
+C250 a_13685_19174# vdd 5.83fF
+C251 n9n n8n 717.42fF
+C252 a_6426_18604# vdd 6.43fF
+C253 n8n n3n 8.24fF
+C254 a_13565_16428# vdd 3.63fF
+C255 a_9513_15518# vdd 2.46fF
+C256 n8p n6p 66.05fF
+C257 result8 vdd 2.62fF
+C258 a_6725_15499# a_7791_15366# 2.36fF
+C259 a_4897_20780# vdd 5.96fF
+C260 a_24177_12170# a_24604_12170# 2.16fF
+C261 n3p vp 65.20fF
+C262 trimb1 trimb0 4.08fF
+C263 a_7799_16606# vdd 4.11fF
+C264 ctl4n ctl3n 4.48fF
+C265 a_3899_9926# a_6426_16428# 3.22fF
+C266 n8p n5p 32.64fF
+C267 a_24177_12170# a_23521_16136# 7.38fF
+C268 a_7477_12254# a_9632_12646# 2.54fF
+C269 result3 result4 2.24fF
+C270 a_3573_13190# vdd 6.32fF
+C271 a_24604_12170# a_22733_12170# 6.78fF
+C272 a_12909_17230# a_13545_15340# 2.19fF
+C273 a_15305_9900# vdd 6.54fF
+C274 a_16552_10560# vdd 3.06fF
+C275 clk rstn 4.79fF
+C276 comp vdd 5.10fF
+C277 w_102926_7434# a_104073_8108# 18.26fF
+C278 a_8433_12282# vdd 2.22fF
+C279 trim2 trim3 4.38fF
+C280 a_14975_12254# vdd 4.11fF
+C281 a_22733_12170# a_23521_16136# 29.02fF
+C282 clk en 4.37fF
+C283 a_16506_17230# vdd 3.69fF
+C284 n8n vn 2085.14fF
+C285 a_3713_14804# vdd 5.39fF
+C286 a_6426_18604# a_6143_20806# 4.28fF
+C287 a_6969_12646# vdd 3.73fF
+C288 a_3899_9926# a_16914_12620# 2.86fF
+C289 a_6595_10470# vdd 4.98fF
+C290 n9p n6p 165.83fF
+C291 a_22733_20196# a_24604_20196# 6.78fF
+C292 a_13469_16606# vdd 2.85fF
+C293 a_3713_18068# vdd 2.22fF
+C294 a_16914_12620# a_13746_15054# 2.44fF
+C295 n9n n4n 41.54fF
+C296 n4n n3n 47.65fF
+C297 a_3573_19718# vdd 2.20fF
+C298 n5p n9p 82.97fF
+C299 a_10083_11532# a_9928_13734# 2.22fF
+C300 vp n6p 520.73fF
+C301 a_11360_18604# vdd 4.14fF
+C302 a_6319_12646# vdd 2.21fF
+C303 a_3899_9926# a_3713_21332# 2.88fF
+C304 a_6143_20806# vdd 5.35fF
+C305 a_6948_13440# vdd 2.72fF
+C306 n5p vp 260.42fF
+C307 a_6089_14430# vdd 6.63fF
+C308 cal valid 3.68fF
+C309 a_4954_17516# vdd 3.91fF
+C310 n3p n4p 47.65fF
+C311 a_11705_12076# vdd 3.99fF
+C312 a_8133_19692# vdd 5.98fF
+C313 n8p n7p 184.55fF
+C314 a_7878_13210# a_7573_12254# 2.32fF
+C315 a_6918_13342# a_6939_12620# 3.51fF
+C316 a_3899_9926# vdd 41.87fF
+C317 vdd a_9928_13734# 4.68fF
+C318 a_7573_12254# a_8176_13342# 2.13fF
+C319 a_6948_13440# a_6969_12646# 2.29fF
+C320 n4n vn 130.27fF
+C321 ctl8p ctl7p 2.89fF
+C322 n9n n3n 20.82fF
+C323 a_9025_22027# vdd 3.87fF
+C324 a_8482_15752# vdd 7.56fF
+C325 a_13839_10444# vdd 7.30fF
+C326 a_13746_15054# vdd 6.85fF
+C327 a_12769_12872# vdd 5.16fF
+C328 a_7791_15366# vdd 5.07fF
+C329 a_104073_8108# vss 8.77fF
+C330 a_103126_7692# vss 2.38fF
+C331 a_103126_7850# vss 2.33fF
+C332 ctl0n vss 5.12fF
+C333 ctl9n vss 6.02fF
+C334 ctl8n vss 6.82fF
+C335 ctl5n vss 10.71fF
+C336 ctl4n vss 11.90fF
+C337 ctl1n vss 13.54fF
+C338 a_15549_11014# vss 3.74fF
+C339 a_4173_10452# vss 2.80fF
+C340 a_7797_11558# vss 3.83fF
+C341 a_24604_12170# vss 6.76fF
+C342 a_24177_12170# vss 3.51fF
+C343 a_22733_12170# vss 11.18fF
+C344 a_13601_11564# vss 2.04fF
+C345 en vss 3.61fF
+C346 trim2 vss 4.55fF
+C347 a_15280_11166# vss 4.37fF
+C348 a_12589_12102# vss 2.01fF
+C349 a_12115_12102# vss 2.27fF
+C350 a_8706_11826# vss 2.01fF
+C351 a_5129_12102# vss 2.10fF
+C352 a_4571_12102# vss 2.16fF
+C353 a_8343_11014# vss 2.21fF
+C354 a_8433_12282# vss 4.41fF
+C355 a_5307_11014# vss 3.29fF
+C356 a_6701_12254# vss 3.20fF
+C357 a_4401_12254# vss 2.30fF
+C358 a_3897_12076# vss 2.83fF
+C359 trim0 vss 3.79fF
+C360 a_12769_12872# vss 3.68fF
+C361 a_11849_12254# vss 4.62fF
+C362 valid vss 3.23fF
+C363 a_11569_13190# vss 5.58fF
+C364 a_4889_12817# vss 5.19fF
+C365 a_6948_13440# vss 5.13fF
+C366 a_3713_12628# vss 3.73fF
+C367 a_11705_12076# vss 2.78fF
+C368 a_6969_12646# vss 2.44fF
+C369 a_6013_13164# vss 3.14fF
+C370 a_16085_13740# vss 2.24fF
+C371 a_13785_13740# vss 2.31fF
+C372 a_11019_13342# vss 2.24fF
+C373 a_10083_11532# vss 4.72fF
+C374 a_7669_12076# vss 4.33fF
+C375 a_4309_13740# vss 2.23fF
+C376 cal vss 3.46fF
+C377 a_11529_13734# vss 3.11fF
+C378 a_3651_13734# vss 2.94fF
+C379 a_20220_14335# vss 2.07fF
+C380 a_7477_12254# vss 4.32fF
+C381 a_9928_13734# vss 4.88fF
+C382 a_23521_16136# vss 15.67fF
+C383 vn vss 871.58fF
+C384 clkc vss 7.96fF
+C385 a_23521_16372# vss 15.67fF
+C386 trim1 vss 4.53fF
+C387 a_6043_13734# vss 2.78fF
+C388 a_9632_12646# vss 7.09fF
+C389 a_13545_15340# vss 3.06fF
+C390 a_8482_15752# vss 2.40fF
+C391 a_7883_12646# vss 3.49fF
+C392 a_3573_13190# vss 2.49fF
+C393 a_9513_15518# vss 6.23fF
+C394 a_6939_12620# vss 6.67fF
+C395 a_6319_12646# vss 4.41fF
+C396 a_19955_15979# vss 5.93fF
+C397 result8 vss 4.15fF
+C398 a_12326_16142# vss 13.05fF
+C399 a_10188_15910# vss 3.88fF
+C400 clk vss 4.28fF
+C401 a_7573_12254# vss 3.42fF
+C402 a_3693_11558# vss 6.67fF
+C403 a_6918_13342# vss 4.19fF
+C404 a_3568_11166# vss 5.61fF
+C405 a_13469_16606# vss 2.38fF
+C406 a_7878_13210# vss 3.05fF
+C407 a_10328_16606# vss 4.56fF
+C408 a_7134_13164# vss 4.84fF
+C409 a_19955_17079# vss 2.23fF
+C410 a_13005_16972# vss 2.27fF
+C411 a_6725_15499# vss 4.61fF
+C412 a_6599_15366# vss 4.18fF
+C413 a_7799_16606# vss 5.21fF
+C414 a_19955_17707# vss 6.73fF
+C415 a_8062_16454# vss 3.51fF
+C416 result3 vss 2.57fF
+C417 a_9807_12076# vss 6.13fF
+C418 a_12765_15910# vss 2.47fF
+C419 a_14834_16606# vss 3.24fF
+C420 a_14245_18406# vss 2.40fF
+C421 a_14708_16606# vss 2.39fF
+C422 a_14381_18060# vss 3.09fF
+C423 a_13565_16428# vss 5.56fF
+C424 a_10105_18092# vss 2.22fF
+C425 a_8830_17516# vss 2.50fF
+C426 a_5065_18328# vss 2.19fF
+C427 a_16710_18354# vss 4.20fF
+C428 a_6973_18312# vss 4.49fF
+C429 a_15441_18782# vss 2.09fF
+C430 a_14337_18782# vss 3.19fF
+C431 a_12031_16998# vss 2.10fF
+C432 a_7791_15366# vss 3.46fF
+C433 a_3707_17690# vss 2.90fF
+C434 a_4388_16606# vss 2.83fF
+C435 a_4488_16606# vss 8.01fF
+C436 result4 vss 3.06fF
+C437 a_15887_19174# vss 2.45fF
+C438 a_11455_15340# vss 4.98fF
+C439 comp vss 2.45fF
+C440 a_7821_15910# vss 5.57fF
+C441 a_3713_18068# vss 4.70fF
+C442 a_3665_16998# vss 3.27fF
+C443 a_24604_20196# vss 6.76fF
+C444 a_24177_20196# vss 3.51fF
+C445 a_22733_20196# vss 11.18fF
+C446 a_12897_13708# vss 3.70fF
+C447 a_15305_9900# vss 2.29fF
+C448 a_17098_13164# vss 2.74fF
+C449 a_14975_12254# vss 3.60fF
+C450 a_3713_18604# vss 5.30fF
+C451 a_12221_19174# vss 3.21fF
+C452 a_12609_19860# vss 2.11fF
+C453 a_7821_19174# vss 3.89fF
+C454 trimb1 vss 3.67fF
+C455 trimb4 vss 6.51fF
+C456 trimb0 vss 3.53fF
+C457 a_16914_12620# vss 3.26fF
+C458 trimb3 vss 5.70fF
+C459 a_9447_20806# vss 2.07fF
+C460 a_7694_19264# vss 4.08fF
+C461 a_6701_20806# vss 3.54fF
+C462 a_7273_20948# vss 2.07fF
+C463 a_5159_20951# vss 5.48fF
+C464 result6 vss 2.97fF
+C465 trimb2 vss 3.87fF
+C466 a_4401_21350# vss 4.04fF
+C467 result7 vss 3.20fF
+C468 a_13687_21582# vss 3.74fF
+C469 a_10153_20242# vss 12.57fF
+C470 a_6426_18604# vss 2.43fF
+C471 a_3899_9926# vss 84.80fF
+C472 a_3713_21332# vss 13.85fF
+C473 a_6426_16428# vss 17.75fF
+C474 a_13403_20806# vss 5.91fF
+C475 a_11237_21350# vss 5.20fF
+C476 a_12973_21894# vss 2.60fF
+C477 a_4897_20780# vss 5.03fF
+C478 a_9247_18060# vss 7.99fF
+C479 a_11360_18604# vss 4.49fF
+C480 a_14123_21868# vss 3.92fF
+C481 a_11297_22046# vss 3.11fF
+C482 a_10050_17694# vss 3.38fF
+C483 a_9025_22027# vss 4.43fF
+C484 a_6143_20806# vss 3.55fF
+C485 a_4954_17516# vss 2.71fF
+C486 a_5277_21868# vss 8.93fF
+C487 a_3843_17542# vss 2.67fF
+C488 ctl0p vss 5.02fF
+C489 ctl9p vss 5.98fF
+C490 vp vss 871.63fF
+C491 ctl8p vss 8.97fF
+C492 a_104073_24504# vss 8.77fF
+C493 ctl7p vss 8.12fF
+C494 ctl6p vss 10.17fF
+C495 a_103126_24720# vss 2.33fF
+C496 ctl5p vss 10.16fF
+C497 ctl4p vss 11.78fF
+C498 ctl3p vss 11.14fF
+C499 a_103126_24878# vss 2.38fF
+C500 ctl2p vss 11.85fF
+C501 ctl1p vss 12.74fF
+C502 w_102926_7434# vss 5.19fF
+C503 vdd vss 1293.08fF
+C504 w_102926_24462# vss 5.19fF
+C505 vdd vss 1019.24fF
+.ends
+
diff --git a/xschem/sub/sar_10b/sar/sar.sch b/xschem/sub/sar_10b/sar/sar.sch
new file mode 100644
index 0000000..b90986e
--- /dev/null
+++ b/xschem/sub/sar_10b/sar/sar.sch
@@ -0,0 +1,142 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 1570 0 1570 -680 0 -680 0 0 {}
+N 810 -340 920 -340 {lab=vn}
+N 970 -470 970 -440 {lab=avdd}
+N 1300 -350 1315 -350 {lab=#net1}
+N 1170 -300 1200 -300 {lab=dvss}
+N 1200 -320 1200 -300 {lab=dvss}
+N 1170 -440 1200 -440 {lab=dvdd}
+N 1200 -440 1200 -420 {lab=dvdd}
+N 340 -530 370 -530 {lab=vinp}
+N 310 -480 370 -480 {lab=ctlp[9:0]}
+N 340 -210 370 -210 {lab=vinn}
+N 310 -160 370 -160 {lab=ctln[9:0]}
+N 800 -340 810 -340 {lab=vn}
+N 520 -410 520 -380 {lab=avss}
+N 520 -90 520 -60 {lab=avss}
+N 520 -640 520 -610 {lab=avdd}
+N 1300 -390 1350 -390 {lab=comp}
+N 1050 -350 1110 -350 {lab=outn}
+N 1050 -390 1110 -390 {lab=outp}
+N 340 -140 370 -140 {lab=avss}
+N 340 -460 370 -460 {lab=avdd}
+N 1000 -480 1000 -420 {lab=trim[4:0]}
+N 1000 -480 1060 -480 {lab=trim[4:0]}
+N 1020 -450 1020 -410 {lab=trimb[4:0]}
+N 1020 -450 1090 -450 {lab=trimb[4:0]}
+N 1350 -390 1540 -390 {lab=comp}
+N 320 -240 370 -240 {lab=sample}
+N 310 -560 370 -560 {lab=sample}
+N 670 -210 800 -210 {lab=vn}
+N 800 -340 800 -210 {lab=vn}
+N 670 -530 800 -530 {lab=vp}
+N 800 -400 920 -400 {lab=vp}
+N 800 -530 800 -400 {lab=vp}
+N 520 -330 520 -290 {lab=avdd}
+N 1460 -640 1460 -610 {lab=avdd}
+N 1460 -550 1460 -520 {lab=avss}
+N 1320 -640 1320 -610 {lab=dvdd}
+N 1320 -550 1320 -520 {lab=dvss}
+N 1100 -170 1200 -170 { lab=clkc}
+N 1160 -60 1200 -60 { lab=valid}
+N 1110 -80 1200 -80 { lab=result[9:0]}
+N 1500 -220 1540 -220 { lab=comp}
+N 1540 -390 1540 -220 { lab=comp}
+N 1500 -80 1530 -80 {lab=dvdd}
+N 1500 -60 1530 -60 {lab=dvss}
+N 1000 -320 1000 -290 { lab=clkca}
+N 1060 -220 1060 -190 {lab=avdd}
+N 970 -300 970 -270 { lab=avss}
+N 1060 -150 1060 -120 { lab=avss}
+N 1000 -290 1000 -170 { lab=clkca}
+N 1000 -170 1020 -170 { lab=clkca}
+C {devices/lab_wire.sym} 920 -340 0 0 {name=l58 sig_type=std_logic lab=vn}
+C {devices/lab_wire.sym} 370 -240 0 0 {name=l80 sig_type=std_logic lab=sample}
+C {devices/lab_wire.sym} 370 -160 0 0 {name=l44 sig_type=std_logic lab=ctln[9:0]
+}
+C {devices/lab_wire.sym} 1110 -390 0 0 {name=l39 sig_type=std_logic lab=outp}
+C {devices/lab_wire.sym} 1110 -350 0 0 {name=l41 sig_type=std_logic lab=outn}
+C {devices/lab_wire.sym} 920 -400 0 0 {name=l62 sig_type=std_logic lab=vp}
+C {devices/lab_wire.sym} 970 -440 3 1 {name=l66 sig_type=std_logic lab=avdd}
+C {sar_10b/latch/latch.sym} 1200 -370 0 0 {name=xlat}
+C {devices/noconn.sym} 1315 -350 2 0 {name=l87}
+C {devices/lab_wire.sym} 1200 -300 0 0 {name=l5 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1200 -440 0 0 {name=l6 sig_type=std_logic lab=dvdd
+}
+C {devices/iopin.sym} 70 -510 0 0 {name=p6 lab=avss}
+C {devices/iopin.sym} 70 -540 0 0 {name=p8 lab=avdd}
+C {devices/iopin.sym} 70 -580 0 0 {name=p7 lab=dvss}
+C {devices/iopin.sym} 70 -610 0 0 {name=p9 lab=dvdd}
+C {devices/ipin.sym} 70 -470 0 1 {name=p5 lab=vinp}
+C {devices/ipin.sym} 70 -440 0 1 {name=p13 lab=vinn}
+C {devices/opin.sym} 70 -250 2 1 {name=p1 lab=result[9:0]
+}
+C {devices/ipin.sym} 70 -320 0 1 {name=p3 lab=clk}
+C {devices/ipin.sym} 70 -390 0 1 {name=p11 lab=en}
+C {devices/lab_wire.sym} 1300 -390 0 1 {name=l9 sig_type=std_logic lab=comp}
+C {devices/lab_wire.sym} 370 -480 0 0 {name=l11 sig_type=std_logic lab=ctlp[9:0]
+}
+C {devices/lab_wire.sym} 370 -530 0 0 {name=l19 sig_type=std_logic lab=vinp}
+C {devices/lab_wire.sym} 370 -210 0 0 {name=l29 sig_type=std_logic lab=vinn}
+C {devices/lab_wire.sym} 520 -290 1 0 {name=l24 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 520 -410 3 0 {name=l15 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 520 -90 3 0 {name=l25 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 520 -610 1 0 {name=l14 sig_type=std_logic lab=avdd}
+C {devices/opin.sym} 70 -220 2 1 {name=p14 lab=valid}
+C {devices/ipin.sym} 70 -290 0 1 {name=p15 lab=cal}
+C {devices/lab_wire.sym} 970 -300 3 0 {name=l2 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 370 -140 0 0 {name=l23 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 370 -460 0 0 {name=l31 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1020 -450 0 1 {name=l3 sig_type=std_logic lab=trimb[4:0]}
+C {devices/lab_wire.sym} 1000 -480 0 1 {name=l13 sig_type=std_logic lab=trim[4:0]}
+C {devices/lab_wire.sym} 370 -560 0 0 {name=l4 sig_type=std_logic lab=sample}
+C {devices/lab_wire.sym} 1200 -220 0 0 {name=l30 sig_type=std_logic lab=trimb[4:0]}
+C {devices/lab_wire.sym} 1200 -200 0 0 {name=l32 sig_type=std_logic lab=trim[4:0]}
+C {sar_10b/dac/dac.sym} 520 -200 0 0 {name=xdn}
+C {sar_10b/dac/dac.sym} 520 -520 0 0 {name=xdp}
+C {sar_10b/comparator/comparator.sym} 1000 -210 0 0 {name=xcom}
+C {devices/ipin.sym} 70 -360 0 1 {name=p2 lab=rstn}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_2.sym} 1460 -580 0 0 {name=C1[57:0] model=cap_mim_m3_2 W=12 L=12 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1460 -610 1 0 {name=l16 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1460 -550 1 1 {name=l17 sig_type=std_logic lab=avss}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_2.sym} 1320 -580 0 0 {name=C2[13:0] model=cap_mim_m3_2 W=12 L=12 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1320 -610 1 0 {name=l18 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 1320 -550 1 1 {name=l26 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1200 -170 0 0 {name=l33 sig_type=std_logic lab=clkc}
+C {devices/lab_wire.sym} 1200 -150 0 0 {name=l34 sig_type=std_logic lab=ctlp[9:0]
+}
+C {devices/lab_wire.sym} 1200 -130 0 0 {name=l46 sig_type=std_logic lab=ctln[9:0]
+}
+C {devices/lab_wire.sym} 1200 -110 0 0 {name=l47 sig_type=std_logic lab=sample}
+C {devices/lab_wire.sym} 1200 -80 0 0 {name=l48 sig_type=std_logic lab=result[9:0]
+}
+C {devices/lab_wire.sym} 1200 -60 0 0 {name=l49 sig_type=std_logic lab=valid}
+C {devices/lab_wire.sym} 1500 -180 0 1 {name=l51 sig_type=std_logic lab=cal}
+C {devices/lab_wire.sym} 1500 -160 0 1 {name=l52 sig_type=std_logic lab=en}
+C {devices/lab_wire.sym} 1500 -130 0 1 {name=l53 sig_type=std_logic lab=clk}
+C {devices/lab_wire.sym} 1500 -110 0 1 {name=l54 sig_type=std_logic lab=rstn}
+C {xschem/symbols/devices/noconn.sym} 1160 -60 0 0 {name=l1}
+C {xschem/symbols/devices/noconn.sym} 1110 -80 0 0 {name=l7[7:0]
+}
+C {devices/lab_wire.sym} 1500 -80 0 1 {name=l7 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 1500 -60 0 1 {name=l8 sig_type=std_logic lab=dvss
+}
+C {sar_10b/control/sarlogic.sym} 1200 -40 0 0 {name=xlogic
+}
+C {devices/lab_wire.sym} 1060 -190 3 1 {name=l10 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 1060 -150 3 0 {name=l12 sig_type=std_logic lab=avss}
+C {logic/buffer_lvt.sym} 950 -150 0 1 {name=xbuf
+}
+C {devices/lab_wire.sym} 1000 -220 1 0 {name=l20 sig_type=std_logic lab=clkca
+}
diff --git a/xschem/sub/sar_10b/sar/sar.sym b/xschem/sub/sar_10b/sar/sar.sym
new file mode 100644
index 0000000..4a3b352
--- /dev/null
+++ b/xschem/sub/sar_10b/sar/sar.sym
@@ -0,0 +1,130 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 30 -180 50 -180 {}
+L 4 -280 -130 -260 -130 {}
+L 4 -140 -110 -140 -90 {}
+L 4 -140 -270 -140 -250 {}
+L 4 -180 -270 -180 -250 {}
+L 4 -50 -110 -50 -90 {}
+L 4 -280 -230 -260 -230 {}
+L 4 -180 -110 -180 -90 {}
+L 4 -260 -250 30 -250 {}
+L 4 -260 -110 30 -110 {}
+L 4 -260 -250 -260 -110 {}
+L 4 30 -250 30 -110 {}
+L 4 -20 -110 -20 -90 {}
+L 4 30 -150 50 -150 {}
+L 4 -50 -270 -50 -250 {}
+L 4 -195 -155 -195 -152.6 {}
+L 4 -175 -155 -175 -152.6 {}
+L 4 -155 -155 -155 -152.6 {}
+L 4 -135 -155 -135 -152.6 {}
+L 4 -135 -207.4 -135 -205 {}
+L 4 -155 -207.4 -155 -205 {}
+L 4 -175 -207.4 -175 -205 {}
+L 4 -195 -207.4 -195 -205 {}
+L 4 0 -110 0 -90 {}
+B 5 -182.5 -272.5 -177.5 -267.5 {name=avdd dir=inout }
+B 5 -142.5 -272.5 -137.5 -267.5 {name=dvdd dir=inout }
+B 5 -142.5 -92.5 -137.5 -87.5 {name=dvss dir=inout }
+B 5 47.5 -182.5 52.5 -177.5 {name=result[9:0] dir=out }
+B 5 -282.5 -132.5 -277.5 -127.5 {name=vinn dir=in }
+B 5 -182.5 -92.5 -177.5 -87.5 {name=avss dir=inout }
+B 5 -52.5 -92.5 -47.5 -87.5 {name=clk dir=in }
+B 5 -282.5 -232.5 -277.5 -227.5 {name=vinp dir=in }
+B 5 -22.5 -92.5 -17.5 -87.5 {name=en dir=in }
+B 5 47.5 -152.5 52.5 -147.5 {name=valid dir=out }
+B 5 -52.5 -272.5 -47.5 -267.5 {name=cal dir=in }
+B 5 -2.5 -92.5 2.5 -87.5 {name=rstn
+ dir=in }
+A 4 -200.6428571428571 -169.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -205.6428571428571 -169.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -210.6428571428571 -169.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -200.6428571428571 -190.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -205.6428571428571 -190.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -210.6428571428571 -190.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -195.0428571428571 -150.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -175.0428571428571 -150.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -155.0428571428571 -150.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -135.0428571428571 -150.7857142857143 1.821078397711702 138.1798301198641 360 {}
+A 4 -134.9571428571429 -209.2142857142857 1.821078397711702 318.1798301198641 360 {}
+A 4 -154.9571428571429 -209.2142857142857 1.821078397711702 318.1798301198641 360 {}
+A 4 -174.9571428571429 -209.2142857142857 1.821078397711702 318.1798301198641 360 {}
+A 4 -194.9571428571429 -209.2142857142857 1.821078397711702 318.1798301198641 360 {}
+P 4 4 -120 -200 -120 -160 -85 -180 -120 -200 {}
+P 4 2 -200 -155 -190 -155 {}
+P 4 2 -200 -160 -190 -160 {}
+P 4 2 -180 -155 -170 -155 {}
+P 4 2 -180 -160 -170 -160 {}
+P 4 2 -160 -155 -150 -155 {}
+P 4 2 -160 -160 -150 -160 {}
+P 4 2 -140 -155 -130 -155 {}
+P 4 2 -140 -160 -130 -160 {}
+P 4 3 -195 -160 -195 -170 -120 -170 {}
+P 4 2 -175 -160 -175 -170 {}
+P 4 2 -155 -160 -155 -170 {}
+P 4 2 -135 -160 -135 -170 {}
+P 4 2 -200 -205 -190 -205 {}
+P 4 2 -200 -200 -190 -200 {}
+P 4 2 -180 -205 -170 -205 {}
+P 4 2 -180 -200 -170 -200 {}
+P 4 2 -160 -205 -150 -205 {}
+P 4 2 -160 -200 -150 -200 {}
+P 4 2 -140 -205 -130 -205 {}
+P 4 2 -140 -200 -130 -200 {}
+P 4 3 -195 -200 -195 -190 -120 -190 {}
+P 4 2 -175 -200 -175 -190 {}
+P 4 2 -155 -200 -155 -190 {}
+P 4 2 -135 -200 -135 -190 {}
+P 4 2 -115 -193 -115 -187 {}
+P 4 2 -118 -190 -112 -190 {}
+P 4 2 -118 -170 -112 -170 {}
+P 4 2 -85 -180 -68 -180 {}
+P 4 5 -68 -199 -68 -161 -34 -161 -34 -199 -68 -199 {}
+P 4 6 -61 -199 -61 -216 -126 -216 -124 -217 -124 -215 -126 -216 {}
+P 4 6 -61 -161 -61 -144 -126 -144 -124 -143 -124 -145 -126 -144 {}
+P 4 2 30 -180 10 -180 {}
+P 4 2 10 -180 -34 -180 {}
+P 4 2 -50 -161 -50 -110 {}
+P 4 4 30 -150 -0 -150 -0 -170 -30 -170 {}
+P 4 2 -30 -170 -34 -170 {}
+P 4 2 -50 -250 -50 -200 {}
+P 4 2 -195 -141 -195 -135 {}
+P 4 4 -195 -149 -200 -141 -190 -141 -195 -149 {}
+P 4 2 -175 -141 -175 -135 {}
+P 4 4 -175 -149 -180 -141 -170 -141 -175 -149 {}
+P 4 2 -155 -141 -155 -135 {}
+P 4 4 -155 -149 -160 -141 -150 -141 -155 -149 {}
+P 4 2 -135 -141 -135 -135 {}
+P 4 4 -135 -149 -140 -141 -130 -141 -135 -149 {}
+P 4 2 -135 -219 -135 -225 {}
+P 4 4 -135 -211 -130 -219 -140 -219 -135 -211 {}
+P 4 2 -155 -219 -155 -225 {}
+P 4 4 -155 -211 -150 -219 -160 -219 -155 -211 {}
+P 4 2 -175 -219 -175 -225 {}
+P 4 4 -175 -211 -170 -219 -180 -219 -175 -211 {}
+P 4 2 -195 -219 -195 -225 {}
+P 4 4 -195 -211 -190 -219 -200 -219 -195 -211 {}
+P 4 4 -20 -110 -20 -144 -39 -144 -39 -161 {}
+P 6 5 -69 -200 -33 -200 -33 -160 -69 -160 -69 -200 {}
+T {@symname} -260.5 -263 0 0 0.2 0.2 {}
+T {@name} 9 -263 0 0 0.2 0.2 {}
+T {avdd} -164 -250 0 1 0.2 0.2 {}
+T {dvdd} -124 -250 0 1 0.2 0.2 {}
+T {dvss} -151 -110 2 1 0.2 0.2 {}
+T {result[9:0]} 25 -194 0 1 0.2 0.2 {}
+T {vinn} -255 -144 0 0 0.2 0.2 {}
+T {avss} -191 -110 2 1 0.2 0.2 {}
+T {clk} -68 -122 0 0 0.2 0.2 {}
+T {vinp} -255 -228 0 0 0.2 0.2 {}
+T {en} -38 -122 0 0 0.2 0.2 {}
+T {valid} 2 -162 0 0 0.2 0.2 {}
+T {cal} -67 -250 0 0 0.2 0.2 {}
+T {rstn} 2 -122 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/sw/sw_top.sch b/xschem/sub/sar_10b/sw/sw_top.sch
new file mode 100644
index 0000000..aa31665
--- /dev/null
+++ b/xschem/sub/sar_10b/sw/sw_top.sch
@@ -0,0 +1,65 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 230 -360 260 -360 {lab=out}
+N 260 -360 260 -280 {lab=out}
+N 230 -210 260 -210 {lab=out}
+N 260 -280 260 -210 {lab=out}
+N 140 -210 170 -210 {lab=in}
+N 140 -360 140 -210 {lab=in}
+N 140 -360 170 -360 {lab=in}
+N 200 -360 200 -330 {lab=vdd}
+N 200 -330 200 -320 {lab=vdd}
+N 200 -240 200 -210 {lab=vss}
+N 80 -290 140 -290 {lab=in}
+N 350 -40 400 -40 {lab=en}
+N 260 -290 320 -290 {lab=out}
+N 480 -40 510 -40 {lab=enb}
+N 590 -40 640 -40 {lab=en_buf}
+N 200 -440 200 -400 {lab=enb}
+N 200 -170 200 -120 {lab=en_buf}
+C {devices/iopin.sym} 320 -290 0 0 {name=p1 lab=out}
+C {devices/ipin.sym} 350 -40 0 0 {name=p2 lab=en}
+C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 20 -50 0 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 80 -290 2 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 200 -350 3 0 {name=l21 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -240 3 0 {name=l22 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 200 -170 3 0 {name=l23 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 380 -40 0 0 {name=l24 sig_type=std_logic lab=en}
+C {sky130_primitives/pfet_01v8.sym} 200 -380 1 0 {name=M3
+L=0.3
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 200 -190 3 0 {name=M4
+L=0.3
+W=1
+nf=1 
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_stdcells/decap_8.sym} 160 -20 0 0 {name=x2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {sky130_stdcells/inv_4.sym} 440 -40 0 0 {name=x3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 510 -40 0 0 {name=l1 sig_type=std_logic lab=enb}
+C {sky130_stdcells/inv_4.sym} 550 -40 0 0 {name=x4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 640 -40 0 0 {name=l2 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 200 -410 1 0 {name=l3 sig_type=std_logic lab=enb}
+C {sky130_stdcells/decap_3.sym} 160 -50 0 0 {name=x5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
diff --git a/xschem/sub/sar_10b/sw/sw_top.sym b/xschem/sub/sar_10b/sw/sw_top.sym
new file mode 100644
index 0000000..5d1801e
--- /dev/null
+++ b/xschem/sub/sar_10b/sw/sw_top.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+A 4 60 -22 2.23606797749979 206.565051177078 360 {}
+P 4 2 10 -30 40 -30 {}
+P 4 8 40 -30 40 -20 50 -20 50 -10 70 -10 70 -20 80 -20 80 -30 {}
+P 4 8 80 -30 80 -40 70 -40 70 -50 50 -50 50 -40 40 -40 40 -30 {}
+P 4 2 80 -30 110 -30 {}
+P 4 2 52 -52 68 -52 {}
+P 4 2 52 -8 68 -8 {}
+P 4 2 60 -60 60 -52 {}
+P 4 6 60 -52 60 -33 55 -33 60 -25 65 -33 60 -33 {}
+P 4 2 60 -20 60 -8 {}
+T {@name} 73 -54 0 0 0.2 0.2 {}
diff --git a/xschem/sub/sar_10b/unitcap/unitcap.sch b/xschem/sub/sar_10b/unitcap/unitcap.sch
new file mode 100644
index 0000000..a6f3241
--- /dev/null
+++ b/xschem/sub/sar_10b/unitcap/unitcap.sch
@@ -0,0 +1,17 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 70 -170 70 -140 {lab=cp}
+N 70 -170 80 -170 {lab=cp}
+N 70 -80 70 -50 {lab=cn}
+N 70 -50 80 -50 {lab=cn}
+C {devices/iopin.sym} 80 -170 0 0 {name=p1 lab=cp}
+C {devices/iopin.sym} 80 -50 0 0 {name=p2 lab=cn}
+C {xschem/symbols/devices/capa.sym} 70 -110 0 0 {name=C1
+m=1
+value=2.6f
+ic=0
+}
diff --git a/xschem/sub/sar_10b/unitcap/unitcap.sym b/xschem/sub/sar_10b/unitcap/unitcap.sym
new file mode 100644
index 0000000..89c8d7e
--- /dev/null
+++ b/xschem/sub/sar_10b/unitcap/unitcap.sym
@@ -0,0 +1,17 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 20 -35 20 -10 {}
+L 4 20 -70 20 -45 {}
+L 4 10 -45 30 -45 {}
+B 5 17.5 -72.5 22.5 -67.5 {name=cp dir=inout }
+B 5 17.5 -12.5 22.5 -7.5 {name=cn dir=inout }
+A 4 20 -13.75 21.25 61.92751306414704 56.14497387170592 {}
+T {@symname} 30.5 -66 0 0 0.2 0.2 {}
+T {@name} 35 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/support/clkbuf.sch b/xschem/sub/support/clkbuf.sch
new file mode 100644
index 0000000..2417828
--- /dev/null
+++ b/xschem/sub/support/clkbuf.sch
@@ -0,0 +1,47 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 70 -50 90 -50 { lab=in}
+N 170 -50 220 -50 { lab=clko1}
+N 300 -50 350 -50 { lab=clko2}
+N 430 -50 480 -50 { lab=clko3}
+N 560 -50 610 -50 { lab=clko4}
+N 690 -50 710 -50 { lab=out}
+N 130 -230 130 -200 { lab=vdd}
+N 130 -140 130 -110 { lab=vss}
+C {devices/iopin.sym} 70 -120 0 1 {name=p3 lab=vss}
+C {devices/iopin.sym} 70 -150 0 1 {name=p4 lab=vdd}
+C {devices/iopin.sym} 70 -50 2 0 {name=p5 lab=in
+}
+C {devices/iopin.sym} 710 -50 0 0 {name=p6 lab=out}
+C {xschem/symbols/sky130_stdcells/clkbuf_1.sym} 130 -50 0 0 {name=xb1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/clkbuf_2.sym} 260 -50 0 0 {name=xb2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/clkbuf_4.sym} 390 -50 0 0 {name=xb3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/clkbuf_8.sym} 520 -50 0 0 {name=xb4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/clkbuf_16.sym} 650 -50 0 0 {name=xb5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {devices/lab_wire.sym} 220 -50 0 0 {name=l1 sig_type=std_logic lab=clko1
+}
+C {devices/lab_wire.sym} 350 -50 0 0 {name=l2 sig_type=std_logic lab=clko2
+}
+C {devices/lab_wire.sym} 480 -50 0 0 {name=l3 sig_type=std_logic lab=clko3
+}
+C {devices/lab_wire.sym} 610 -50 0 0 {name=l4 sig_type=std_logic lab=clko4
+}
+C {xschem/symbols/sky130_stdcells/decap_4.sym} 110 -290 0 0 {name=x1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_stdcells/tapvpwrvgnd_1.sym} 110 -340 0 0 {name=x2 VGND=vss VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_1.sym} 130 -170 0 0 {name=Cdecap[2:0] model=cap_mim_m3_1 W=7 L=15 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 130 -200 3 1 {name=l5 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 130 -140 3 0 {name=l6 sig_type=std_logic lab=vss
+}
diff --git a/xschem/sub/support/clkbuf.sym b/xschem/sub/support/clkbuf.sym
new file mode 100644
index 0000000..455d78b
--- /dev/null
+++ b/xschem/sub/support/clkbuf.sym
@@ -0,0 +1,20 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 10 -40 30 -40 {}
+L 4 90 -40 110 -40 {}
+B 5 47.5 -72.5 52.5 -67.5 {name=vdd dir=inout }
+B 5 47.5 -12.5 52.5 -7.5 {name=vss dir=inout }
+B 5 107.5 -42.5 112.5 -37.5 {name=out dir=inout }
+B 5 7.5 -42.5 12.5 -37.5 {name=in dir=inout }
+P 4 4 30 -60 30 -20 70 -40 30 -60 {}
+P 4 5 40 -55 40 -60 80 -40 40 -20 40 -25 {}
+P 4 5 50 -55 50 -60 90 -40 50 -20 50 -25 {}
+T {@symname} 64.5 -19 0 0 0.2 0.2 {}
+T {@name} 65 -73 0 0 0.2 0.2 {}
diff --git a/xschem/sub/support/cmirr.sch b/xschem/sub/support/cmirr.sch
new file mode 100644
index 0000000..42ce10d
--- /dev/null
+++ b/xschem/sub/support/cmirr.sch
@@ -0,0 +1,216 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 460 -0 1050 0 1050 -380 460 -380 460 0 {}
+N 210 -120 290 -120 { lab=in}
+N 170 -90 170 -50 { lab=vss}
+N 170 -50 330 -50 { lab=vss}
+N 330 -90 330 -50 { lab=vss}
+N 80 -50 170 -50 { lab=vss}
+N 330 -120 360 -120 { lab=vss}
+N 140 -120 170 -120 { lab=vss}
+N 330 -190 330 -150 { lab=out}
+N 330 -280 330 -190 { lab=out}
+N 140 -210 170 -210 { lab=vss}
+N 170 -280 170 -240 { lab=in}
+N 170 -180 170 -150 { lab=#net1}
+N 210 -210 250 -210 { lab=in}
+N 250 -210 250 -120 { lab=in}
+N 170 -260 250 -260 { lab=in}
+N 250 -260 250 -220 { lab=in}
+N 250 -220 250 -210 { lab=in}
+N 570 -100 620 -100 { lab=vss}
+N 620 -100 620 -50 { lab=vss}
+N 570 -50 620 -50 { lab=vss}
+N 570 -70 570 -50 { lab=vss}
+N 570 -160 570 -130 { lab=vss}
+N 570 -160 620 -160 { lab=vss}
+N 620 -160 620 -100 { lab=vss}
+N 530 -160 530 -100 { lab=vss}
+N 530 -160 570 -160 { lab=vss}
+N 530 -100 530 -50 { lab=vss}
+N 530 -50 570 -50 { lab=vss}
+N 740 -100 790 -100 { lab=vss}
+N 790 -100 790 -50 { lab=vss}
+N 740 -50 790 -50 { lab=vss}
+N 740 -70 740 -50 { lab=vss}
+N 740 -160 740 -130 { lab=vss}
+N 740 -160 790 -160 { lab=vss}
+N 790 -160 790 -100 { lab=vss}
+N 670 -100 700 -100 { lab=in}
+N 740 -260 790 -260 { lab=vss}
+N 740 -210 790 -210 { lab=out}
+N 740 -230 740 -210 { lab=out}
+N 740 -320 740 -290 { lab=out}
+N 740 -320 790 -320 { lab=out}
+N 670 -260 700 -260 { lab=vss}
+N 790 -320 810 -320 { lab=out}
+N 810 -320 810 -210 { lab=out}
+N 790 -210 810 -210 { lab=out}
+N 930 -260 980 -260 { lab=vss}
+N 930 -210 980 -210 { lab=in}
+N 930 -230 930 -210 { lab=in}
+N 930 -320 930 -290 { lab=in}
+N 930 -320 980 -320 { lab=in}
+N 860 -260 890 -260 { lab=vss}
+N 980 -320 1000 -320 { lab=in}
+N 1000 -320 1000 -210 { lab=in}
+N 980 -210 1000 -210 { lab=in}
+N 940 -100 990 -100 { lab=vss}
+N 940 -50 990 -50 { lab=in}
+N 940 -70 940 -50 { lab=in}
+N 940 -160 940 -130 { lab=in}
+N 940 -160 990 -160 { lab=in}
+N 990 -160 1010 -160 { lab=in}
+N 1010 -160 1010 -50 { lab=in}
+N 990 -50 1010 -50 { lab=in}
+N 870 -160 940 -160 { lab=in}
+N 870 -160 870 -100 { lab=in}
+N 870 -100 900 -100 { lab=in}
+C {devices/iopin.sym} 80 -50 0 1 {name=p3 lab=vss}
+C {devices/iopin.sym} 170 -280 1 1 {name=p5 lab=in
+}
+C {devices/iopin.sym} 330 -280 1 1 {name=p6 lab=out}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 190 -120 0 1 {name=M1B
+L=10
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 310 -120 0 0 {name=M2
+L=10
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 170 -120 0 0 {name=l1 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 330 -120 0 1 {name=l2 sig_type=std_logic lab=vss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 190 -210 0 1 {name=M1A
+L=10
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 170 -210 0 0 {name=l3 sig_type=std_logic lab=vss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 550 -100 0 0 {name=MDUM1[11:0]
+L=10
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 580 -100 0 1 {name=l4 sig_type=std_logic lab=vss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 720 -100 0 0 {name=MDUM3[23:0]
+L=10
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 750 -100 0 1 {name=l5 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 700 -100 0 0 {name=l6 sig_type=std_logic lab=in
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 720 -260 0 0 {name=MDUM2[3:0]
+L=10
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 750 -260 0 1 {name=l7 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 780 -320 0 0 {name=l8 sig_type=std_logic lab=out
+}
+C {devices/lab_wire.sym} 670 -260 0 1 {name=l9 sig_type=std_logic lab=vss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 910 -260 0 0 {name=MDUM4[3:0]
+L=10
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 940 -260 0 1 {name=l10 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 970 -320 0 0 {name=l11 sig_type=std_logic lab=in
+}
+C {devices/lab_wire.sym} 860 -260 0 1 {name=l12 sig_type=std_logic lab=vss
+}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 920 -100 0 0 {name=MDUM5[3:0]
+L=10
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 950 -100 0 1 {name=l13 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 980 -160 0 0 {name=l14 sig_type=std_logic lab=in
+}
diff --git a/xschem/sub/support/cmirr.sp b/xschem/sub/support/cmirr.sp
new file mode 100644
index 0000000..7a26b53
--- /dev/null
+++ b/xschem/sub/support/cmirr.sp
@@ -0,0 +1,67 @@
+* Top level circuit cmirr
+
+.subckt cmirr in out vss
+X0 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=1.334e+13p pd=1.1868e+08u as=0p ps=0u w=1e+06u l=1e+07u
+X1 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X2 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X3 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X4 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X5 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X6 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X7 out vss out vss sky130_fd_pr__nfet_01v8 ad=2.32e+12p pd=2.064e+07u as=0p ps=0u w=1e+06u l=1e+07u
+X8 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X9 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X10 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X11 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X12 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X13 vss in out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X14 a_6971_2255# in vss vss sky130_fd_pr__nfet_01v8 ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=1e+07u
+X15 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X16 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X17 vss in a_6971_2255# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X18 out in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X19 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X20 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X21 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X22 vss in a_6971_2255# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X23 out in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X24 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X25 out vss out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X26 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X27 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X28 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X29 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X30 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X31 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X32 out vss out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X33 in vss in vss sky130_fd_pr__nfet_01v8 ad=3.48e+12p pd=3.096e+07u as=0p ps=0u w=1e+06u l=1e+07u
+X34 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X35 in in a_6971_2255# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X36 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X37 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X38 in in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X39 in vss in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X40 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X41 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X42 in in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X43 a_6971_2255# in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X44 in vss in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X45 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X46 in in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X47 a_6971_2255# in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X48 out vss out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X49 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X50 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X51 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X52 in in a_6971_2255# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X53 in in in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X54 vss in out vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X55 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X56 vss in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X57 a_6971_2255# in vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X58 vss vss vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+X59 in vss in vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+07u
+C0 out in 10.32fF
+C1 out vss 15.72fF
+C2 in vss 119.23fF
+.ends
diff --git a/xschem/sub/support/cmirr.sym b/xschem/sub/support/cmirr.sym
new file mode 100644
index 0000000..2c24dca
--- /dev/null
+++ b/xschem/sub/support/cmirr.sym
@@ -0,0 +1,27 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 17.5 -92.5 22.5 -87.5 {name=in dir=inout }
+B 5 97.5 -92.5 102.5 -87.5 {name=out dir=inout }
+B 5 -2.5 -12.5 2.5 -7.5 {name=vss dir=inout }
+P 4 6 20 -60 20 -50 30 -50 30 -30 20 -30 20 -20 {}
+P 4 6 100 -60 100 -50 90 -50 90 -30 100 -30 100 -20 {}
+P 4 2 20 -20 20 -10 {}
+P 4 2 100 -20 100 -10 {}
+P 4 2 20 -90 20 -60 {}
+P 4 2 100 -90 100 -60 {}
+P 4 2 32 -50 32 -30 {}
+P 4 2 88 -50 88 -30 {}
+P 4 2 32 -40 88 -40 {}
+P 4 2 60 -40 60 -68 {}
+P 4 2 60 -68 20 -68 {}
+P 4 6 -0 -10 -0 -90 140 -90 140 0 0 -0 -0 -10 {}
+P 4 2 -0 -10 100 -10 {}
+T {@symname} 111.5 2 0 0 0.2 0.2 {}
+T {@name} 119 -104 0 0 0.2 0.2 {}
diff --git a/xschem/sub/support/inv_5b.sch b/xschem/sub/support/inv_5b.sch
new file mode 100644
index 0000000..26a4a21
--- /dev/null
+++ b/xschem/sub/support/inv_5b.sch
@@ -0,0 +1,35 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -700 -1120 -570 -1120 { lab=in[0]}
+N -490 -1120 -380 -1120 { lab=out[0]}
+N -700 -1040 -570 -1040 { lab=in[1]}
+N -490 -1040 -380 -1040 { lab=out[1]}
+N -700 -960 -570 -960 { lab=in[2]}
+N -490 -960 -380 -960 { lab=out[2]}
+N -700 -880 -570 -880 { lab=in[3]}
+N -490 -880 -380 -880 { lab=out[3]}
+N -700 -800 -570 -800 { lab=in[4]}
+N -490 -800 -380 -800 { lab=out[4]}
+C {devices/opin.sym} -490 -1210 0 0 {name=p3 lab=out[4:0]}
+C {devices/iopin.sym} -690 -1270 0 0 {name=p5 lab=vdd}
+C {devices/iopin.sym} -600 -1270 0 0 {name=p7 lab=vss}
+C {devices/ipin.sym} -610 -1210 0 0 {name=p2 lab=in[4:0]}
+C {devices/lab_wire.sym} -690 -1120 0 1 {name=l8 sig_type=std_logic lab=in[0]}
+C {devices/lab_wire.sym} -470 -1120 0 1 {name=l1 sig_type=std_logic lab=out[0]}
+C {devices/lab_wire.sym} -690 -1040 0 1 {name=l2 sig_type=std_logic lab=in[1]}
+C {devices/lab_wire.sym} -470 -1040 0 1 {name=l3 sig_type=std_logic lab=out[1]}
+C {devices/lab_wire.sym} -690 -960 0 1 {name=l5 sig_type=std_logic lab=in[2]}
+C {devices/lab_wire.sym} -470 -960 0 1 {name=l6 sig_type=std_logic lab=out[2]}
+C {devices/lab_wire.sym} -690 -880 0 1 {name=l9 sig_type=std_logic lab=in[3]}
+C {devices/lab_wire.sym} -470 -880 0 1 {name=l10 sig_type=std_logic lab=out[3]}
+C {devices/lab_wire.sym} -690 -800 0 1 {name=l12 sig_type=std_logic lab=in[4]}
+C {devices/lab_wire.sym} -470 -800 0 1 {name=l13 sig_type=std_logic lab=out[4]}
+C {sky130_stdcells/inv_1.sym} -530 -1120 0 0 {name=x0 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} -530 -1040 0 0 {name=x1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} -530 -960 0 0 {name=x2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} -530 -880 0 0 {name=x3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/inv_1.sym} -530 -800 0 0 {name=x4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
diff --git a/xschem/sub/support/inv_5b.sym b/xschem/sub/support/inv_5b.sym
new file mode 100644
index 0000000..119b269
--- /dev/null
+++ b/xschem/sub/support/inv_5b.sym
@@ -0,0 +1,36 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 80 -100 80 -80 {}
+L 4 80 -20 80 0 {}
+B 4 0 -51 58 -49 {}
+B 4 110 -51 150 -49 {}
+B 5 77.5 -102.5 82.5 -97.5 {name=vdd dir=inout }
+B 5 147.5 -52.5 152.5 -47.5 {name=out[4:0] dir=out }
+B 5 -2.5 -52.5 2.5 -47.5 {name=in[4:0] dir=in }
+B 5 77.5 -2.5 82.5 2.5 {name=vss dir=inout }
+A 4 106.5 -57 4.609772228646444 12.52880770915151 360 {}
+A 4 99.5 -50 4.609772228646444 12.52880770915151 360 {}
+A 4 93.5 -43 4.609772228646444 12.52880770915151 360 {}
+P 4 6 70 -80 0 -80 0 -20 150 -20 150 -80 70 -80 {}
+P 4 4 64 -58 64 -28 94 -43 64 -58 {}
+P 4 4 70 -55 70 -65 100 -50 90 -45 {}
+P 4 4 76 -62 76 -72 106 -57 96 -52 {}
+P 4 4 58 -49 60 -49 60 -41 64 -41 {}
+P 4 2 58 -50 64 -50 {}
+P 4 4 58 -51 60 -51 60 -59 70 -59 {}
+P 4 4 106 -57 108 -57 108 -51 110 -51 {}
+P 4 2 100 -50 110 -50 {}
+P 4 4 94 -43 108 -43 108 -49 110 -49 {}
+T {@symname} 1 -93 0 0 0.2 0.2 {}
+T {@name} 128 -93 0 0 0.2 0.2 {}
+T {vdd} 101 -80 0 1 0.2 0.2 {}
+T {out[4:0]} 149 -45 0 1 0.2 0.2 {}
+T {in[4:0]} 2 -47 0 0 0.2 0.2 {}
+T {vss} 90 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/support/spdt.sch b/xschem/sub/support/spdt.sch
new file mode 100644
index 0000000..5154460
--- /dev/null
+++ b/xschem/sub/support/spdt.sch
@@ -0,0 +1,48 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 280 -110 280 -80 {lab=vdd}
+N 280 -40 280 -10 {lab=vss}
+N 230 -60 240 -60 {lab=en}
+N 320 -60 350 -60 {lab=enb}
+N 240 -340 270 -340 {lab=vdd}
+N 240 -300 270 -300 {lab=vss}
+N 240 -200 270 -200 {lab=vdd}
+N 240 -160 270 -160 {lab=vss}
+N 340 -180 410 -180 { lab=out}
+N 410 -320 410 -180 { lab=out}
+N 340 -320 410 -320 { lab=out}
+N 410 -250 470 -250 { lab=out}
+N 290 -240 290 -210 {lab=enb}
+N 290 -380 290 -350 {lab=en}
+N 220 -320 240 -320 { lab=ina}
+N 220 -180 240 -180 { lab=inb}
+C {devices/ipin.sym} 230 -60 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 20 -50 0 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 220 -320 2 0 {name=p5 lab=ina
+}
+C {logic/inv_lvt.sym} 390 -40 0 0 {name=xinv
+}
+C {devices/lab_wire.sym} 280 -80 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 280 -40 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {switches/passgate.sym} 230 -290 0 0 {name=xsa
+}
+C {devices/lab_wire.sym} 270 -340 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 270 -300 2 1 {name=l2 sig_type=std_logic lab=vss}
+C {switches/passgate.sym} 230 -150 0 0 {name=xsb
+}
+C {devices/lab_wire.sym} 270 -200 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 270 -160 2 1 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 350 -60 0 0 {name=l7 sig_type=std_logic lab=enb
+}
+C {devices/iopin.sym} 470 -250 0 0 {name=p6 lab=out}
+C {devices/lab_wire.sym} 290 -210 1 0 {name=l8 sig_type=std_logic lab=enb
+}
+C {devices/lab_wire.sym} 290 -350 1 0 {name=l9 sig_type=std_logic lab=en
+}
+C {devices/iopin.sym} 220 -180 2 0 {name=p1 lab=inb
+}
diff --git a/xschem/sub/support/spdt.sym b/xschem/sub/support/spdt.sym
new file mode 100644
index 0000000..a5d10f2
--- /dev/null
+++ b/xschem/sub/support/spdt.sym
@@ -0,0 +1,31 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 157.5 -52.5 162.5 -47.5 {name=out dir=inout }
+B 5 37.5 -52.5 42.5 -47.5 {name=en dir=in }
+B 5 37.5 -112.5 42.5 -107.5 {name=vdd dir=inout }
+B 5 37.5 -32.5 42.5 -27.5 {name=inb dir=inout }
+B 5 37.5 -92.5 42.5 -87.5 {name=vss dir=inout }
+B 5 37.5 -72.5 42.5 -67.5 {name=ina dir=inout }
+A 4 78 -50 2.23606797749979 296.565051177078 360 {}
+P 4 6 48 -50 67 -50 67 -45 75 -50 67 -55 67 -50 {}
+P 4 3 110 -30 140 -30 140 -50 {}
+P 4 3 110 -80 100 -70 80 -70 {}
+P 4 3 110 -40 100 -30 80 -30 {}
+P 4 3 140 -50 140 -70 110 -70 {}
+P 4 2 140 -50 160 -50 {}
+P 4 3 80 -50 105 -50 105 -40 {dash=4
+}
+P 4 4 55 -50 55 -60 105 -60 105 -70 {dash=4}
+P 4 2 50 -50 40 -50 {}
+P 4 2 80 -30 40 -30 {}
+P 4 2 80 -70 40 -70 {}
+P 4 5 40 -120 40 -20 160 -20 160 -120 40 -120 {}
+T {@name} 143 -134 0 0 0.2 0.2 {}
+T {@symname} 40 -134 0 0 0.2 0.2 {}
diff --git a/xschem/sub/switches/bootstrapped_sw.sch b/xschem/sub/switches/bootstrapped_sw.sch
new file mode 100644
index 0000000..03a2f2b
--- /dev/null
+++ b/xschem/sub/switches/bootstrapped_sw.sch
@@ -0,0 +1,172 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 210 -190 210 -160 {lab=vdd}
+N 210 -120 210 -90 {lab=vss}
+N 500 -220 910 -220 {lab=in}
+N 970 -220 1030 -220 {lab=out}
+N 940 -310 940 -260 {lab=vg}
+N 150 -140 170 -140 {lab=en}
+N 250 -140 280 -140 {lab=enb}
+N 1110 -600 1160 -600 {lab=vs}
+N 940 -380 940 -310 {lab=vg}
+N 940 -600 1050 -600 {lab=vg}
+N 1080 -600 1080 -570 {lab=vss}
+N 1190 -600 1190 -570 {lab=vss}
+N 540 -280 540 -230 {lab=in}
+N 540 -230 540 -220 {lab=in}
+N 580 -310 940 -310 {lab=vg}
+N 460 -410 540 -410 {lab=vbsl}
+N 460 -600 540 -600 {lab=vbsh}
+N 540 -600 540 -530 {lab=vbsh}
+N 540 -600 630 -600 {lab=vbsh}
+N 690 -600 940 -600 {lab=vg}
+N 940 -600 940 -380 {lab=vg}
+N 1220 -600 1250 -600 {lab=vss}
+N 540 -470 540 -340 {lab=vbsl}
+N 430 -760 430 -640 {lab=vg}
+N 430 -760 930 -760 {lab=vg}
+N 930 -760 940 -760 {lab=vg}
+N 940 -760 940 -600 {lab=vg}
+N 370 -600 400 -600 {lab=vdd}
+N 430 -600 430 -570 {lab=vbsh}
+N 430 -570 490 -570 {lab=vbsh}
+N 600 -570 660 -570 {lab=vbsh}
+N 660 -600 660 -570 {lab=vbsh}
+N 430 -490 430 -450 {lab=enb}
+N 510 -310 540 -310 {lab=vss}
+N 430 -410 430 -380 {lab=vss}
+N 400 -380 430 -380 {lab=vss}
+N 1190 -670 1190 -640 {lab=enb}
+N 1080 -670 1080 -640 {lab=vdd}
+N 660 -670 660 -640 {lab=enb}
+N 490 -570 540 -570 {lab=vbsh}
+N 540 -570 600 -570 {lab=vbsh}
+N 350 -410 400 -410 {lab=vss}
+N 380 -380 400 -380 {lab=vss}
+N 380 -410 380 -380 {lab=vss}
+N 940 -220 940 -190 {lab=vss}
+C {devices/iopin.sym} 1030 -220 0 0 {name=p1 lab=out}
+C {devices/ipin.sym} 150 -140 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 150 -60 2 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 150 -220 2 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 500 -220 2 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 210 -160 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 210 -120 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 320 -120 0 0 {name=xinv1}
+C {sky130_primitives/nfet_01v8_lvt.sym} 940 -240 1 0 {name=Ms
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 250 -140 0 1 {name=l15 sig_type=std_logic lab=enb}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1080 -620 1 0 {name=Ms1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 1190 -620 1 0 {name=Ms2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1080 -640 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1190 -640 1 0 {name=l7 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 1220 -600 0 1 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1080 -600 1 1 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1190 -600 1 1 {name=l11 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 660 -620 3 1 {name=M4
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 560 -310 0 1 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/nfet_01v8_lvt.sym} 430 -430 1 0 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 380 -410 0 0 {name=l17 sig_type=std_logic lab=vss}
+C {sky130_primitives/pfet_01v8_lvt.sym} 430 -620 1 0 {name=M3
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/lab_wire.sym} 400 -600 0 0 {name=l19 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 660 -640 1 0 {name=l20 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 430 -450 1 0 {name=l1 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 540 -310 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 910 -310 0 0 {name=l3 sig_type=std_logic lab=vg}
+C {devices/lab_wire.sym} 540 -600 0 0 {name=l8 sig_type=std_logic lab=vbsh}
+C {devices/lab_wire.sym} 520 -410 0 0 {name=l12 sig_type=std_logic lab=vbsl}
+C {devices/lab_wire.sym} 1140 -600 0 0 {name=l13 sig_type=std_logic lab=vs}
+C {devices/lab_wire.sym} 940 -220 3 0 {name=l14 sig_type=std_logic lab=vss}
+C {sky130_primitives/cap_mim_m3_1.sym} 540 -500 0 0 {name=Cbs[3:0] model=cap_mim_m3_1 W=5 L=5 MF=1 spiceprefix=X ic=0
+}
diff --git a/xschem/sub/switches/bootstrapped_sw.sp b/xschem/sub/switches/bootstrapped_sw.sp
new file mode 100644
index 0000000..38f65b8
--- /dev/null
+++ b/xschem/sub/switches/bootstrapped_sw.sp
@@ -0,0 +1,25 @@
+* NGSPICE file created from TOP.ext - technology: sky130A
+
+
+* Top level circuit TOP
+
+.subckt bootstrapped_sw out en vdd in vss
+X0 vbsh vbsl sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X1 vdd vg vbsh vbsh sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X2 enb en vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=5.8e+11p ps=5.16e+06u w=1e+06u l=400000u
+X3 in vg vbsl vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X4 vss enb vs vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X5 enb en vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X6 vbsh vbsl sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X7 out vg in vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=500000u
+X8 vbsl enb vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=400000u
+X9 vbsh vbsl sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+X10 vs vdd vg vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X11 vbsh enb vg vbsh sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X12 vbsh vbsl sky130_fd_pr__cap_mim_m3_1 l=5e+06u w=5e+06u
+C0 vbsl vbsh 14.61fF
+C1 vbsl vss 7.29fF
+C2 vbsh vss 5.64fF
+.ends
+.end
+
diff --git a/xschem/sub/switches/bootstrapped_sw.sym b/xschem/sub/switches/bootstrapped_sw.sym
new file mode 100644
index 0000000..ffbf53a
--- /dev/null
+++ b/xschem/sub/switches/bootstrapped_sw.sym
@@ -0,0 +1,34 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+P 4 1 30 -30 {}
+P 4 2 67 -32 83 -32 {}
+P 4 6 55 -20 65 -20 65 -30 85 -30 85 -20 95 -20 {}
+P 4 4 10 -30 40 -30 40 -20 55 -20 {}
+P 4 4 95 -20 100 -20 100 -30 110 -30 {}
+P 4 1 30 -30 {}
+P 4 2 48 -41 58 -41 {}
+P 4 2 48 -37 58 -37 {}
+P 4 2 53 -34 50 -30 {}
+P 4 2 50 -30 40 -30 {}
+P 4 3 53 -30 53 -10 40 -10 {}
+P 4 2 53 -44 56 -48 {}
+P 4 3 53 -48 53 -50 40 -50 {}
+P 4 3 56 -48 75 -48 75 -32 {}
+P 4 2 53 -44 53 -41 {}
+P 4 2 53 -37 53 -34 {}
+P 4 2 54 -32 60 -32 {dash=4v}
+P 4 2 60 -32 60 -59 { dash=4}
+P 4 2 60 -46 56 -46 {dash=4}
+T {@name} 83 -64 0 0 0.2 0.2 {}
diff --git a/xschem/sub/switches/bootstrapped_sw_hv.sch b/xschem/sub/switches/bootstrapped_sw_hv.sch
new file mode 100644
index 0000000..aa1e996
--- /dev/null
+++ b/xschem/sub/switches/bootstrapped_sw_hv.sch
@@ -0,0 +1,175 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 210 -190 210 -160 {lab=vdd}
+N 210 -120 210 -90 {lab=vss}
+N 500 -220 910 -220 {lab=in}
+N 970 -220 1030 -220 {lab=out}
+N 940 -310 940 -260 {lab=vg}
+N 150 -140 170 -140 {lab=en}
+N 250 -140 280 -140 {lab=enb}
+N 1110 -600 1160 -600 {lab=vs}
+N 940 -380 940 -310 {lab=vg}
+N 940 -600 1050 -600 {lab=vg}
+N 1080 -600 1080 -570 {lab=vss}
+N 1190 -600 1190 -570 {lab=vss}
+N 540 -280 540 -230 {lab=in}
+N 540 -230 540 -220 {lab=in}
+N 580 -310 940 -310 {lab=vg}
+N 460 -410 540 -410 {lab=vbsl}
+N 460 -600 540 -600 {lab=vbsh}
+N 540 -600 540 -530 {lab=vbsh}
+N 540 -600 630 -600 {lab=vbsh}
+N 690 -600 940 -600 {lab=vg}
+N 940 -600 940 -380 {lab=vg}
+N 1220 -600 1250 -600 {lab=vss}
+N 540 -470 540 -340 {lab=vbsl}
+N 430 -760 430 -640 {lab=vg}
+N 430 -760 930 -760 {lab=vg}
+N 930 -760 940 -760 {lab=vg}
+N 940 -760 940 -600 {lab=vg}
+N 370 -600 400 -600 {lab=vdd}
+N 430 -600 430 -570 {lab=vbsh}
+N 430 -570 490 -570 {lab=vbsh}
+N 600 -570 660 -570 {lab=vbsh}
+N 660 -600 660 -570 {lab=vbsh}
+N 430 -490 430 -450 {lab=enb}
+N 510 -310 540 -310 {lab=vss}
+N 430 -410 430 -380 {lab=vss}
+N 400 -380 430 -380 {lab=vss}
+N 1190 -670 1190 -640 {lab=enb}
+N 1080 -670 1080 -640 {lab=vdd}
+N 660 -670 660 -640 {lab=enb}
+N 490 -570 540 -570 {lab=vbsh}
+N 540 -570 600 -570 {lab=vbsh}
+N 350 -410 400 -410 {lab=vss}
+N 380 -380 400 -380 {lab=vss}
+N 380 -410 380 -380 {lab=vss}
+N 940 -220 940 -190 {lab=vss}
+C {devices/iopin.sym} 1030 -220 0 0 {name=p1 lab=out}
+C {devices/ipin.sym} 150 -140 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 150 -60 2 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 150 -220 2 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 500 -220 2 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 210 -160 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 210 -120 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 320 -120 0 0 {name=xinv1}
+C {devices/lab_wire.sym} 250 -140 0 1 {name=l15 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 1080 -640 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1190 -640 1 0 {name=l7 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 1220 -600 0 1 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1080 -600 1 1 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1190 -600 1 1 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 380 -410 0 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 400 -600 0 0 {name=l19 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 660 -640 1 0 {name=l20 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 430 -450 1 0 {name=l1 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 540 -310 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 910 -310 0 0 {name=l3 sig_type=std_logic lab=vg}
+C {devices/lab_wire.sym} 540 -600 0 0 {name=l8 sig_type=std_logic lab=vbsh}
+C {devices/lab_wire.sym} 520 -410 0 0 {name=l12 sig_type=std_logic lab=vbsl}
+C {devices/lab_wire.sym} 1140 -600 0 0 {name=l13 sig_type=std_logic lab=vs}
+C {devices/lab_wire.sym} 940 -220 3 0 {name=l14 sig_type=std_logic lab=vss}
+C {sky130_primitives/cap_mim_m3_1.sym} 540 -500 0 0 {name=Cbs[4:0] model=cap_mim_m3_1 W=5 L=5 MF=1 spiceprefix=X ic=0
+}
+C {xschem/symbols/sky130_primitives/pfet_g5v0d10v5.sym} 430 -620 1 0 {name=M3
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/pfet_g5v0d10v5.sym} 660 -620 3 1 {name=M4
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym} 940 -240 1 0 {name=Ms
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym} 560 -310 0 1 {name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym} 430 -430 1 0 {name=M2
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym} 1190 -620 1 0 {name=Ms2
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym} 1080 -620 1 0 {name=Ms1
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
diff --git a/xschem/sub/switches/bootstrapped_sw_hv.sym b/xschem/sub/switches/bootstrapped_sw_hv.sym
new file mode 100644
index 0000000..cbaaf12
--- /dev/null
+++ b/xschem/sub/switches/bootstrapped_sw_hv.sym
@@ -0,0 +1,34 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+P 4 1 30 -30 {}
+P 4 6 55 -20 65 -20 65 -30 85 -30 85 -20 95 -20 {}
+P 4 4 10 -30 40 -30 40 -20 55 -20 {}
+P 4 4 95 -20 100 -20 100 -30 110 -30 {}
+P 4 1 30 -30 {}
+P 4 2 48 -41 58 -41 {}
+P 4 2 48 -37 58 -37 {}
+P 4 2 53 -34 50 -30 {}
+P 4 2 50 -30 40 -30 {}
+P 4 3 53 -30 53 -10 40 -10 {}
+P 4 2 53 -44 56 -48 {}
+P 4 3 53 -48 53 -50 40 -50 {}
+P 4 2 53 -44 53 -41 {}
+P 4 2 53 -37 53 -34 {}
+P 4 2 54 -32 60 -32 {dash=4v}
+P 4 2 60 -32 60 -59 { dash=4}
+P 4 2 60 -46 56 -46 {dash=4}
+P 4 5 67 -32 67 -36 83 -36 83 -32 67 -32 {}
+P 4 3 56 -48 75 -48 75 -36 {}
+T {@name} 83 -64 0 0 0.2 0.2 {}
diff --git a/xschem/sub/switches/passgate.sch b/xschem/sub/switches/passgate.sch
new file mode 100644
index 0000000..cbf1d2e
--- /dev/null
+++ b/xschem/sub/switches/passgate.sch
@@ -0,0 +1,71 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 140 -280 140 -210 {lab=out}
+N 140 -280 320 -280 {lab=out}
+N 320 -280 320 -210 {lab=out}
+N 140 -150 140 -90 {lab=in}
+N 140 -90 320 -90 {lab=in}
+N 320 -150 320 -90 {lab=in}
+N 230 -330 230 -280 {lab=out}
+N 230 -90 230 -40 {lab=in}
+N 80 -180 100 -180 {lab=en_buf}
+N 140 -180 170 -180 {lab=vss}
+N 290 -180 320 -180 {lab=vdd}
+N 510 -110 510 -80 {lab=vdd}
+N 510 -40 510 -10 {lab=vss}
+N 620 -110 620 -80 {lab=vdd}
+N 620 -40 620 -10 {lab=vss}
+N 660 -60 690 -60 {lab=en_buf}
+N 460 -60 470 -60 {lab=en}
+N 550 -60 580 -60 {lab=enb}
+N 60 -180 80 -180 {lab=en_buf}
+N 360 -180 400 -180 {lab=enb}
+C {devices/iopin.sym} 230 -330 3 0 {name=p1 lab=out}
+C {devices/ipin.sym} 460 -60 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 20 -50 0 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 230 -40 1 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 140 -180 0 1 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 320 -180 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 620 -40 0 0 {name=x2}
+C {devices/lab_wire.sym} 510 -80 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 510 -40 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 730 -40 0 0 {name=x1}
+C {devices/lab_wire.sym} 620 -80 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 620 -40 1 1 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 670 -60 1 0 {name=l1 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 560 -60 1 0 {name=l8 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 100 -180 0 0 {name=l9 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 400 -180 0 0 {name=l10 sig_type=std_logic lab=enb}
+C {xschem/symbols/sky130_primitives/nfet_01v8.sym} 120 -180 0 0 {name=MSN
+L=0.5
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {xschem/symbols/sky130_primitives/pfet_01v8.sym} 340 -180 0 1 {name=MSP
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
diff --git a/xschem/sub/switches/passgate.sym b/xschem/sub/switches/passgate.sym
new file mode 100644
index 0000000..5d1801e
--- /dev/null
+++ b/xschem/sub/switches/passgate.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+A 4 60 -22 2.23606797749979 206.565051177078 360 {}
+P 4 2 10 -30 40 -30 {}
+P 4 8 40 -30 40 -20 50 -20 50 -10 70 -10 70 -20 80 -20 80 -30 {}
+P 4 8 80 -30 80 -40 70 -40 70 -50 50 -50 50 -40 40 -40 40 -30 {}
+P 4 2 80 -30 110 -30 {}
+P 4 2 52 -52 68 -52 {}
+P 4 2 52 -8 68 -8 {}
+P 4 2 60 -60 60 -52 {}
+P 4 6 60 -52 60 -33 55 -33 60 -25 65 -33 60 -33 {}
+P 4 2 60 -20 60 -8 {}
+T {@name} 73 -54 0 0 0.2 0.2 {}
diff --git a/xschem/sub/switches/passgate_min.sch b/xschem/sub/switches/passgate_min.sch
new file mode 100644
index 0000000..39aad27
--- /dev/null
+++ b/xschem/sub/switches/passgate_min.sch
@@ -0,0 +1,71 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 140 -280 140 -210 {lab=out}
+N 140 -280 320 -280 {lab=out}
+N 320 -280 320 -210 {lab=out}
+N 140 -150 140 -90 {lab=in}
+N 140 -90 320 -90 {lab=in}
+N 320 -150 320 -90 {lab=in}
+N 230 -330 230 -280 {lab=out}
+N 230 -90 230 -40 {lab=in}
+N 80 -180 100 -180 {lab=en_buf}
+N 140 -180 170 -180 {lab=vss}
+N 290 -180 320 -180 {lab=vdd}
+N 510 -110 510 -80 {lab=vdd}
+N 510 -40 510 -10 {lab=vss}
+N 620 -110 620 -80 {lab=vdd}
+N 620 -40 620 -10 {lab=vss}
+N 660 -60 690 -60 {lab=en_buf}
+N 460 -60 470 -60 {lab=en}
+N 550 -60 580 -60 {lab=enb}
+N 60 -180 80 -180 {lab=en_buf}
+N 360 -180 400 -180 {lab=enb}
+C {sky130_primitives/nfet_01v8_lvt.sym} 120 -180 0 0 {name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_primitives/pfet_01v8_lvt.sym} 340 -180 0 1 {name=M2
+L=0.35
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {devices/iopin.sym} 230 -330 3 0 {name=p1 lab=out}
+C {devices/ipin.sym} 460 -60 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 20 -50 0 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 230 -40 1 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 140 -180 0 1 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 320 -180 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 620 -40 0 0 {name=x2}
+C {devices/lab_wire.sym} 510 -80 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 510 -40 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 730 -40 0 0 {name=x1}
+C {devices/lab_wire.sym} 620 -80 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 620 -40 1 1 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 670 -60 1 0 {name=l1 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 560 -60 1 0 {name=l8 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 100 -180 0 0 {name=l9 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 400 -180 0 0 {name=l10 sig_type=std_logic lab=enb}
diff --git a/xschem/sub/switches/passgate_min.sym b/xschem/sub/switches/passgate_min.sym
new file mode 100644
index 0000000..5d1801e
--- /dev/null
+++ b/xschem/sub/switches/passgate_min.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+A 4 60 -22 2.23606797749979 206.565051177078 360 {}
+P 4 2 10 -30 40 -30 {}
+P 4 8 40 -30 40 -20 50 -20 50 -10 70 -10 70 -20 80 -20 80 -30 {}
+P 4 8 80 -30 80 -40 70 -40 70 -50 50 -50 50 -40 40 -40 40 -30 {}
+P 4 2 80 -30 110 -30 {}
+P 4 2 52 -52 68 -52 {}
+P 4 2 52 -8 68 -8 {}
+P 4 2 60 -60 60 -52 {}
+P 4 6 60 -52 60 -33 55 -33 60 -25 65 -33 60 -33 {}
+P 4 2 60 -20 60 -8 {}
+T {@name} 73 -54 0 0 0.2 0.2 {}
diff --git a/xschem/sub/switches/passgate_nom.sch b/xschem/sub/switches/passgate_nom.sch
new file mode 100644
index 0000000..00473f7
--- /dev/null
+++ b/xschem/sub/switches/passgate_nom.sch
@@ -0,0 +1,69 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 140 -280 140 -210 {lab=out}
+N 140 -280 320 -280 {lab=out}
+N 320 -280 320 -210 {lab=out}
+N 140 -150 140 -90 {lab=in}
+N 140 -90 320 -90 {lab=in}
+N 320 -150 320 -90 {lab=in}
+N 230 -330 230 -280 {lab=out}
+N 230 -90 230 -40 {lab=in}
+N 80 -180 100 -180 {lab=en_buf}
+N 140 -180 170 -180 {lab=vss}
+N 290 -180 320 -180 {lab=vdd}
+N 510 -110 510 -80 {lab=vdd}
+N 510 -40 510 -10 {lab=vss}
+N 620 -110 620 -80 {lab=vdd}
+N 620 -40 620 -10 {lab=vss}
+N 660 -60 690 -60 {lab=en_buf}
+N 460 -60 470 -60 {lab=en}
+N 550 -60 580 -60 {lab=enb}
+N 60 -180 80 -180 {lab=en_buf}
+N 360 -180 400 -180 {lab=enb}
+C {sky130_primitives/nfet_01v8_lvt.sym} 120 -180 0 0 {name=M1
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 340 -180 0 1 {name=M2
+L=0.4
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/iopin.sym} 230 -330 3 0 {name=p1 lab=out}
+C {devices/ipin.sym} 460 -60 2 1 {name=p2 lab=en}
+C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 20 -50 0 0 {name=p4 lab=vdd}
+C {devices/iopin.sym} 230 -40 1 0 {name=p5 lab=in}
+C {devices/lab_wire.sym} 140 -180 0 1 {name=l2 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 320 -180 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {logic/inv_lvt.sym} 620 -40 0 0 {name=x2}
+C {devices/lab_wire.sym} 510 -80 1 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 510 -40 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {logic/inv_lvt.sym} 730 -40 0 0 {name=x1}
+C {devices/lab_wire.sym} 620 -80 1 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 620 -40 1 1 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 670 -60 1 0 {name=l1 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 560 -60 1 0 {name=l8 sig_type=std_logic lab=enb}
+C {devices/lab_wire.sym} 100 -180 0 0 {name=l9 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 400 -180 0 0 {name=l10 sig_type=std_logic lab=enb}
diff --git a/xschem/sub/switches/passgate_nom.sym b/xschem/sub/switches/passgate_nom.sym
new file mode 100644
index 0000000..5d1801e
--- /dev/null
+++ b/xschem/sub/switches/passgate_nom.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -32.5 112.5 -27.5 {name=out dir=inout }
+B 5 57.5 -62.5 62.5 -57.5 {name=en dir=in }
+B 5 37.5 -52.5 42.5 -47.5 {name=vdd dir=inout }
+B 5 7.5 -32.5 12.5 -27.5 {name=in dir=inout }
+B 5 37.5 -12.5 42.5 -7.5 {name=vss dir=inout }
+A 4 60 -22 2.23606797749979 206.565051177078 360 {}
+P 4 2 10 -30 40 -30 {}
+P 4 8 40 -30 40 -20 50 -20 50 -10 70 -10 70 -20 80 -20 80 -30 {}
+P 4 8 80 -30 80 -40 70 -40 70 -50 50 -50 50 -40 40 -40 40 -30 {}
+P 4 2 80 -30 110 -30 {}
+P 4 2 52 -52 68 -52 {}
+P 4 2 52 -8 68 -8 {}
+P 4 2 60 -60 60 -52 {}
+P 4 6 60 -52 60 -33 55 -33 60 -25 65 -33 60 -33 {}
+P 4 2 60 -20 60 -8 {}
+T {@name} 73 -54 0 0 0.2 0.2 {}
diff --git a/xschem/sub/tb/bandgap/dc_bandgap_simple.sch b/xschem/sub/tb/bandgap/dc_bandgap_simple.sch
new file mode 100644
index 0000000..46f0eb0
--- /dev/null
+++ b/xschem/sub/tb/bandgap/dc_bandgap_simple.sch
@@ -0,0 +1,152 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -0 -0 -500 760 -500 760 -0 0 0 {}
+N 70 -320 70 -280 { lab=vss}
+N 70 -420 70 -380 { lab=vdd}
+N 480 -350 510 -350 { lab=vdd}
+N 480 -260 510 -260 { lab=vss}
+N 700 -330 700 -310 { lab=vbg}
+N 670 -230 700 -230 { lab=vss}
+N 700 -250 700 -230 { lab=vss}
+N 660 -330 700 -330 { lab=vbg}
+N 350 -40 350 -10 { lab=vss}
+N 390 -70 400 -70 { lab=#net1}
+N 400 -70 430 -70 { lab=#net1}
+N 320 -70 350 -70 { lab=vss}
+N 470 -70 500 -70 { lab=vss}
+N 470 -140 470 -100 { lab=bias}
+N 470 -40 470 -10 { lab=vss}
+N 350 -120 350 -100 { lab=#net1}
+N 350 -110 410 -110 { lab=#net1}
+N 410 -110 410 -70 { lab=#net1}
+N 350 -180 380 -180 { lab=vdd}
+N 470 -300 510 -300 { lab=bias}
+N 470 -300 470 -140 { lab=bias}
+N 370 -320 510 -320 { lab=7*(vss),vdd,8*(vss)}
+C {bandgap/bandgap.sym} 530 -250 0 0 {name=xbg}
+C {devices/vsource.sym} 70 -350 0 0 {name=vdd value=1.8
+}
+C {devices/vsource.sym} 70 -250 0 0 {name=vss value=0
+}
+C {devices/gnd.sym} 70 -220 0 0 {name=l1 lab=GND}
+C {devices/lab_wire.sym} 660 -330 0 1 {name=l5 sig_type=std_logic lab=vbg}
+C {devices/lab_wire.sym} 70 -420 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 70 -310 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/code.sym} 150 -130 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval(@value )"
+value="*.options wnflag=1 
+*.options xmu=0.49
+*.options method=trap
+*.options reltol=0.00001
+*.options vntol=1n
+*.options gmin=1e-15
+*.options savecurrents
+
+.param MC_SWITCH=0
+
+*\\\\$::DESIGN_PATH\\\\/bandgap/decoder4to16.spice
+.include \\\\$::DESIGN_PATH\\\\/bandgap/bandgap.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/bandgap/bandgap.ext.spice
+
+.control
+save all
+dc temp -40 125 0.1
+print vecmin(vbg)
+print vecmax(vbg)
+let delta = vecmax(vbg) - vecmin(vbg)
+print delta
+.endc
+.end
+" }
+C {devices/code.sym} 10 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 510 -350 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 510 -260 0 0 {name=l9 sig_type=std_logic lab=vss}
+C {xschem/symbols/devices/capa.sym} 700 -280 0 0 {name=C1
+m=1
+value=100f
+ic=0
+}
+C {devices/lab_wire.sym} 700 -230 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/isource.sym} 350 -150 0 0 {name=i2 value=250n
+}
+C {devices/lab_wire.sym} 350 -40 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8.sym} 450 -70 0 0 {name=M3
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 370 -70 0 1 {name=M4
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 350 -70 0 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -70 0 1 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 510 -300 0 0 {name=l3 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 470 -40 3 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 350 -180 0 1 {name=l27 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 510 -320 0 0 {name=l2 sig_type=std_logic lab="7*(vss),vdd,8*(vss)"
+}
diff --git a/xschem/sub/tb/bandgap/tr_bandgap_startup.sch b/xschem/sub/tb/bandgap/tr_bandgap_startup.sch
new file mode 100644
index 0000000..77c92ef
--- /dev/null
+++ b/xschem/sub/tb/bandgap/tr_bandgap_startup.sch
@@ -0,0 +1,156 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -0 -0 -500 760 -500 760 -0 0 0 {}
+N 70 -320 70 -280 { lab=vss}
+N 200 -430 240 -430 { lab=vddi}
+N 480 -350 510 -350 { lab=vddi}
+N 480 -260 510 -260 { lab=vss}
+N 700 -330 700 -310 { lab=vbg}
+N 670 -230 700 -230 { lab=vss}
+N 700 -250 700 -230 { lab=vss}
+N 660 -330 700 -330 { lab=vbg}
+N 350 -40 350 -10 { lab=vss}
+N 390 -70 400 -70 { lab=#net1}
+N 400 -70 430 -70 { lab=#net1}
+N 320 -70 350 -70 { lab=vss}
+N 470 -70 500 -70 { lab=vss}
+N 470 -140 470 -100 { lab=bias}
+N 470 -40 470 -10 { lab=vss}
+N 350 -120 350 -100 { lab=#net1}
+N 350 -110 410 -110 { lab=#net1}
+N 410 -110 410 -70 { lab=#net1}
+N 350 -180 380 -180 { lab=vdd}
+N 470 -300 510 -300 { lab=bias}
+N 470 -300 470 -140 { lab=bias}
+N 70 -430 70 -380 { lab=vdd}
+N 70 -430 110 -430 { lab=vdd}
+N 200 -340 200 -220 { lab=GND}
+N 200 -430 200 -400 { lab=vddi}
+N 170 -430 200 -430 { lab=vddi}
+N 370 -320 510 -320 { lab=7*(vss),vdd,8*(vss)}
+C {bandgap/bandgap.sym} 530 -250 0 0 {name=xbg}
+C {devices/vsource.sym} 70 -350 0 0 {name=vdd value=1.8
+}
+C {devices/vsource.sym} 70 -250 0 0 {name=vss value=0
+}
+C {devices/gnd.sym} 70 -220 0 0 {name=l1 lab=GND}
+C {devices/lab_wire.sym} 660 -330 0 1 {name=l5 sig_type=std_logic lab=vbg}
+C {devices/lab_wire.sym} 240 -430 0 0 {name=l6 sig_type=std_logic lab=vddi
+}
+C {devices/lab_wire.sym} 70 -310 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/code.sym} 150 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value="* tr bandgap startup
+
+.param MC_SWITCH=0
+
+.control
+save all
+tran 1u 10m uic
+.endc
+.end
+" }
+C {devices/code.sym} 10 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 510 -350 0 0 {name=l4 sig_type=std_logic lab=vddi
+}
+C {devices/lab_wire.sym} 510 -260 0 0 {name=l9 sig_type=std_logic lab=vss}
+C {xschem/symbols/devices/capa.sym} 700 -280 0 0 {name=C1
+m=1
+value=100f
+ic=0
+}
+C {devices/lab_wire.sym} 700 -230 0 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 510 -320 0 0 {name=l2 sig_type=std_logic lab="7*(vss),vdd,8*(vss)"
+}
+C {devices/isource.sym} 350 -150 0 0 {name=i2 value=400n
+}
+C {devices/lab_wire.sym} 350 -40 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8.sym} 450 -70 0 0 {name=M3
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 370 -70 0 1 {name=M4
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 350 -70 0 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -70 0 1 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -100 3 1 {name=l3 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 470 -40 3 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 350 -180 0 1 {name=l27 sig_type=std_logic lab=vdd}
+C {xschem/symbols/devices/res.sym} 140 -430 1 0 {name=R1
+value=1k
+footprint=1206
+device=resistor
+m=1}
+C {xschem/symbols/devices/capa.sym} 200 -370 0 0 {name=C2
+m=1
+value=1u
+ic=0
+}
+C {devices/gnd.sym} 200 -220 0 0 {name=l10 lab=GND}
+C {devices/lab_wire.sym} 100 -430 0 0 {name=l11 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/tb/bias/ac_bias_amp.sch b/xschem/sub/tb/bias/ac_bias_amp.sch
new file mode 100644
index 0000000..83ebefb
--- /dev/null
+++ b/xschem/sub/tb/bias/ac_bias_amp.sch
@@ -0,0 +1,185 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 -0 -750 920 -750 920 0 0 -0 {}
+N 160 -370 160 -350 { lab=vss}
+N 160 -370 190 -370 { lab=vss}
+N 160 -290 160 -260 { lab=GND}
+N 60 -370 60 -350 { lab=vdd}
+N 60 -370 90 -370 { lab=vdd}
+N 60 -290 60 -260 { lab=GND}
+N 530 -330 530 -300 { lab=vss}
+N 530 -440 530 -410 { lab=vdd}
+N 330 -320 330 -280 { lab=in}
+N 450 -340 460 -340 { lab=in}
+N 330 -330 330 -320 { lab=in}
+N 430 -80 430 -50 { lab=vss}
+N 330 -220 330 -190 { lab=GND}
+N 750 -80 750 -50 { lab=vss}
+N 750 -230 750 -220 { lab=out}
+N 750 -340 750 -230 { lab=out}
+N 750 -430 750 -400 { lab=vdd}
+N 580 -370 710 -370 { lab=gate}
+N 750 -160 750 -140 { lab=#net1}
+N 430 -240 430 -140 { lab=fb}
+N 610 -240 750 -240 { lab=out}
+N 430 -240 550 -240 { lab=fb}
+N 430 -400 430 -230 { lab=fb}
+N 430 -400 460 -400 { lab=fb}
+N 330 -340 450 -340 { lab=in}
+N 330 -340 330 -330 { lab=in}
+N 510 -610 550 -610 { lab=vdd}
+N 510 -680 510 -640 { lab=vdd}
+N 410 -610 470 -610 { lab=vb}
+N 330 -610 370 -610 { lab=vdd}
+N 370 -680 370 -640 { lab=vdd}
+N 440 -610 440 -560 { lab=vb}
+N 370 -560 440 -560 { lab=vb}
+N 370 -580 370 -560 { lab=vb}
+N 370 -560 370 -540 { lab=vb}
+N 370 -480 370 -450 { lab=vss}
+N 370 -680 510 -680 { lab=vdd}
+N 510 -580 510 -570 { lab=#net2}
+N 510 -510 510 -420 { lab=bias}
+N 750 -370 780 -370 { lab=vdd}
+N 650 -370 650 -340 { lab=gate}
+N 650 -280 650 -240 { lab=out}
+C {devices/vsource.sym} 160 -320 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 160 -260 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 60 -320 0 0 {name=V3 value=1.8}
+C {devices/gnd.sym} 60 -260 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 90 -370 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 190 -370 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 530 -330 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 530 -440 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 330 -250 0 0 {name=V6 value="dc 1.25 ac 1"}
+C {devices/lab_wire.sym} 460 -340 0 0 {name=l12 sig_type=std_logic lab=in}
+C {devices/code.sym} 160 -150 0 0 {name=NGSPICE
+only_toplevel=true
+value=".control
+.param MC_SWITCH=0
+
+
+* TRAN
+* save all
+* tran 1n 100u
+
+*AC 
+save all
+op
+print all
+ac dec 10 100 100e6
+let phase=180/3.14*cph(out)
+plot vdb(out) 
+plot phase
+let phase=180 + 180/3.14*cph(out)
+meas ac ugbw when vdb(out)=0
+meas ac pm find phase when vdb(out)=0
+.endc
+" }
+C {devices/capa.sym} 430 -110 0 0 {name=c0 m=1 value=1}
+C {devices/lab_wire.sym} 430 -80 3 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 510 -460 3 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 330 -190 0 0 {name=l8 lab=GND}
+C {devices/res.sym} 750 -110 0 0 {name=r0 m=1 value=1.2e6 footprint=res10 device=resistor}
+C {devices/lab_wire.sym} 750 -80 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 750 -190 0 0 {name=vm2}
+C {devices/lab_wire.sym} 750 -430 0 1 {name=l20 sig_type=std_logic lab=vdd}
+C {bias/bias_amp.sym} 610 -370 0 0 {name=xamp}
+C {devices/lab_wire.sym} 480 -240 0 0 {name=l7 sig_type=std_logic lab=fb}
+C {devices/lab_wire.sym} 620 -370 0 0 {name=l11 sig_type=std_logic lab=gate}
+C {devices/res.sym} 580 -240 1 0 {name=r1 m=1 value=1e6 footprint=res10 device=resistor}
+C {devices/lab_wire.sym} 700 -240 0 0 {name=l18 sig_type=std_logic lab=out}
+C {devices/lab_wire.sym} 550 -610 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 490 -610 0 0 {name=M4
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/isource.sym} 370 -510 0 0 {name=i0 value=400n}
+C {devices/lab_wire.sym} 330 -610 0 1 {name=l22 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 390 -610 0 1 {name=M2
+L=1
+W=2
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 370 -480 3 0 {name=l23 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 430 -680 0 1 {name=l24 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 430 -610 0 1 {name=l25 sig_type=std_logic lab=vb}
+C {devices/ammeter.sym} 510 -540 0 0 {name=vib}
+C {devices/lab_wire.sym} 750 -370 0 1 {name=l10 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 730 -370 0 0 {name=M3
+L=2
+W=0.5
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/code.sym} 30 -150 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {sky130_primitives/cap_mim_m3_1.sym} 650 -310 0 0 {name=C1 model=cap_mim_m3_1 W=5 L=5 MF=8 spiceprefix=X}
diff --git a/xschem/sub/tb/bias/dc_bias.sch b/xschem/sub/tb/bias/dc_bias.sch
new file mode 100644
index 0000000..a5e45e2
--- /dev/null
+++ b/xschem/sub/tb/bias/dc_bias.sch
@@ -0,0 +1,170 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 -0 -490 930 -490 930 -0 -0 0 {}
+P 4 4 930 0 1430 0 1430 -490 930 -490 {}
+N 90 -330 90 -290 { lab=vss}
+N 90 -430 90 -390 { lab=vdd}
+N 1000 -160 1000 -120 { lab=vss}
+N 620 -270 650 -270 { lab=vbiamp}
+N 750 -340 750 -310 { lab=vdd}
+N 750 -170 750 -140 { lab=vss}
+N 560 -270 620 -270 { lab=vbiamp}
+N 560 -280 560 -270 { lab=vbiamp}
+N 560 -390 560 -340 { lab=#net1}
+N 520 -390 560 -390 { lab=#net1}
+N 330 -400 360 -400 { lab=vdd}
+N 330 -340 360 -340 { lab=vss}
+N 860 -270 920 -270 { lab=vb[6:0]}
+N 860 -220 920 -220 { lab=ibp[3:0]}
+N 860 -200 920 -200 { lab=ibn[1:0]}
+N 1060 -160 1060 -120 { lab=vss}
+N 1120 -160 1120 -120 { lab=vss}
+N 1180 -160 1180 -120 { lab=vss}
+N 610 -170 620 -170 { lab=vbg}
+N 620 -240 620 -170 { lab=vbg}
+N 620 -240 650 -240 { lab=vbg}
+N 430 -190 460 -190 { lab=vdd}
+N 430 -100 460 -100 { lab=vss}
+N 520 -360 530 -360 { lab=#net2}
+N 530 -360 530 -250 { lab=#net2}
+N 420 -250 530 -250 { lab=#net2}
+N 1300 -160 1300 -115 { lab=ibn[1]}
+N 1360 -165 1360 -115 { lab=ibn[0]}
+N 1180 -265 1180 -220 { lab=ibp[0]}
+N 1120 -265 1120 -220 { lab=ibp[1]}
+N 1060 -265 1060 -220 { lab=ibp[2]}
+N 1000 -265 1000 -220 { lab=ibp[3]}
+N 1300 -250 1300 -220 { lab=vdd}
+N 1360 -250 1360 -220 { lab=vdd}
+N 320 -160 460 -160 { lab=7*(vss),vdd,8*(vss)}
+N 310 -250 420 -250 {}
+N 310 -250 310 -140 {}
+N 310 -140 460 -140 {}
+C {devices/vsource.sym} 90 -360 0 0 {name=v0 value=1.8}
+C {devices/vsource.sym} 90 -260 0 0 {name=v1 value=0}
+C {devices/gnd.sym} 90 -230 0 0 {name=l1 lab=GND}
+C {devices/lab_wire.sym} 90 -430 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 90 -320 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/code.sym} 30 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value=".control
+.param MC_SWITCH=0
+
+save all
+op
+
+*echo -----------------
+*show m.xbb.xm1.msky130_fd_pr__pfet_01v8_lvt
+
+echo -----------------
+print vb_0_
+print vb_1_
+print vb_2_
+print vb_3_
+print vb_4_
+print vb_5_
+print vb_6_
+
+print vibp0#branch
+print vibp1#branch
+print vibp2#branch
+print vibp3#branch
+
+print vibn0#branch
+print vibn1#branch
+
+print v.xbb.vbase#branch
+print v.xbb.vm1#branch
+print v.xbb.vm1#branch
+echo -----------------
+
+*dc temp -10 75 0.1
+*plot vibp0#branch
+*plot vibp1#branch
+*plot vbg 
+* tran 1n 10u
+.endc
+" }
+C {bias/bias.sym} 770 -100 0 0 {name=xbb}
+C {devices/lab_wire.sym} 1000 -120 1 0 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 750 -310 3 1 {name=l11 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 750 -170 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 650 -270 0 0 {name=l8 sig_type=std_logic lab=vbiamp}
+C {devices/ammeter.sym} 560 -310 0 0 {name=vib1}
+C {devices/code.sym} 180 -130 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value=".include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 360 -400 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {bias/bias_basis_current.sym} 380 -330 0 0 {name=xbc}
+C {devices/lab_wire.sym} 360 -340 0 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 860 -270 0 1 {name=l10 sig_type=std_logic lab=vb[6:0]}
+C {devices/lab_wire.sym} 860 -220 0 1 {name=l13 sig_type=std_logic lab=ibp[3:0]}
+C {devices/lab_wire.sym} 860 -200 0 1 {name=l15 sig_type=std_logic lab=ibn[1:0]}
+C {devices/lab_wire.sym} 1060 -120 1 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1120 -120 1 0 {name=l20 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 1000 -190 0 0 {name=vibp3}
+C {devices/lab_wire.sym} 1180 -120 1 0 {name=l22 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 1120 -190 0 0 {name=vibp1}
+C {devices/ammeter.sym} 1060 -190 0 0 {name=vibp2}
+C {devices/ammeter.sym} 1180 -190 0 0 {name=vibp0}
+C {devices/lab_wire.sym} 1000 -220 1 0 {name=l24 sig_type=std_logic lab=ibp[3]}
+C {devices/lab_wire.sym} 1060 -220 1 0 {name=l25 sig_type=std_logic lab=ibp[2]}
+C {devices/lab_wire.sym} 1120 -220 1 0 {name=l26 sig_type=std_logic lab=ibp[1]}
+C {devices/lab_wire.sym} 1180 -220 1 0 {name=l27 sig_type=std_logic lab=ibp[0]}
+C {bandgap/bandgap.sym} 480 -90 0 0 {name=xbg}
+C {devices/lab_wire.sym} 460 -190 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 460 -100 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 1300 -190 0 0 {name=vibn1}
+C {devices/ammeter.sym} 1360 -190 0 0 {name=vibn0}
+C {devices/lab_wire.sym} 1300 -160 1 1 {name=l16 sig_type=std_logic lab=ibn[1]}
+C {devices/lab_wire.sym} 1360 -160 1 1 {name=l19 sig_type=std_logic lab=ibn[0]}
+C {devices/lab_wire.sym} 650 -240 0 0 {name=l21 sig_type=std_logic lab=vbg}
+C {devices/lab_wire.sym} 1300 -220 1 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1360 -220 1 0 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 460 -160 0 0 {name=l23 sig_type=std_logic lab="7*(vss),vdd,8*(vss)"
+}
diff --git a/xschem/sub/tb/bias/dc_bias_basis_current.sch b/xschem/sub/tb/bias/dc_bias_basis_current.sch
new file mode 100644
index 0000000..9ea0865
--- /dev/null
+++ b/xschem/sub/tb/bias/dc_bias_basis_current.sch
@@ -0,0 +1,118 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 0 -410 750 -410 750 0 -0 -0 {}
+N 50 -350 50 -330 { lab=vss}
+N 50 -350 80 -350 { lab=vss}
+N 50 -270 50 -240 { lab=GND}
+N 170 -350 170 -330 { lab=vdd}
+N 170 -350 200 -350 { lab=vdd}
+N 170 -270 170 -240 { lab=GND}
+N 310 -310 340 -310 { lab=vdd}
+N 310 -250 340 -250 { lab=vss}
+N 640 -100 670 -100 { lab=vss}
+N 670 -100 680 -100 { lab=vss}
+N 580 -190 580 -180 { lab=#net1}
+N 540 -100 570 -100 { lab=vdd}
+N 500 -270 580 -270 { lab=#net2}
+N 580 -120 580 -100 { lab=vdd}
+N 570 -100 580 -100 { lab=vdd}
+N 680 -120 680 -100 { lab=vss}
+N 680 -190 680 -180 { lab=#net3}
+N 500 -300 680 -300 { lab=#net4}
+N 580 -270 580 -250 { lab=#net2}
+N 680 -300 680 -250 { lab=#net4}
+C {bias/bias_basis_current.sym} 360 -240 0 0 {name=xbc}
+C {devices/code.sym} 160 -140 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+.options savecurrents
+
+*.temp 27
+
+.control
+save all
+op
+
+let id_m4 = @m.xbc.xm4.msky130_fd_pr__pfet_01v8_lvt[id]
+let id_m5 = @m.xbc.xm5.msky130_fd_pr__pfet_01v8_lvt[id]
+let id_m7 = @m.xbc.xm7.msky130_fd_pr__nfet_01v8_lvt[id]
+let ibp = vm1#branch
+let ibn = vm2#branch
+
+print id_m4
+print id_m5
+print id_m7
+
+print ibp
+print ibn
+.endc
+" }
+C {devices/code.sym} 20 -140 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value="
+* FET CORNERS
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/vsource.sym} 50 -300 0 0 {name=Vss value=0
+}
+C {devices/gnd.sym} 50 -240 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 170 -300 0 0 {name=Vdd value=1.8
+}
+C {devices/gnd.sym} 170 -240 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 200 -350 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 80 -350 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 340 -310 0 0 {name=l5 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 340 -250 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 680 -220 0 0 {name=vm1}
+C {devices/res.sym} 680 -150 0 0 {name=r2
+value=100k
+m=1 
+}
+C {devices/lab_wire.sym} 670 -100 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 580 -220 2 0 {name=vm2}
+C {devices/res.sym} 580 -150 0 0 {name=r1 
+value=100k
+m=1 
+}
+C {devices/lab_wire.sym} 570 -100 0 0 {name=l8 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/tb/bias/dc_bias_standalone.sch b/xschem/sub/tb/bias/dc_bias_standalone.sch
new file mode 100644
index 0000000..b5a6ec5
--- /dev/null
+++ b/xschem/sub/tb/bias/dc_bias_standalone.sch
@@ -0,0 +1,154 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 -0 -490 930 -490 930 -0 -0 0 {}
+P 4 4 930 0 1430 0 1430 -490 930 -490 {}
+N 90 -330 90 -290 { lab=vss}
+N 90 -430 90 -390 { lab=vdd}
+N 1000 -160 1000 -120 { lab=vss}
+N 530 -270 560 -270 { lab=vbiamp}
+N 660 -340 660 -310 { lab=vdd}
+N 660 -170 660 -140 { lab=vss}
+N 470 -270 530 -270 { lab=vbiamp}
+N 440 -380 470 -380 { lab=vdd}
+N 770 -270 830 -270 { lab=vb[6:0]}
+N 770 -220 830 -220 { lab=ibp[3:0]}
+N 770 -200 830 -200 { lab=ibn[1:0]}
+N 1060 -160 1060 -120 { lab=vss}
+N 1120 -160 1120 -120 { lab=vss}
+N 1180 -160 1180 -120 { lab=vss}
+N 530 -240 560 -240 { lab=vbg}
+N 1300 -160 1300 -115 { lab=ibn[1]}
+N 1360 -165 1360 -115 { lab=ibn[0]}
+N 1180 -265 1180 -220 { lab=ibp[0]}
+N 1120 -265 1120 -220 { lab=ibp[1]}
+N 1060 -265 1060 -220 { lab=ibp[2]}
+N 1000 -265 1000 -220 { lab=ibp[3]}
+N 1300 -250 1300 -220 { lab=vdd}
+N 1360 -250 1360 -220 { lab=vdd}
+N 470 -100 470 -90 { lab=GND}
+N 470 -240 470 -160 { lab=vbg}
+N 470 -240 530 -240 { lab=vbg}
+N 470 -300 470 -270 { lab=vbiamp}
+N 470 -380 470 -360 { lab=vdd}
+C {devices/vsource.sym} 90 -360 0 0 {name=v0 value=1.8}
+C {devices/vsource.sym} 90 -260 0 0 {name=v1 value=0}
+C {devices/gnd.sym} 90 -230 0 0 {name=l1 lab=GND}
+C {devices/lab_wire.sym} 90 -430 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 90 -320 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/code.sym} 30 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value=".control
+.param MC_SWITCH=0
+
+save all
+op
+
+echo -----------------
+print vb_0_
+print vb_1_
+print vb_2_
+print vb_3_
+print vb_4_
+print vb_5_
+print vb_6_
+
+print vibp0#branch
+print vibp1#branch
+print vibp2#branch
+print vibp3#branch
+
+print vibn0#branch
+print vibn1#branch
+
+print v.xbb.vbase#branch
+print v.xbb.vm1#branch
+print v.xbb.vm1#branch
+echo -----------------
+
+*dc temp -10 75 0.1
+*plot vibp0#branch
+*plot vibp1#branch
+*plot vbg 
+* tran 1n 10u
+.endc
+" }
+C {bias/bias.sym} 680 -100 0 0 {name=xbb}
+C {devices/lab_wire.sym} 1000 -120 1 0 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 660 -310 3 1 {name=l11 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 660 -170 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 560 -270 0 0 {name=l8 sig_type=std_logic lab=vbiamp}
+C {devices/code.sym} 180 -130 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value=".include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 470 -380 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 770 -270 0 1 {name=l10 sig_type=std_logic lab=vb[6:0]}
+C {devices/lab_wire.sym} 770 -220 0 1 {name=l13 sig_type=std_logic lab=ibp[3:0]}
+C {devices/lab_wire.sym} 770 -200 0 1 {name=l15 sig_type=std_logic lab=ibn[1:0]}
+C {devices/lab_wire.sym} 1060 -120 1 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1120 -120 1 0 {name=l20 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 1000 -190 0 0 {name=vibp3}
+C {devices/lab_wire.sym} 1180 -120 1 0 {name=l22 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 1120 -190 0 0 {name=vibp1}
+C {devices/ammeter.sym} 1060 -190 0 0 {name=vibp2}
+C {devices/ammeter.sym} 1180 -190 0 0 {name=vibp0}
+C {devices/lab_wire.sym} 1000 -220 1 0 {name=l24 sig_type=std_logic lab=ibp[3]}
+C {devices/lab_wire.sym} 1060 -220 1 0 {name=l25 sig_type=std_logic lab=ibp[2]}
+C {devices/lab_wire.sym} 1120 -220 1 0 {name=l26 sig_type=std_logic lab=ibp[1]}
+C {devices/lab_wire.sym} 1180 -220 1 0 {name=l27 sig_type=std_logic lab=ibp[0]}
+C {devices/ammeter.sym} 1300 -190 0 0 {name=vibn1}
+C {devices/ammeter.sym} 1360 -190 0 0 {name=vibn0}
+C {devices/lab_wire.sym} 1300 -160 1 1 {name=l16 sig_type=std_logic lab=ibn[1]}
+C {devices/lab_wire.sym} 1360 -160 1 1 {name=l19 sig_type=std_logic lab=ibn[0]}
+C {devices/lab_wire.sym} 560 -240 0 0 {name=l21 sig_type=std_logic lab=vbg}
+C {devices/lab_wire.sym} 1300 -220 1 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1360 -220 1 0 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 470 -130 0 0 {name=v2 value=1.25
+}
+C {devices/gnd.sym} 470 -90 0 0 {name=l4 lab=GND}
+C {devices/isource.sym} 470 -330 0 0 {name=i0 value=500n
+}
diff --git a/xschem/sub/tb/bias/tr_basis_current_startup.sch b/xschem/sub/tb/bias/tr_basis_current_startup.sch
new file mode 100644
index 0000000..43e49e1
--- /dev/null
+++ b/xschem/sub/tb/bias/tr_basis_current_startup.sch
@@ -0,0 +1,119 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 0 -570 850 -570 850 0 0 -0 {}
+N 440 -300 470 -300 { lab=vddi}
+N 440 -240 470 -240 { lab=vss}
+N 630 -290 720 -290 { lab=#net1}
+N 720 -290 720 -270 { lab=#net1}
+N 720 -210 720 -200 { lab=#net2}
+N 680 -110 710 -110 { lab=vss}
+N 720 -140 720 -110 { lab=vss}
+N 710 -110 720 -110 { lab=vss}
+N 660 -480 660 -460 { lab=vddi}
+N 660 -400 660 -390 { lab=#net3}
+N 660 -330 660 -260 { lab=#net4}
+N 630 -260 660 -260 { lab=#net4}
+N 630 -480 660 -480 { lab=vddi}
+N 90 -330 90 -290 { lab=vss}
+N 220 -440 260 -440 { lab=vddi}
+N 90 -440 90 -390 { lab=vdd}
+N 90 -440 130 -440 { lab=vdd}
+N 220 -350 220 -230 { lab=GND}
+N 220 -440 220 -410 { lab=vddi}
+N 190 -440 220 -440 { lab=vddi}
+C {bias/bias_basis_current.sym} 490 -230 0 0 {name=xbc}
+C {devices/code.sym} 200 -140 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+.temp 27
+
+.control
+save all
+tran 1u 10m uic
+let vm1 = vm1#branch 
+let vm2 = vm2#branch 
+plt vm1
+plt vm2
+.endc
+" }
+C {devices/code.sym} 40 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 470 -300 0 0 {name=l5 sig_type=std_logic lab=vddi
+}
+C {devices/lab_wire.sym} 470 -240 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 720 -240 0 0 {name=vm1}
+C {devices/res.sym} 720 -170 0 0 {name=rl2 
+value=100k
+m=1 
+}
+C {devices/lab_wire.sym} 710 -110 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/ammeter.sym} 660 -430 0 0 {name=vm2}
+C {devices/res.sym} 660 -360 0 0 {name=rl1 
+value=100k
+m=1 
+}
+C {devices/lab_wire.sym} 660 -480 0 0 {name=l8 sig_type=std_logic lab=vddi
+}
+C {devices/vsource.sym} 90 -360 0 0 {name=vdd value=1.8
+}
+C {devices/vsource.sym} 90 -260 0 0 {name=vss value=0
+}
+C {devices/gnd.sym} 90 -230 0 0 {name=l9 lab=GND}
+C {devices/lab_wire.sym} 260 -440 0 0 {name=l10 sig_type=std_logic lab=vddi
+}
+C {devices/lab_wire.sym} 90 -320 3 0 {name=l11 sig_type=std_logic lab=vss}
+C {xschem/symbols/devices/res.sym} 160 -440 1 0 {name=R1
+value=1k
+m=1
+}
+C {xschem/symbols/devices/capa.sym} 220 -380 0 0 {name=C1
+m=1
+value=1u
+ic=0
+}
+C {devices/gnd.sym} 220 -230 0 0 {name=l12 lab=GND}
+C {devices/lab_wire.sym} 120 -440 0 0 {name=l13 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/tb/clkdiv/tran_clkdiv.sch b/xschem/sub/tb/clkdiv/tran_clkdiv.sch
new file mode 100644
index 0000000..e075ada
--- /dev/null
+++ b/xschem/sub/tb/clkdiv/tran_clkdiv.sch
@@ -0,0 +1,94 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -460 0 0 730 0 730 -460 0 -460 {}
+N 60 -300 60 -270 { lab=vss}
+N 340 -310 380 -310 { lab=rst_b}
+N 60 -400 60 -360 { lab=vdd}
+N 510 -280 510 -250 { lab=vss}
+N 510 -440 510 -400 { lab=vdd}
+N 600 -340 650 -340 { lab=clkdiv}
+N 650 -340 650 -330 { lab=clkdiv}
+N 650 -270 650 -240 { lab=vss}
+N 210 -260 210 -230 { lab=vss}
+N 210 -340 210 -320 { lab=#net1}
+N 210 -340 380 -340 { lab=#net1}
+C {devices/vsource.sym} 60 -240 0 0 {name=v0 value=0}
+C {devices/vsource.sym} 60 -330 0 0 {name=v1 value=1}
+C {devices/lab_wire.sym} 60 -400 3 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 60 -300 3 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/gnd.sym} 60 -210 0 0 {name=l7 lab=GND}
+C {devices/code.sym} 370 -140 0 0 {name=NGSPICE only_toplevel=false 
+format="tcleval(@value )"
+value=".options method trap
+.option vntol 1e-6
+
+vre  rst_b 0  pulse(0 1 100n 1n 1n 100u 200u)
+
+.control
+.param MC_SWITCH=0
+
+tran 1e-9 100e-6
+run
+.endc
+"}
+C {devices/code.sym} 20 -140 0 0 {name=STDCELL_MODELS 
+only_toplevel=true
+place=end
+format="tcleval(@value )"
+value="[sky130_models]
+"}
+C {devices/lab_wire.sym} 380 -310 0 0 {name=l16 sig_type=std_logic lab=rst_b}
+C {devices/lab_wire.sym} 510 -280 3 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 510 -400 3 1 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/capa.sym} 650 -300 0 0 {name=c0 m=1 value=10f}
+C {devices/lab_wire.sym} 650 -270 3 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -340 0 1 {name=l8 sig_type=std_logic lab=clkdiv}
+C {devices/vsource.sym} 210 -290 0 0 {name=v2 value="pulse(0 1 2u 1n 1n 500n 1u)"}
+C {devices/lab_wire.sym} 210 -260 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {clkdiv/clkdiv.sym} 380 -280 0 0 {name=xdiv}
+C {devices/code.sym} 220 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
diff --git a/xschem/sub/tb/clksel/tran_clksel.sch b/xschem/sub/tb/clksel/tran_clksel.sch
new file mode 100644
index 0000000..524270b
--- /dev/null
+++ b/xschem/sub/tb/clksel/tran_clksel.sch
@@ -0,0 +1,130 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 0 790 0 790 -590 0 -590 0 0 {}
+N 70 -320 70 -290 { lab=vss}
+N 370 -420 410 -420 { lab=rst_b}
+N 70 -420 70 -380 { lab=vdd}
+N 660 -300 660 -270 { lab=vss}
+N 180 -230 180 -200 { lab=vss}
+N 620 -380 660 -380 { lab=clko}
+N 660 -380 660 -360 { lab=clko}
+N 380 -460 410 -460 { lab=vdd}
+N 380 -440 410 -440 { lab=vss}
+N 380 -230 380 -200 { lab=vss}
+N 380 -370 380 -260 { lab=clkb}
+N 380 -370 410 -370 { lab=clkb}
+N 180 -390 410 -390 { lab=clka}
+N 180 -390 180 -260 { lab=clka}
+N 560 -60 560 -30 { lab=vss}
+N 560 -160 560 -120 { lab=clk_sel}
+N 650 -60 650 -30 { lab=vss}
+N 650 -160 650 -120 { lab=en_div}
+N 570 -350 570 -310 { lab=en_div}
+N 440 -350 440 -310 { lab=clk_sel}
+N 70 -480 70 -450 { lab=vss}
+N 70 -570 70 -540 { lab=rst_b}
+C {devices/vsource.sym} 70 -260 0 0 {name=v0 value=0}
+C {devices/vsource.sym} 70 -350 0 0 {name=v1 value=1}
+C {devices/lab_wire.sym} 70 -420 3 0 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 70 -320 3 0 {name=l2 sig_type=std_logic lab=vss}
+C {devices/gnd.sym} 70 -230 0 0 {name=l7 lab=GND}
+C {devices/code.sym} 370 -140 0 0 {name=NGSPICE only_toplevel=false 
+format="tcleval(@value )"
+value=".param MC_SWITCH=0
+
+.include \\\\$::DESIGN_PATH\\\\/clksel/clksel.pex.sp
+
+.control
+tran 10e-9 10e-6
+run
+.endc
+"}
+C {devices/code.sym} 20 -140 0 0 {name=STDCELL_MODELS 
+only_toplevel=true
+place=end
+format="tcleval(@value )"
+value="[sky130_models]
+"}
+C {devices/lab_wire.sym} 410 -420 0 0 {name=l16 sig_type=std_logic lab=rst_b}
+C {devices/lab_wire.sym} 410 -440 0 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 410 -460 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/capa.sym} 660 -330 0 0 {name=c0 m=1 value=10f}
+C {devices/lab_wire.sym} 660 -300 3 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 180 -260 0 0 {name=v2 value="pulse(0 1 100n 1n 1n 500n 1u)"
+}
+C {devices/lab_wire.sym} 180 -230 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/code.sym} 200 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {clksel/clksel.sym} 600 -350 0 0 {name=x1}
+C {devices/vsource.sym} 380 -260 0 0 {name=v3 value="pulse(0 1 100n 1n 1n 100n 200n)"
+}
+C {devices/lab_wire.sym} 380 -230 3 0 {name=l8 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 560 -90 0 0 {name=v4 value=1
+}
+C {devices/lab_wire.sym} 560 -160 3 0 {name=l9 sig_type=std_logic lab=clk_sel
+}
+C {devices/lab_wire.sym} 560 -60 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 650 -90 0 0 {name=v5 value=1
+}
+C {devices/lab_wire.sym} 650 -160 3 0 {name=l11 sig_type=std_logic lab=en_div
+}
+C {devices/lab_wire.sym} 650 -60 3 0 {name=l12 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -350 3 0 {name=l13 sig_type=std_logic lab=en_div
+}
+C {devices/lab_wire.sym} 440 -350 3 0 {name=l14 sig_type=std_logic lab=clk_sel
+}
+C {devices/lab_wire.sym} 620 -380 0 1 {name=l15 sig_type=std_logic lab=clko
+}
+C {devices/lab_wire.sym} 410 -390 0 0 {name=l17 sig_type=std_logic lab=clka
+}
+C {devices/lab_wire.sym} 410 -370 0 0 {name=l18 sig_type=std_logic lab=clkb
+}
+C {devices/vsource.sym} 70 -510 0 0 {name=v6 value=pulse"(0 1 100n 1n 1n 100u 200u)"
+}
+C {devices/lab_wire.sym} 70 -480 3 0 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 70 -570 3 0 {name=l20 sig_type=std_logic lab=rst_b
+}
diff --git a/xschem/sub/tb/comparator/ac_trim.sch b/xschem/sub/tb/comparator/ac_trim.sch
new file mode 100644
index 0000000..4e85c8c
--- /dev/null
+++ b/xschem/sub/tb/comparator/ac_trim.sch
@@ -0,0 +1,98 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 -0 -390 690 -390 690 -0 0 0 {}
+N 170 -320 170 -300 { lab=vss}
+N 170 -320 200 -320 { lab=vss}
+N 170 -240 170 -210 { lab=GND}
+N 70 -320 70 -300 { lab=vdd}
+N 70 -320 100 -320 { lab=vdd}
+N 70 -240 70 -210 { lab=GND}
+N 380 -280 380 -150 { lab=#net1}
+N 380 -280 470 -280 { lab=#net1}
+N 530 -280 610 -280 { lab=out}
+N 610 -280 610 -250 { lab=out}
+N 380 -90 380 -60 { lab=vss}
+N 380 -60 380 -50 { lab=vss}
+N 610 -90 610 -60 { lab=vss}
+N 610 -60 610 -50 { lab=vss}
+N 490 -170 570 -170 { lab=5*vss}
+C {devices/vsource.sym} 170 -270 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 170 -210 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 70 -270 0 0 {name=V3 value=1.8
+}
+C {devices/gnd.sym} 70 -210 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 100 -320 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -320 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 380 -120 0 0 {name=V6 value="dc 0.9 ac 1"
+}
+C {devices/code.sym} 160 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+
+.control
+save all
+ac dec 10 1 1e9
+
+meas ac fbw when vdb(out)=-3
+let ctrim = 1/(2*3.14159265*fbw*100e3)
+
+print fbw
+print ctrim
+
+.endc
+"}
+C {devices/res.sym} 500 -280 1 0 {name=r1 m=1 value=100e3
+}
+C {devices/code.sym} 20 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {sar_10b/comparator/trim.sym} 610 -160 0 0 {name=x1}
+C {devices/lab_wire.sym} 380 -90 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 610 -90 3 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -170 0 0 {name=l7 sig_type=std_logic lab="5*vss"
+}
+C {devices/lab_wire.sym} 610 -280 0 0 {name=l8 sig_type=std_logic lab=out
+}
diff --git a/xschem/sub/tb/comparator/ctl.sp b/xschem/sub/tb/comparator/ctl.sp
new file mode 100644
index 0000000..c7b9c0d
--- /dev/null
+++ b/xschem/sub/tb/comparator/ctl.sp
@@ -0,0 +1,37 @@
+* input signals
+Vclk clk 0 PULSE(0 3 1e-9 1e-9 1e-9 20e-6 40e-6)
+
+.param ABSVAR=0.02
+.param vd=0
+
+** variation marameters:
+.param sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre='agauss(0, ABSVAR, 3)/sky130_fd_pr__nfet_01v8_lvt__vth0_slope'
+.param sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre='agauss(0, ABSVAR, 3)/sky130_fd_pr__pfet_01v8_lvt__vth0_slope'
+
+Vp vp 0 {0.5 + vd}
+Vn vn 0 {0.5 - vd}
+
+* .tran 100e-9 100e-6 uic
+.options method trap
+.options gmin 1e-12
+.options vntol 1e-6
+
+.control
+tran 100e-9 100e-6 uic
+run
+.endc
+.end
+
+* .control
+*   let run=1
+*   dowhile run <= 20
+*     if run > 1
+*       reset
+*       set appendwrite
+*     end
+*     save all
+*     tran 0.1n 300n uic
+*     write comparator_tb.raw
+*     let run = run + 1
+*   end
+* .endc
diff --git a/xschem/sub/tb/comparator/tran_comparator.sch b/xschem/sub/tb/comparator/tran_comparator.sch
new file mode 100644
index 0000000..8e69a52
--- /dev/null
+++ b/xschem/sub/tb/comparator/tran_comparator.sch
@@ -0,0 +1,174 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -0 -0 -660 1020 -660 1020 -0 -0 0 {}
+N 280 -340 340 -340 { lab=clk}
+N 100 -400 100 -370 { lab=vss}
+N 100 -530 100 -500 { lab=vdd}
+N 490 -590 490 -560 { lab=vdd}
+N 490 -420 490 -390 { lab=vss}
+N 410 -460 440 -460 { lab=vn}
+N 410 -520 440 -520 { lab=vp}
+N 620 -470 620 -440 { lab=outn}
+N 620 -380 620 -350 { lab=vss}
+N 700 -470 700 -440 { lab=outp}
+N 700 -380 700 -350 { lab=vss}
+N 700 -510 700 -470 { lab=outp}
+N 570 -470 620 -470 { lab=outn}
+N 570 -510 700 -510 { lab=outp}
+N 420 -340 450 -340 { lab=clkc}
+N 280 -340 280 -310 { lab=clk}
+N 450 -340 520 -340 { lab=clkc}
+N 520 -440 520 -340 { lab=clkc}
+N 270 -460 410 -460 { lab=vn}
+N 40 -230 60 -230 { lab=GND}
+N 520 -590 520 -540 { lab=trim[4:0]}
+N 520 -590 590 -590 { lab=trim[4:0]}
+N 560 -150 560 -120 { lab=trim[4]}
+N 650 -150 650 -120 { lab=trim[3]}
+N 740 -150 740 -120 { lab=trim[2]}
+N 830 -150 830 -120 { lab=trim[1]}
+N 920 -150 920 -120 { lab=trim[0]}
+N 690 -560 760 -560 { lab=trim[4:0]}
+N 910 -560 990 -560 { lab=trimb[4:0]}
+N 840 -640 840 -610 { lab=vdd}
+N 840 -510 840 -480 { lab=vss}
+N 540 -550 610 -550 { lab=trimb[4:0]}
+N 540 -550 540 -530 { lab=trimb[4:0]}
+N 270 -520 300 -520 { lab=#net1}
+N 360 -520 410 -520 { lab=vp}
+C {sar_10b/comparator/comparator.sym} 520 -330 0 0 {name=xcom}
+C {devices/lab_wire.sym} 330 -340 0 0 {name=l1 sig_type=std_logic lab=clk}
+C {sky130_stdcells/inv_2.sym} 380 -340 0 0 {name=x15 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {devices/vsource.sym} 100 -340 0 0 {name=V1 value=0}
+C {devices/vsource.sym} 100 -470 0 0 {name=V2 value=1.2}
+C {devices/lab_wire.sym} 100 -370 3 1 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 100 -500 3 1 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 100 -440 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 100 -310 0 0 {name=l12 lab=GND}
+C {devices/lab_wire.sym} 490 -560 3 1 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 490 -420 3 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 430 -460 0 0 {name=l13 sig_type=std_logic lab=vn}
+C {devices/lab_wire.sym} 430 -520 0 0 {name=l14 sig_type=std_logic lab=vp}
+C {devices/capa.sym} 620 -410 0 0 {name=C1
+m=1
+value=10f}
+C {devices/lab_wire.sym} 620 -350 1 0 {name=l15 sig_type=std_logic lab=vss}
+C {devices/capa.sym} 700 -410 0 0 {name=C2
+m=1
+value=10f}
+C {devices/lab_wire.sym} 700 -350 1 0 {name=l16 sig_type=std_logic lab=vss}
+C {devices/code.sym} 30 -150 0 0 {name=STDCELLS only_toplevel=false 
+format="tcleval(@value )"
+value="[sky130_models]
+"}
+C {devices/code.sym} 320 -150 0 0 {name=NGSPICE only_toplevel=false 
+format="tcleval(@value )"
+value="*.include \\\\$::DESIGN_PATH\\\\/design/tb/comparator/ctl.sp
+ 
+*vt0 trim_0_ 0 1.2
+*vt1 trim_1_ 0 1.2
+*vt2 trim_2_ 0 1.2
+*vt3 trim_3_ 0 1.2
+*vt4 trim_4_ 0 1.2
+
+.options method trap
+
+.control
+.param MC_SWITCH=0
+
+tran 100e-9 100e-6
+plt outp
+.endc
+"}
+C {devices/lab_wire.sym} 620 -510 0 0 {name=l17 sig_type=std_logic lab=outp}
+C {devices/lab_wire.sym} 620 -470 0 0 {name=l18 sig_type=std_logic lab=outn}
+C {devices/code.sym} 170 -150 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 420 -340 0 1 {name=l2 sig_type=std_logic lab=clkc}
+C {devices/vsource.sym} 280 -280 0 0 {name=Vclk value="PULSE(0 1 1e-9 1e-9 1e-9 20e-6 40e-6)"}
+C {devices/gnd.sym} 280 -250 0 0 {name=l32 lab=GND}
+C {devices/vsource.sym} 240 -520 1 0 {name=V3 value=0.6
+}
+C {devices/gnd.sym} 210 -520 1 0 {name=l9 lab=GND}
+C {devices/vsource.sym} 240 -460 1 0 {name=V4 value=0.600
+}
+C {devices/gnd.sym} 210 -460 1 0 {name=l5 lab=GND}
+C {devices/noconn.sym} 140 -230 2 0 {name=l10}
+C {devices/gnd.sym} 40 -230 0 0 {name=l19 lab=GND}
+C {devices/lab_wire.sym} 520 -590 0 1 {name=l6 sig_type=std_logic lab=trim[4:0]}
+C {devices/vsource.sym} 560 -90 0 0 {name=V5 value=1
+}
+C {devices/lab_wire.sym} 560 -150 0 0 {name=l20 sig_type=std_logic lab=trim[4]}
+C {devices/gnd.sym} 560 -60 0 0 {name=l21 lab=GND}
+C {devices/vsource.sym} 650 -90 0 0 {name=V6 value=0
+}
+C {devices/lab_wire.sym} 650 -150 0 0 {name=l22 sig_type=std_logic lab=trim[3]}
+C {devices/gnd.sym} 650 -60 0 0 {name=l23 lab=GND}
+C {devices/vsource.sym} 740 -90 0 0 {name=V7 value=0
+}
+C {devices/lab_wire.sym} 740 -150 0 0 {name=l24 sig_type=std_logic lab=trim[2]}
+C {devices/gnd.sym} 740 -60 0 0 {name=l25 lab=GND}
+C {devices/vsource.sym} 830 -90 0 0 {name=V8 value=0
+}
+C {devices/lab_wire.sym} 830 -150 0 0 {name=l26 sig_type=std_logic lab=trim[1]}
+C {devices/gnd.sym} 830 -60 0 0 {name=l27 lab=GND}
+C {devices/vsource.sym} 920 -90 0 0 {name=V9 value=1
+}
+C {devices/lab_wire.sym} 920 -150 0 0 {name=l28 sig_type=std_logic lab=trim[0]}
+C {devices/gnd.sym} 920 -60 0 0 {name=l29 lab=GND}
+C {sky130_stdcells/inv_1.sym} 100 -230 0 0 {name=x1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {support/inv_5b.sym} 760 -510 0 0 {name=x2}
+C {devices/lab_wire.sym} 690 -560 0 1 {name=l33 sig_type=std_logic lab=trim[4:0]}
+C {devices/lab_wire.sym} 910 -560 0 1 {name=l34 sig_type=std_logic lab=trimb[4:0]
+}
+C {devices/lab_wire.sym} 840 -610 3 1 {name=l30 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 840 -510 3 0 {name=l31 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 540 -550 0 1 {name=l35 sig_type=std_logic lab=trimb[4:0]
+}
+C {devices/vsource.sym} 330 -520 1 0 {name=V10 value=-2m
+}
diff --git a/xschem/sub/tb/comparator/tran_comparator_trim.sch b/xschem/sub/tb/comparator/tran_comparator_trim.sch
new file mode 100644
index 0000000..eb726f0
--- /dev/null
+++ b/xschem/sub/tb/comparator/tran_comparator_trim.sch
@@ -0,0 +1,254 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+B 6 1040 -190 1060 -50 {}
+B 6 780 -190 800 -50 {}
+P 4 5 1060 -210 1060 -30 780 -30 780 -210 1060 -210 {dash=4 fill=True}
+P 4 5 -0 -0 1240 0 1240 -650 0 -650 -0 -0 {}
+P 6 5 1060 -190 780 -190 780 -210 1060 -210 1060 -190 {fill=true}
+P 6 5 1060 -30 780 -30 780 -50 1060 -50 1060 -30 {fill=true}
+T {sar_logic.sp} 950 -120 0 1 0.2 0.2 {}
+T {TRIM-LOGIC
+} 990 -150 0 1 0.4 0.4 {}
+N 180 -590 180 -560 { lab=vss}
+N 80 -590 80 -560 { lab=vdd}
+N 580 -590 580 -560 { lab=vdd}
+N 580 -420 580 -390 { lab=vss}
+N 500 -460 530 -460 { lab=vn}
+N 500 -520 530 -520 { lab=vp}
+N 660 -470 710 -470 { lab=outn}
+N 610 -440 610 -340 { lab=clkcc}
+N 360 -460 500 -460 { lab=vn}
+N 610 -590 610 -540 { lab=trim[4:0]}
+N 610 -590 680 -590 { lab=trim[4:0]}
+N 630 -550 700 -550 { lab=trimb[4:0]}
+N 630 -550 630 -530 { lab=trimb[4:0]}
+N 360 -520 390 -520 { lab=#net1}
+N 450 -520 500 -520 { lab=vp}
+N 740 -180 780 -180 { lab=clkc}
+N 650 -180 660 -180 { lab=clkcc}
+N 650 -60 780 -60 { lab=sample}
+N 650 -100 780 -100 { lab=ctln[7:0]}
+N 650 -130 780 -130 { lab=ctlp[7:0]}
+N 910 -270 910 -210 { lab=trim[4:0]}
+N 950 -280 950 -210 { lab=trimb[4:0]}
+N 1060 -90 1180 -90 { lab=result[7:0]}
+N 1060 -70 1180 -70 { lab=valid}
+N 1060 -110 1180 -110 { lab=clk}
+N 1060 -130 1180 -130 { lab=en}
+N 1060 -180 1110 -180 { lab=comp}
+N 1060 -150 1180 -150 { lab=cal}
+N 980 -470 995 -470 { lab=#net2}
+N 850 -420 880 -420 { lab=vss}
+N 880 -440 880 -420 { lab=vss}
+N 850 -560 880 -560 { lab=vdd}
+N 880 -560 880 -540 { lab=vdd}
+N 980 -510 1030 -510 { lab=comp}
+N 660 -510 710 -510 { lab=outp}
+N 710 -510 790 -510 { lab=outp}
+N 710 -470 790 -470 { lab=outn}
+N 1180 -510 1180 -190 { lab=comp}
+N 1030 -510 1180 -510 { lab=comp}
+N 1110 -180 1180 -180 { lab=comp}
+N 1180 -190 1180 -180 { lab=comp}
+N 190 -320 190 -290 { lab=clk}
+N 610 -340 610 -180 { lab=clkcc}
+N 610 -180 650 -180 { lab=clkcc}
+N 80 -320 80 -290 { lab=cal}
+N 80 -440 80 -410 { lab=en}
+N 1060 -50 1180 -50 { lab=rstn}
+N 450 -320 450 -290 { lab=rstn}
+C {sar_10b/comparator/comparator.sym} 610 -330 0 0 {name=xcom}
+C {devices/vsource.sym} 180 -530 0 0 {name=V1 value=0}
+C {devices/vsource.sym} 80 -530 0 0 {name=V2 value=1.4
+}
+C {devices/lab_wire.sym} 180 -560 3 1 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 80 -560 3 1 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 80 -500 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 180 -500 0 0 {name=l12 lab=GND}
+C {devices/lab_wire.sym} 580 -560 3 1 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 580 -420 3 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 520 -460 0 0 {name=l13 sig_type=std_logic lab=vn}
+C {devices/lab_wire.sym} 520 -520 0 0 {name=l14 sig_type=std_logic lab=vp}
+C {devices/lab_wire.sym} 710 -510 0 0 {name=l17 sig_type=std_logic lab=outp}
+C {devices/lab_wire.sym} 710 -470 0 0 {name=l18 sig_type=std_logic lab=outn}
+C {devices/vsource.sym} 330 -520 1 0 {name=V3 value=vin
+}
+C {devices/gnd.sym} 300 -520 1 0 {name=l9 lab=GND}
+C {devices/vsource.sym} 330 -460 1 0 {name=V4 value=vin
+}
+C {devices/gnd.sym} 300 -460 1 0 {name=l5 lab=GND}
+C {devices/lab_wire.sym} 610 -590 0 1 {name=l6 sig_type=std_logic lab=trim[4:0]}
+C {devices/lab_wire.sym} 630 -550 0 1 {name=l35 sig_type=std_logic lab=trimb[4:0]
+}
+C {devices/vsource.sym} 420 -520 1 0 {name=V10 value=voff
+}
+C {devices/lab_wire.sym} 740 -180 0 1 {name=l20 sig_type=std_logic lab=clkc}
+C {devices/noconn.sym} 1060 -180 0 0 {name=l21}
+C {sky130_stdcells/buf_1.sym} 700 -180 0 1 {name=x4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+}
+C {devices/lab_wire.sym} 740 -60 0 0 {name=l22 sig_type=std_logic lab=sample}
+C {devices/noconn.sym} 780 -60 2 0 {name=l23}
+C {devices/lab_wire.sym} 740 -100 0 0 {name=l24 sig_type=std_logic lab=ctln[7:0]}
+C {devices/noconn.sym} 780 -100 2 0 {name=l20[7:0]
+}
+C {devices/lab_wire.sym} 740 -130 0 0 {name=l25 sig_type=std_logic lab=ctlp[7:0]
+}
+C {devices/noconn.sym} 780 -130 2 0 {name=l22[7:0]
+}
+C {devices/lab_wire.sym} 950 -210 3 1 {name=l26 sig_type=std_logic lab=trimb[4:0]
+}
+C {devices/lab_wire.sym} 910 -210 3 1 {name=l27 sig_type=std_logic lab=trim[4:0]}
+C {devices/noconn.sym} 910 -210 3 0 {name=l33[4:0]
+}
+C {devices/noconn.sym} 950 -210 3 0 {name=l34[4:0]
+}
+C {devices/lab_wire.sym} 1160 -90 0 0 {name=l28 sig_type=std_logic lab=result[7:0]
+}
+C {devices/noconn.sym} 1060 -90 0 0 {name=l36[7:0]
+}
+C {devices/lab_wire.sym} 1140 -70 0 0 {name=l36 sig_type=std_logic lab=valid
+}
+C {devices/noconn.sym} 1060 -70 0 0 {name=l37
+}
+C {devices/noconn.sym} 780 -180 2 0 {name=l29}
+C {devices/lab_wire.sym} 1140 -110 0 0 {name=l31 sig_type=std_logic lab=clk
+}
+C {devices/noconn.sym} 1060 -110 0 0 {name=l39
+}
+C {devices/lab_wire.sym} 1140 -130 0 0 {name=l40 sig_type=std_logic lab=en
+}
+C {devices/noconn.sym} 1060 -130 0 0 {name=l42
+}
+C {devices/lab_wire.sym} 1140 -150 0 0 {name=l43 sig_type=std_logic lab=cal
+}
+C {devices/noconn.sym} 1060 -150 0 0 {name=l45
+}
+C {devices/lab_wire.sym} 1070 -180 0 1 {name=l10 sig_type=std_logic lab=comp}
+C {sar_10b/latch/latch.sym} 880 -490 0 0 {name=xlat}
+C {devices/noconn.sym} 995 -470 2 0 {name=l87}
+C {devices/lab_wire.sym} 880 -420 0 0 {name=l30 sig_type=std_logic lab=vss
+}
+C {devices/lab_wire.sym} 880 -560 0 0 {name=l38 sig_type=std_logic lab=vdd
+}
+C {devices/vsource.sym} 190 -260 0 0 {name=Vclk1 value="PULSE(0 1 1e-9 1e-9 1e-9 2e-6 4e-6)"
+}
+C {devices/lab_wire.sym} 190 -320 3 0 {name=l2 sig_type=std_logic lab=clk
+}
+C {devices/gnd.sym} 190 -230 0 0 {name=l15 lab=GND}
+C {devices/vsource.sym} 80 -260 0 0 {name=V5 value=1.4}
+C {devices/lab_wire.sym} 80 -290 3 1 {name=l1 sig_type=std_logic lab=cal
+}
+C {devices/gnd.sym} 80 -230 0 0 {name=l16 lab=GND}
+C {devices/code.sym} 30 -150 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval( @value )"
+value=".include \\\\$::DESIGN_PATH\\\\/sar_10b/control/cmos_cells_digital.sp
+.include \\\\$::DESIGN_PATH\\\\/sar_10b/control/sarlogic.sp
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/comparator/trim_pex.sp
+
+
+.param MC_SWITCH=0
+.param vin=0.6
+.param voff=-0.03
+
+.options method trap
+
+.control
+tran 100e-9 200e-6
+meas tran tdiff_a TRIG v(xcom.ip) val=1 fall=2 targ v(xcom.in) val=1 fall=2
+meas tran tdiff_b TRIG v(xcom.ip) val=1 fall=-2 targ v(xcom.in) val=1 fall=-2
+
+let tuntr=abs(tdiff_a)
+let tres=abs(tdiff_b)
+print tuntr
+print tres
+
+*plt xcom.in xcom.ip outp
+
+*eprvcd dclk den dsamp dcomp dsamp dvalid dtrim4 dtrim3 dtrim2 dtrim1 dtrim0 dtrimb4 dtrimb3 dtrimb2 dtrimb1 dtrimb0 > sarlogic.vcd
+* display > \\"$design_path/sim/sar_nets.txt\\"
+* edisplay > \\"$design_path/sim/sar_enets.txt\\"
+
+*shell gtkwave \\"$design_path/sim/sarlogic.vcd\\" --script \\"$design_path/tools/scripts/gtkwave/nggtk.tcl\\" &
+
+
+
+.endc
+" }
+C {devices/code.sym} 290 -150 0 0 {name=MIXEDMODE
+only_toplevel=true
+value="Xuut dclk drstn den dcomp dcal dvalid dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dsamp dctlp0 dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctln0 dctln1 dctln2 dctln3 dctln4 dctln5 dctln6 dctln7 dtrim0 dtrim1 dtrim2 dtrim3 dtrim4 dtrimb0 dtrimb1 dtrimb2 dtrimb3 dtrimb4 dclkc sar_logic
+
+.model adc_buff adc_bridge(in_low = 0.2 in_high=0.8)
+.model dac_buff dac_bridge(out_high = 1.2)
+
+Aad [clk rstn en comp cal] [dclk drstn den dcomp dcal] adc_buff
+Ada [dctlp0 dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctln0 dctln1 dctln2 dctln3 dctln4 dctln5 dctln6 dctln7 dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dsamp dclkc] [ctlp_0_ ctlp_1_ ctlp_2_ ctlp_3_ ctlp_4_ ctlp_5_ ctlp_6_ ctlp_7_ ctln_0_ ctln_1_ ctln_2_ ctln_3_ ctln_4_ ctln_5_ ctln_6_ ctln_7_ res0 res1 res2 res3 res4 res5 res6 res7 sample clkc] dac_buff
+Ada2 [dtrim4 dtrim3 dtrim2 dtrim1 dtrim0 dtrimb4 dtrimb3 dtrimb2 dtrimb1 dtrimb0] [trim_4_ trim_3_ trim_2_ trim_1_ trim_0_ trimb_4_ trimb_3_ trimb_2_ trimb_1_ trimb_0_ ] dac_buff
+" }
+C {devices/code.sym} 160 -150 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/code.sym} 420 -150 0 0 {name=STDCELLS only_toplevel=false 
+format="tcleval(@value )"
+value="[sky130_models]"}
+C {devices/vsource.sym} 80 -380 0 0 {name=Ven value="PULSE(0 1 0.5e-6 0.1e-6 0.1e-6 10e-6 10e-3)"
+}
+C {devices/lab_wire.sym} 80 -410 1 0 {name=l33 sig_type=std_logic lab=en
+}
+C {devices/gnd.sym} 80 -350 0 0 {name=l34 lab=GND}
+C {devices/lab_wire.sym} 620 -180 0 1 {name=l19 sig_type=std_logic lab=clkcc
+}
+C {devices/lab_wire.sym} 1140 -50 0 0 {name=l32 sig_type=std_logic lab=rstn
+}
+C {devices/noconn.sym} 1060 -50 0 0 {name=l41
+}
+C {devices/vsource.sym} 450 -260 0 0 {name=V6 value=1.4
+}
+C {devices/lab_wire.sym} 450 -290 3 1 {name=l44 sig_type=std_logic lab=rstn
+}
+C {devices/gnd.sym} 450 -230 0 0 {name=l46 lab=GND}
diff --git a/xschem/sub/tb/opamp/ac_se_folded_ota_np_ab.sch b/xschem/sub/tb/opamp/ac_se_folded_ota_np_ab.sch
new file mode 100644
index 0000000..6a5429f
--- /dev/null
+++ b/xschem/sub/tb/opamp/ac_se_folded_ota_np_ab.sch
@@ -0,0 +1,199 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -700 990 -700 990 0 0 0 {}
+N 170 -320 170 -300 { lab=vss}
+N 170 -320 200 -320 { lab=vss}
+N 170 -240 170 -210 { lab=GND}
+N 70 -320 70 -300 { lab=vdd}
+N 70 -320 100 -320 { lab=vdd}
+N 70 -240 70 -210 { lab=GND}
+N 600 -290 600 -260 { lab=vss}
+N 600 -400 600 -370 { lab=vdd}
+N 500 -90 500 -60 { lab=vss}
+N 360 -230 360 -200 { lab=GND}
+N 500 -200 620 -200 { lab=fb}
+N 650 -330 750 -330 { lab=out}
+N 750 -330 750 -200 { lab=out}
+N 680 -200 750 -200 { lab=out}
+N 810 -240 810 -210 { lab=vss}
+N 810 -330 810 -300 { lab=out}
+N 750 -330 810 -330 { lab=out}
+N 360 -360 360 -290 { lab=in}
+N 360 -360 530 -360 { lab=in}
+N 500 -300 500 -200 { lab=fb}
+N 500 -300 530 -300 { lab=fb}
+N 500 -190 500 -150 { lab=fb}
+N 500 -200 500 -190 { lab=fb}
+N 580 -420 580 -380 { lab=bias}
+N 530 -420 580 -420 { lab=bias}
+N 300 -590 340 -590 { lab=vdd}
+N 300 -660 300 -620 { lab=vdd}
+N 200 -590 260 -590 { lab=vb}
+N 120 -590 160 -590 { lab=vdd}
+N 160 -660 160 -620 { lab=vdd}
+N 230 -590 230 -540 { lab=vb}
+N 160 -540 230 -540 { lab=vb}
+N 160 -560 160 -540 { lab=vb}
+N 160 -540 160 -520 { lab=vb}
+N 160 -460 160 -430 { lab=vss}
+N 160 -660 300 -660 { lab=vdd}
+N 300 -560 300 -550 { lab=#net1}
+N 300 -490 300 -400 { lab=bias}
+N 810 -330 900 -330 { lab=out}
+N 900 -240 900 -210 { lab=vss}
+N 900 -330 900 -300 { lab=out}
+C {devices/vsource.sym} 170 -270 0 0 {name=Vss value=0
+}
+C {devices/gnd.sym} 170 -210 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 70 -270 0 0 {name=Vdd value=1.8
+}
+C {devices/gnd.sym} 70 -210 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 100 -320 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -320 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -290 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -400 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 360 -260 0 0 {name=Vin value="dc \{vcm\} ac 1"
+}
+C {devices/code.sym} 160 -130 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval( @value )"
+value=".param MC_SWITCH=0
+.options abstol=1e-9
+.options savecurrents
+
+*.param vcm=0.3
+* .param vcm=1.7
+* .param vcm=1.6
+.param vcm=0.9
+
+.temp -20
+
+*.include \\\\$::DESIGN_PATH\\\\/opamp/se_folded_cascode_np_ab.pex.spice\\"
+
+
+.control
+save all
+op
+let ivdd=-vdd#branch
+print ivdd
+print xamp.vbp1
+print xamp.vbp2
+print xamp.vbn1
+print xamp.vbn2
+print xamp.outa1p
+print xamp.outa1n
+print xamp.outb1p
+print xamp.outb1n
+print xamp.diffa
+print xamp.diffb
+print xamp.mirr
+
+
+ac dec 10 0.1 1e9
+let phase=180/3.14*cph(out)
+plot vdb(out) 
+plot phase
+let phase=180 + 180/3.14*cph(out)
+meas ac ugbw when vdb(out)=0
+meas ac pm find phase when vdb(out)=0
+meas ac gain find vdb(out) at=1
+
+.endc
+"}
+C {devices/capa.sym} 500 -120 0 0 {name=c0 m=1 value=1}
+C {devices/lab_wire.sym} 500 -90 3 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 560 -420 0 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 360 -200 0 0 {name=l8 lab=GND}
+C {devices/lab_wire.sym} 530 -300 0 0 {name=l7 sig_type=std_logic lab=fb}
+C {devices/res.sym} 650 -200 1 0 {name=r1 m=1 value=10e9 footprint=res10 device=resistor}
+C {devices/capa.sym} 810 -270 0 0 {name=c1 m=1 value=10p
+}
+C {devices/lab_wire.sym} 810 -240 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 20 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 650 -330 0 1 {name=l11 sig_type=std_logic lab=out}
+C {devices/lab_wire.sym} 530 -360 0 0 {name=l12 sig_type=std_logic lab=in}
+C {devices/lab_wire.sym} 300 -440 3 0 {name=l20 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 340 -590 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {devices/isource.sym} 160 -490 0 0 {name=i1 value=250n
+}
+C {devices/lab_wire.sym} 120 -590 0 1 {name=l22 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 160 -460 3 0 {name=l24 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 220 -660 0 1 {name=l25 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 220 -590 0 1 {name=l26 sig_type=std_logic lab=vb}
+C {devices/ammeter.sym} 300 -520 0 0 {name=vib}
+C {sky130_primitives/pfet_01v8.sym} 280 -590 0 0 {name=M1
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 180 -590 0 1 {name=M2
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/res.sym} 900 -270 2 1 {name=r2 m=1 value=1e6
+}
+C {devices/lab_wire.sym} 900 -240 3 0 {name=l9 sig_type=std_logic lab=vss}
+C {opamp/se_folded_cascode_np_ab.sym} 680 -330 0 0 {name=xamp
+}
diff --git a/xschem/sub/tb/opamp/ac_se_folded_ota_p.sch b/xschem/sub/tb/opamp/ac_se_folded_ota_p.sch
new file mode 100644
index 0000000..4f4e71b
--- /dev/null
+++ b/xschem/sub/tb/opamp/ac_se_folded_ota_p.sch
@@ -0,0 +1,180 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -700 920 -700 920 0 0 0 {}
+N 90 -240 90 -210 { lab=GND}
+N 90 -490 90 -470 { lab=vdd}
+N 90 -490 120 -490 { lab=vdd}
+N 550 -490 550 -460 { lab=vss}
+N 550 -600 550 -570 { lab=vdd}
+N 450 -290 450 -260 { lab=vss}
+N 310 -430 310 -400 { lab=GND}
+N 450 -400 570 -400 { lab=fb}
+N 450 -50 450 -20 { lab=vss}
+N 600 -530 700 -530 { lab=out}
+N 700 -530 700 -400 { lab=out}
+N 630 -400 700 -400 { lab=out}
+N 780 -440 780 -410 { lab=vss}
+N 780 -530 780 -500 { lab=out}
+N 700 -530 780 -530 { lab=out}
+N 310 -560 310 -490 { lab=in}
+N 310 -560 480 -560 { lab=in}
+N 450 -500 450 -400 { lab=fb}
+N 450 -500 480 -500 { lab=fb}
+N 450 -390 450 -350 { lab=fb}
+N 450 -400 450 -390 { lab=fb}
+N 490 -80 500 -80 { lab=#net1}
+N 500 -80 530 -80 { lab=#net1}
+N 420 -80 450 -80 { lab=vss}
+N 570 -80 600 -80 { lab=vss}
+N 570 -150 570 -110 { lab=bias}
+N 570 -50 570 -20 { lab=vss}
+N 450 -130 450 -110 { lab=#net1}
+N 450 -120 510 -120 { lab=#net1}
+N 510 -120 510 -80 { lab=#net1}
+N 450 -190 480 -190 { lab=vdd}
+N 530 -480 530 -440 { lab=bias}
+N 90 -410 90 -300 { lab=vss}
+C {devices/vsource.sym} 90 -270 0 0 {name=vss value=0
+}
+C {devices/gnd.sym} 90 -210 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 90 -440 0 0 {name=vdd value=1.8
+}
+C {devices/lab_wire.sym} 120 -490 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 90 -350 1 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 550 -490 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 550 -600 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 310 -460 0 0 {name=Vin value="dc 0.9 ac 1"
+}
+C {devices/code.sym} 160 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value="* ac se_folded_cascode_p
+
+.param MC_SWITCH=0
+*.temp 85
+
+*.include \\\\$::DESIGN_PATH\\\\/opamp/se_folded_cascode_p.pex.spice\\"
+
+.control
+save all
+ac dec 10 1 100e6
+let phase=180/3.14*cph(out)
+let phase=180 + 180/3.14*cph(out)
+meas ac ugbw when vdb(out)=0
+meas ac pm find phase when vdb(out)=0
+meas ac gain find vdb(out) at=1
+
+*let mc_runs = 500
+*let run = 1
+*dowhile run <= mc_runs
+*    save all
+*    ac dec 10 1 100e6
+*    let phase=180/3.14*cph(out)
+*    let phase=180 + 180/3.14*cph(out)
+*    meas ac ugbw when vdb(out)=0
+*    meas ac pm find phase when vdb(out)=0
+*    meas ac gain find vdb(out) at=100
+*    print gain >> result_gain.txt
+*    print pm >> result_pm.txt
+*    print ugbw >> result_ugbw.txt
+*    reset
+*    let run = run + 1
+*end
+
+
+.endc
+"}
+C {devices/capa.sym} 450 -320 0 0 {name=c0 m=1 value=1}
+C {devices/lab_wire.sym} 450 -290 3 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 530 -480 3 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 310 -400 0 0 {name=l8 lab=GND}
+C {devices/lab_wire.sym} 480 -500 0 0 {name=l7 sig_type=std_logic lab=fb}
+C {devices/res.sym} 600 -400 1 0 {name=r1 m=1 value=10e9 footprint=res10 device=resistor}
+C {devices/isource.sym} 450 -160 0 0 {name=i0 value=250n
+}
+C {devices/lab_wire.sym} 450 -50 3 0 {name=l23 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 780 -440 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 20 -130 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value=".include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 600 -530 0 1 {name=l11 sig_type=std_logic lab=out}
+C {devices/lab_wire.sym} 480 -560 0 0 {name=l12 sig_type=std_logic lab=in}
+C {sky130_primitives/nfet_01v8.sym} 550 -80 0 0 {name=M3
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 470 -80 0 1 {name=M4
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 450 -80 0 0 {name=l14 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -80 0 1 {name=l15 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 570 -110 3 1 {name=l17 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 570 -50 3 0 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 450 -190 0 1 {name=l18 sig_type=std_logic lab=vdd}
+C {opamp/se_folded_cascode_p.sym} 630 -530 0 0 {name=xamp
+}
+C {sky130_primitives/cap_mim_m3_1.sym} 780 -470 0 0 {name=C1 model=cap_mim_m3_1 W=10 L=10 MF=8 spiceprefix=X
+}
diff --git a/xschem/sub/tb/opamp/no_se_folded_ota_np_ab.sch b/xschem/sub/tb/opamp/no_se_folded_ota_np_ab.sch
new file mode 100644
index 0000000..7e82b29
--- /dev/null
+++ b/xschem/sub/tb/opamp/no_se_folded_ota_np_ab.sch
@@ -0,0 +1,171 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -700 990 -700 990 0 0 0 {}
+N 170 -320 170 -300 { lab=vss}
+N 170 -320 200 -320 { lab=vss}
+N 170 -240 170 -210 { lab=GND}
+N 70 -320 70 -300 { lab=vdd}
+N 70 -320 100 -320 { lab=vdd}
+N 70 -240 70 -210 { lab=GND}
+N 600 -290 600 -260 { lab=vss}
+N 600 -400 600 -370 { lab=vdd}
+N 500 -90 500 -60 { lab=vss}
+N 360 -230 360 -200 { lab=GND}
+N 500 -200 620 -200 { lab=fb}
+N 650 -330 750 -330 { lab=out}
+N 750 -330 750 -200 { lab=out}
+N 680 -200 750 -200 { lab=out}
+N 810 -240 810 -210 { lab=vss}
+N 810 -330 810 -300 { lab=out}
+N 750 -330 810 -330 { lab=out}
+N 360 -360 360 -290 { lab=in}
+N 360 -360 530 -360 { lab=in}
+N 500 -300 500 -200 { lab=fb}
+N 500 -300 530 -300 { lab=fb}
+N 500 -190 500 -150 { lab=fb}
+N 500 -200 500 -190 { lab=fb}
+N 580 -420 580 -380 { lab=bias}
+N 530 -420 580 -420 { lab=bias}
+N 300 -590 340 -590 { lab=vdd}
+N 300 -660 300 -620 { lab=vdd}
+N 200 -590 260 -590 { lab=vb}
+N 120 -590 160 -590 { lab=vdd}
+N 160 -660 160 -620 { lab=vdd}
+N 230 -590 230 -540 { lab=vb}
+N 160 -540 230 -540 { lab=vb}
+N 160 -560 160 -540 { lab=vb}
+N 160 -540 160 -520 { lab=vb}
+N 160 -460 160 -430 { lab=vss}
+N 160 -660 300 -660 { lab=vdd}
+N 300 -560 300 -550 { lab=#net1}
+N 300 -490 300 -400 { lab=bias}
+N 900 -330 900 -300 { lab=out}
+N 810 -330 900 -330 { lab=out}
+N 900 -240 900 -210 { lab=vss}
+C {devices/vsource.sym} 170 -270 0 0 {name=Vss value=0
+}
+C {devices/gnd.sym} 170 -210 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 70 -270 0 0 {name=Vdd value=1.8
+}
+C {devices/gnd.sym} 70 -210 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 100 -320 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 200 -320 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -290 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -400 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 360 -260 0 0 {name=Vin value="dc \{vcm\} ac 1"
+}
+C {devices/code.sym} 160 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+.param vcm=0.9
+
+.control
+save all
+noise v(out,vss) vin dec 10 100 1000e3 1
+print inoise_total
+print onoise_total
+setplot noise1
+set wr_vecnames
+wrdata noise.csv all
+plot inoise_spectrum
+plot onoise_spectrum
+
+.endc
+"}
+C {devices/capa.sym} 500 -120 0 0 {name=c0 m=1 value=1}
+C {devices/lab_wire.sym} 500 -90 3 0 {name=l13 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 560 -420 0 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 360 -200 0 0 {name=l8 lab=GND}
+C {devices/lab_wire.sym} 530 -300 0 0 {name=l7 sig_type=std_logic lab=fb}
+C {devices/res.sym} 650 -200 1 0 {name=r1 m=1 value=10e9 footprint=res10 device=resistor}
+C {devices/capa.sym} 810 -270 0 0 {name=c1 m=1 value=10e-12
+}
+C {devices/lab_wire.sym} 810 -240 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 20 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 650 -330 0 1 {name=l11 sig_type=std_logic lab=out}
+C {devices/lab_wire.sym} 530 -360 0 0 {name=l12 sig_type=std_logic lab=in}
+C {devices/lab_wire.sym} 300 -440 3 0 {name=l20 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 340 -590 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {devices/isource.sym} 160 -490 0 0 {name=i1 value=250n
+}
+C {devices/lab_wire.sym} 120 -590 0 1 {name=l22 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 160 -460 3 0 {name=l24 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 220 -660 0 1 {name=l25 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 220 -590 0 1 {name=l26 sig_type=std_logic lab=vb}
+C {devices/ammeter.sym} 300 -520 0 0 {name=vib}
+C {sky130_primitives/pfet_01v8.sym} 280 -590 0 0 {name=M1
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 180 -590 0 1 {name=M2
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/res.sym} 900 -270 2 1 {name=r2 m=1 value=1e6
+}
+C {devices/lab_wire.sym} 900 -240 3 0 {name=l9 sig_type=std_logic lab=vss}
+C {opamp/se_folded_cascode_np_ab.sym} 680 -330 0 0 {name=xamp
+}
diff --git a/xschem/sub/tb/opamp/tr_se_folded_ota_np_ab.sch b/xschem/sub/tb/opamp/tr_se_folded_ota_np_ab.sch
new file mode 100644
index 0000000..1cca81a
--- /dev/null
+++ b/xschem/sub/tb/opamp/tr_se_folded_ota_np_ab.sch
@@ -0,0 +1,181 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -0 -0 -700 990 -700 990 -0 -0 -0 {}
+N 830 -580 830 -560 { lab=vss}
+N 830 -580 860 -580 { lab=vss}
+N 830 -500 830 -470 { lab=GND}
+N 730 -580 730 -560 { lab=vdd}
+N 730 -580 760 -580 { lab=vdd}
+N 730 -500 730 -470 { lab=GND}
+N 560 -250 560 -220 { lab=vss}
+N 560 -360 560 -330 { lab=vdd}
+N 60 -250 60 -220 { lab=GND}
+N 540 -530 580 -530 { lab=vdd}
+N 540 -600 540 -560 { lab=vdd}
+N 440 -530 500 -530 { lab=vb}
+N 360 -530 400 -530 { lab=vdd}
+N 400 -600 400 -560 { lab=vdd}
+N 470 -530 470 -480 { lab=vb}
+N 400 -480 470 -480 { lab=vb}
+N 400 -500 400 -480 { lab=vb}
+N 400 -480 400 -460 { lab=vb}
+N 400 -400 400 -370 { lab=vss}
+N 400 -600 540 -600 { lab=vdd}
+N 540 -500 540 -490 { lab=#net1}
+N 540 -430 540 -340 { lab=bias}
+N 540 -240 540 -210 { lab=vdd}
+N 610 -290 710 -290 { lab=out}
+N 710 -290 710 -120 { lab=out}
+N 790 -200 790 -170 { lab=vss}
+N 790 -290 790 -260 { lab=out}
+N 710 -290 790 -290 { lab=out}
+N 460 -260 460 -120 { lab=out}
+N 460 -260 490 -260 { lab=out}
+N 220 -250 220 -220 { lab=GND}
+N 220 -330 220 -310 { lab=vstep}
+N 220 -330 270 -330 { lab=vstep}
+N 60 -500 60 -480 { lab=vsine}
+N 60 -500 110 -500 { lab=vsine}
+N 440 -320 490 -320 { lab=vdd}
+N 790 -290 870 -290 { lab=out}
+N 870 -290 870 -260 { lab=out}
+N 870 -200 870 -170 { lab=vss}
+N 460 -120 710 -120 { lab=out}
+N 60 -340 60 -310 { lab=#net2}
+N 60 -420 60 -400 { lab=#net3}
+C {devices/vsource.sym} 830 -530 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 830 -470 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 730 -530 0 0 {name=V3 value=1.8
+}
+C {devices/gnd.sym} 730 -470 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 760 -580 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 860 -580 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 560 -250 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 560 -360 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 60 -450 0 0 {name=Vsig2 value="dc 0.9 sin(0 0 1e3)"
+}
+C {devices/code.sym} 130 -130 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval( @value )"
+value="* control
+
+.param MC_SWITCH=0
+
+.include \\\\$::DESIGN_PATH\\\\/opamp/se_folded_cascode_np_ab.pex.spice\\"
+
+.control
+set wr_singlescale
+set wr_vecnames
+set filetype=ascii
+*set interp
+
+save all
+tran 1u 1m
+*tran 1u 1000m
+*wrdata output.csv out
+.endc
+"}
+C {devices/lab_wire.sym} 540 -380 3 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 60 -220 0 0 {name=l8 lab=GND}
+C {devices/lab_wire.sym} 580 -530 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {devices/isource.sym} 400 -430 0 0 {name=i0 value=250n
+}
+C {devices/lab_wire.sym} 360 -530 0 1 {name=l22 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 400 -400 3 0 {name=l23 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 460 -600 0 1 {name=l24 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 460 -530 0 1 {name=l25 sig_type=std_logic lab=vb}
+C {devices/ammeter.sym} 540 -460 0 0 {name=vib}
+C {devices/lab_wire.sym} 540 -240 3 0 {name=l9 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8.sym} 520 -530 0 0 {name=M1
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 420 -530 0 1 {name=M2
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/capa.sym} 790 -230 0 0 {name=c1 m=1 value=10p
+}
+C {devices/lab_wire.sym} 790 -200 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 10 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value=".include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 610 -290 0 1 {name=l11 sig_type=std_logic lab=out}
+C {devices/vsource.sym} 220 -280 0 0 {name=V1 value="dc 0.9 pulse(0.4 1.4 1n 1n 1u 200u 400u)"
+}
+C {devices/gnd.sym} 220 -220 0 0 {name=l7 lab=GND}
+C {devices/lab_wire.sym} 270 -330 0 0 {name=l13 sig_type=std_logic lab=vstep}
+C {devices/lab_wire.sym} 110 -500 0 0 {name=l14 sig_type=std_logic lab=vsine
+}
+C {devices/res.sym} 870 -230 2 1 {name=r1 m=1 value=1e6
+}
+C {devices/lab_wire.sym} 870 -200 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {opamp/se_folded_cascode_np_ab.sym} 640 -290 0 0 {name=xamp
+}
+C {devices/vsource.sym} 60 -370 0 0 {name=Vsig1 value="dc 0 sin(0 0.9 4.3e3)"
+}
+C {devices/vsource.sym} 60 -280 0 0 {name=Vcm value=0.9
+}
+C {devices/lab_wire.sym} 480 -320 0 0 {name=l12 sig_type=std_logic lab=vdd}
diff --git a/xschem/sub/tb/opamp/tr_se_folded_ota_p.sch b/xschem/sub/tb/opamp/tr_se_folded_ota_p.sch
new file mode 100644
index 0000000..3e9d0f7
--- /dev/null
+++ b/xschem/sub/tb/opamp/tr_se_folded_ota_p.sch
@@ -0,0 +1,145 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -700 920 -700 920 0 0 0 {}
+N 160 -320 160 -300 { lab=vss}
+N 160 -320 190 -320 { lab=vss}
+N 160 -240 160 -210 { lab=GND}
+N 60 -320 60 -300 { lab=vdd}
+N 60 -320 90 -320 { lab=vdd}
+N 60 -240 60 -210 { lab=GND}
+N 610 -380 610 -350 { lab=vss}
+N 610 -490 610 -460 { lab=vdd}
+N 320 -370 320 -340 { lab=GND}
+N 660 -420 760 -420 { lab=out}
+N 840 -330 840 -300 { lab=vss}
+N 840 -420 840 -390 { lab=out}
+N 760 -420 840 -420 { lab=out}
+N 510 -390 540 -390 { lab=out}
+N 320 -450 320 -430 { lab=vsine}
+N 470 -90 470 -60 { lab=vss}
+N 510 -120 520 -120 { lab=#net1}
+N 520 -120 550 -120 { lab=#net1}
+N 440 -120 470 -120 { lab=vss}
+N 590 -120 620 -120 { lab=vss}
+N 590 -190 590 -150 { lab=bias}
+N 590 -90 590 -60 { lab=vss}
+N 470 -170 470 -150 { lab=#net1}
+N 470 -160 530 -160 { lab=#net1}
+N 530 -160 530 -120 { lab=#net1}
+N 470 -230 500 -230 { lab=vdd}
+N 510 -390 510 -290 { lab=out}
+N 510 -290 750 -290 { lab=out}
+N 750 -420 750 -290 { lab=out}
+N 590 -370 590 -190 { lab=bias}
+N 320 -450 540 -450 { lab=vsine}
+C {devices/vsource.sym} 160 -270 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 160 -210 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 60 -270 0 0 {name=V3 value=1.8
+}
+C {devices/gnd.sym} 60 -210 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 90 -320 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 190 -320 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 610 -380 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 610 -490 3 0 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 320 -400 0 0 {name=V6 value="dc 0.9 sin(0.9 0.9 1e3)"
+}
+C {devices/code.sym} 170 -130 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+
+.include \\\\$::DESIGN_PATH\\\\/opamp/se_folded_cascode_p.pex.spice\\"
+
+.control
+save all
+tran 10n 1m
+.endc
+"}
+C {devices/gnd.sym} 320 -340 0 0 {name=l8 lab=GND}
+C {devices/capa.sym} 840 -360 0 0 {name=c1 m=1 value=100f
+}
+C {devices/lab_wire.sym} 840 -330 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 30 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value=".include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 660 -420 0 1 {name=l11 sig_type=std_logic lab=out}
+C {devices/lab_wire.sym} 370 -450 0 0 {name=l14 sig_type=std_logic lab=vsine}
+C {devices/isource.sym} 470 -200 0 0 {name=i1 value=400n
+}
+C {devices/lab_wire.sym} 470 -90 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {sky130_primitives/nfet_01v8.sym} 570 -120 0 0 {name=M3
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8.sym} 490 -120 0 1 {name=M4
+L=2
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X}
+C {devices/lab_wire.sym} 470 -120 0 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 590 -120 0 1 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 590 -150 3 1 {name=l20 sig_type=std_logic lab=bias}
+C {devices/lab_wire.sym} 590 -90 3 0 {name=l26 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -230 0 1 {name=l27 sig_type=std_logic lab=vdd}
+C {opamp/se_folded_cascode_p.sym} 690 -420 0 0 {name=xamp
+}
diff --git a/xschem/sub/tb/regulator/ac_regulator.sch b/xschem/sub/tb/regulator/ac_regulator.sch
new file mode 100644
index 0000000..7ccd883
--- /dev/null
+++ b/xschem/sub/tb/regulator/ac_regulator.sch
@@ -0,0 +1,194 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 0 -0 -600 920 -600 920 0 0 0 {}
+N 370 -310 400 -310 { lab=vdd}
+N 370 -190 400 -190 { lab=vss}
+N 470 -500 470 -470 { lab=vref}
+N 740 -230 740 -200 { lab=vss}
+N 740 -310 740 -290 { lab=vout}
+N 560 -310 620 -310 { lab=vout}
+N 620 -310 740 -310 { lab=vout}
+N 620 -230 620 -200 { lab=A}
+N 620 -310 620 -290 { lab=vout}
+N 740 -310 810 -310 { lab=vout}
+N 810 -310 810 -290 { lab=vout}
+N 810 -230 810 -200 { lab=vss}
+N 560 -270 570 -270 { lab=A}
+N 570 -270 570 -200 { lab=A}
+N 570 -200 620 -200 { lab=A}
+N 370 -250 400 -250 { lab=vref}
+N 370 -220 400 -220 { lab=vdd}
+N 270 -410 300 -410 { lab=vdd}
+N 270 -460 270 -440 { lab=#net1}
+N 270 -380 270 -360 { lab=#net2}
+N 150 -410 230 -410 { lab=#net3}
+N 80 -410 110 -410 { lab=vdd}
+N 110 -460 110 -440 { lab=#net4}
+N 110 -380 110 -360 { lab=#net3}
+N 110 -300 110 -270 { lab=vss}
+N 110 -540 110 -520 { lab=vdd}
+N 110 -540 270 -540 { lab=vdd}
+N 270 -540 270 -520 { lab=vdd}
+N 270 -300 270 -280 { lab=#net5}
+N 270 -280 400 -280 { lab=#net5}
+N 110 -370 170 -370 { lab=#net3}
+N 170 -370 190 -370 { lab=#net3}
+N 190 -410 190 -370 { lab=#net3}
+N 650 -500 650 -470 { lab=vdd}
+N 150 -490 190 -490 { lab=#net3}
+N 190 -490 190 -410 { lab=#net3}
+N 190 -490 230 -490 { lab=#net3}
+N 270 -490 300 -490 { lab=vdd}
+N 80 -490 110 -490 { lab=vdd}
+N 560 -500 560 -470 { lab=vss}
+C {devices/lab_wire.sym} 400 -310 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 400 -190 0 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 470 -440 0 0 {name=v2 value=0.45
+}
+C {devices/lab_wire.sym} 470 -470 3 1 {name=l8 sig_type=std_logic lab=vref}
+C {regulator/regulator.sym} 340 -170 0 0 {name=xldo}
+C {devices/capa.sym} 740 -260 0 0 {name=cl1 m=1 value=1u
+}
+C {devices/lab_wire.sym} 740 -230 3 0 {name=l18 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 760 -310 0 1 {name=l22 sig_type=std_logic lab=vout}
+C {devices/vsource.sym} 620 -260 0 0 {name=v4 value="dc 0 ac 1"}
+C {devices/lab_wire.sym} 600 -200 0 0 {name=l23 sig_type=std_logic lab=A}
+C {devices/res.sym} 810 -260 0 0 {name=r2 m=1 value=1e6}
+C {devices/lab_wire.sym} 810 -230 3 0 {name=l24 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 400 -250 0 0 {name=l10 sig_type=std_logic lab=vref}
+C {devices/lab_wire.sym} 400 -220 0 0 {name=l11 sig_type=std_logic lab=vdd}
+C {devices/ammeter.sym} 270 -330 0 0 {name=vb1}
+C {devices/lab_wire.sym} 270 -410 0 1 {name=l12 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 250 -410 0 0 {name=M2
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 110 -410 0 0 {name=l16 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 130 -410 0 1 {name=M4
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/isource.sym} 110 -330 0 0 {name=i2 value=125n
+}
+C {devices/lab_wire.sym} 110 -300 3 0 {name=l21 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 210 -540 0 0 {name=l25 sig_type=std_logic lab=vdd}
+C {devices/code.sym} 30 -150 0 0 {name=NGSPICE
+only_toplevel=true
+value=".control
+.param MC_SWITCH=0
+
+save all
+op
+echo ---------------
+print vb1#branch 
+print vout
+echo ---------------
+
+ac dec 10 1 100e6
+let olg=vout/a
+let phase=180/3.14*cph(vout/a)
+plot vdb(olg) 
+plot phase
+meas ac ugbw when vdb(olg)=0
+meas ac pm find phase when vdb(olg)=0
+.endc
+" }
+C {devices/code.sym} 180 -150 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/vsource.sym} 650 -440 0 0 {name=v1 value=1.8}
+C {devices/lab_wire.sym} 650 -470 3 1 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 560 -470 3 1 {name=l4 sig_type=std_logic lab=vss}
+C {devices/gnd.sym} 560 -410 0 0 {name=l9 lab=GND}
+C {devices/vsource.sym} 560 -440 0 0 {name=v3 value=0}
+C {devices/gnd.sym} 470 -410 0 0 {name=l5 lab=GND}
+C {sky130_primitives/pfet_01v8_lvt.sym} 130 -490 0 1 {name=M1
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 250 -490 0 0 {name=M3
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 270 -490 0 1 {name=l6 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 110 -490 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 650 -410 0 0 {name=l13 lab=GND}
diff --git a/xschem/sub/tb/regulator/tr_regulator.sch b/xschem/sub/tb/regulator/tr_regulator.sch
new file mode 100644
index 0000000..7787a3d
--- /dev/null
+++ b/xschem/sub/tb/regulator/tr_regulator.sch
@@ -0,0 +1,197 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -600 920 -600 920 0 0 0 {}
+N 440 -320 470 -320 { lab=vdd}
+N 440 -200 470 -200 { lab=vss}
+N 440 -260 470 -260 { lab=vref}
+N 440 -230 470 -230 { lab=en}
+N 270 -420 300 -420 { lab=vdd}
+N 270 -470 270 -450 { lab=#net1}
+N 270 -390 270 -370 { lab=#net2}
+N 150 -420 230 -420 { lab=#net3}
+N 80 -420 110 -420 { lab=vdd}
+N 110 -470 110 -450 { lab=#net4}
+N 110 -390 110 -370 { lab=#net3}
+N 110 -310 110 -280 { lab=vss}
+N 110 -550 110 -530 { lab=vdd}
+N 110 -550 270 -550 { lab=vdd}
+N 270 -550 270 -530 { lab=vdd}
+N 270 -310 270 -290 { lab=#net5}
+N 270 -290 470 -290 { lab=#net5}
+N 110 -380 170 -380 { lab=#net3}
+N 170 -380 190 -380 { lab=#net3}
+N 190 -420 190 -380 { lab=#net3}
+N 150 -500 190 -500 { lab=#net3}
+N 190 -500 190 -420 { lab=#net3}
+N 190 -500 230 -500 { lab=#net3}
+N 270 -500 300 -500 { lab=vdd}
+N 80 -500 110 -500 { lab=vdd}
+N 740 -240 740 -210 { lab=vss}
+N 740 -320 740 -300 { lab=vout}
+N 810 -240 810 -210 { lab=vss}
+N 630 -320 630 -280 { lab=vout}
+N 470 -530 470 -500 { lab=vref}
+N 650 -530 650 -500 { lab=vdd}
+N 560 -530 560 -500 { lab=vss}
+N 740 -320 810 -320 { lab=vout}
+N 630 -320 740 -320 { lab=vout}
+N 675 -320 675 -300 { lab=vout}
+N 675 -240 675 -210 { lab=vss}
+N 380 -215 380 -185 { lab=en}
+N 380 -230 380 -215 { lab=en}
+N 380 -230 440 -230 { lab=en}
+N 810 -320 810 -300 { lab=vout}
+C {devices/lab_wire.sym} 470 -320 0 0 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -200 0 0 {name=l22 sig_type=std_logic lab=vss}
+C {regulator/regulator.sym} 410 -180 0 0 {name=xldo}
+C {devices/lab_wire.sym} 760 -320 0 1 {name=l25 sig_type=std_logic lab=vout}
+C {devices/lab_wire.sym} 470 -260 0 0 {name=l28 sig_type=std_logic lab=vref}
+C {devices/ammeter.sym} 270 -340 0 0 {name=vb1}
+C {devices/lab_wire.sym} 270 -420 0 1 {name=l30 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 250 -420 0 0 {name=M2
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 110 -420 0 0 {name=l31 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 130 -420 0 1 {name=M4
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/isource.sym} 110 -340 0 0 {name=i2 value=125n
+}
+C {devices/lab_wire.sym} 110 -310 3 0 {name=l32 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 210 -550 0 0 {name=l33 sig_type=std_logic lab=vdd}
+C {devices/code.sym} 40 -140 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval( @value )"
+value="
+.param MC_SWITCH=0
+
+*.include \\\\$::DESIGN_PATH\\\\/regulator/regulator.sp
+
+.control
+
+save all
+op
+print all
+* tran 100n 8m uic
+tran 100n 1m
+plt vout
+.endc
+" }
+C {devices/code.sym} 190 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {sky130_primitives/pfet_01v8_lvt.sym} 130 -500 0 1 {name=M5
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 250 -500 0 0 {name=M6
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 270 -500 0 1 {name=l38 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 110 -500 0 0 {name=l39 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 740 -240 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/isource.sym} 810 -270 0 0 {name=i0 value="pulse(0 50u 5m 1n 1n 100u 200u)"
+}
+C {devices/lab_wire.sym} 810 -240 3 0 {name=l11 sig_type=std_logic lab=vss}
+C {devices/capa.sym} 740 -270 0 0 {name=c0 m=1 value=1u ic=0
+}
+C {devices/vsource.sym} 470 -470 0 0 {name=v2 value=0.75
+}
+C {devices/lab_wire.sym} 470 -500 3 1 {name=l8 sig_type=std_logic lab=vref}
+C {devices/vsource.sym} 650 -470 0 0 {name=v1 value=1.8}
+C {devices/lab_wire.sym} 650 -500 3 1 {name=l1 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 560 -500 3 1 {name=l4 sig_type=std_logic lab=vss}
+C {devices/gnd.sym} 560 -440 0 0 {name=l2 lab=GND}
+C {devices/vsource.sym} 560 -470 0 0 {name=v4 value=0}
+C {devices/gnd.sym} 470 -440 0 0 {name=l5 lab=GND}
+C {devices/gnd.sym} 650 -440 0 0 {name=l13 lab=GND}
+C {devices/res.sym} 675 -270 0 0 {name=r0 m=1 value=1e3
+}
+C {devices/lab_wire.sym} 675 -240 3 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 380 -155 0 0 {name=v3 value="pulse(0 1.8 10u 1n 1n 10m 20m)"
+}
+C {devices/gnd.sym} 380 -125 0 0 {name=l7 lab=GND}
+C {devices/lab_wire.sym} 470 -230 0 0 {name=l6 sig_type=std_logic lab=en
+}
diff --git a/xschem/sub/tb/rosc/tr_rosc.sch b/xschem/sub/tb/rosc/tr_rosc.sch
new file mode 100644
index 0000000..574e7bc
--- /dev/null
+++ b/xschem/sub/tb/rosc/tr_rosc.sch
@@ -0,0 +1,120 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -460 -0 0 730 0 730 -460 0 -460 {}
+N 470 -240 470 -210 { lab=vss}
+N 560 -320 610 -320 { lab=clk}
+N 610 -320 610 -310 { lab=clk}
+N 610 -250 610 -220 { lab=vss}
+N 170 -60 170 -30 { lab=vss}
+N 440 -240 440 -210 { lab=vss}
+N 280 -270 320 -270 { lab=ibias}
+N 280 -300 320 -300 { lab=avdd}
+N 280 -330 320 -330 { lab=rst_b}
+N 170 -160 170 -120 { lab=ibias}
+N 70 -330 70 -290 { lab=dvdd}
+N 150 -330 150 -290 { lab=avdd}
+N 70 -140 70 -110 { lab=vss}
+N 470 -400 470 -360 { lab=dvdd}
+N 440 -400 440 -360 { lab=avdd}
+C {devices/lab_wire.sym} 470 -240 3 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/capa.sym} 610 -280 0 0 {name=c0 m=1 value=10f}
+C {devices/lab_wire.sym} 610 -250 3 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 600 -320 0 0 {name=l8 sig_type=std_logic lab=clk}
+C {devices/code.sym} 390 -140 0 0 {name=NGSPICE only_toplevel=false 
+format="tcleval(@value )"
+value=".options method trap
+
+.include \\\\$::DESIGN_PATH\\\\/rosc/rosc.pex.spice
+
+ven  en    0  pulse(0 1.8 1u 1n 1n 100u 200u)
+vre  rst_b 0  pulse(0 1.8 100n 1n 1n 100u 200u)
+
+.control
+.param MC_SWITCH=0
+save all
+tran 1e-9 10e-6
+run
+meas tran tclk TRIG clk val=0.5 td=7u rise=1 targ clk val=.5 td=7u rise=2
+meas tran out_max max xro.out7 from=5u
+meas tran out_min min xro.out7 from=5u
+let fclk = 1/tclk
+print out_max
+print out_min
+print fclk
+.endc
+"}
+C {devices/code.sym} 530 -140 0 0 {name=STDCELL_MODELS 
+only_toplevel=true
+place=end
+format="tcleval(@value )"
+value="[sky130_models]
+"}
+C {devices/isource.sym} 170 -90 0 0 {name=i0 value=125n
+}
+C {devices/lab_wire.sym} 170 -60 3 0 {name=l11 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 440 -240 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 320 -330 0 0 {name=l16 sig_type=std_logic lab=rst_b}
+C {devices/lab_wire.sym} 170 -160 3 0 {name=l17 sig_type=std_logic lab=ibias}
+C {devices/lab_wire.sym} 320 -270 0 0 {name=l18 sig_type=std_logic lab=ibias}
+C {rosc/rosc.sym} 340 -260 0 0 {name=xro}
+C {devices/code.sym} 250 -140 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/vsource.sym} 70 -80 0 0 {name=v2 value=0}
+C {devices/gnd.sym} 70 -50 0 0 {name=l14 lab=GND}
+C {devices/vsource.sym} 70 -260 0 0 {name=v4 value=1.2
+}
+C {devices/lab_wire.sym} 70 -330 3 0 {name=l15 sig_type=std_logic lab=dvdd}
+C {devices/vsource.sym} 150 -260 0 0 {name=vdd value=1.5
+}
+C {devices/lab_wire.sym} 150 -330 3 0 {name=l25 sig_type=std_logic lab=avdd}
+C {devices/gnd.sym} 150 -230 0 0 {name=l5 lab=GND}
+C {devices/gnd.sym} 70 -230 0 0 {name=l19 lab=GND}
+C {devices/lab_wire.sym} 70 -110 3 1 {name=l20 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 470 -360 3 1 {name=l1 sig_type=std_logic lab=dvdd}
+C {devices/lab_wire.sym} 440 -360 3 1 {name=l2 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 320 -300 0 0 {name=l3 sig_type=std_logic lab=avdd}
diff --git a/xschem/sub/tb/sar_10b/tr_buffer.sch b/xschem/sub/tb/sar_10b/tr_buffer.sch
new file mode 100644
index 0000000..52f3ba3
--- /dev/null
+++ b/xschem/sub/tb/sar_10b/tr_buffer.sch
@@ -0,0 +1,96 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -460 0 0 730 0 730 -460 0 -460 {}
+N 400 -140 400 -110 { lab=vss}
+N 170 -270 170 -240 { lab=vss}
+N 170 -350 170 -330 { lab=in}
+N 170 -350 340 -350 { lab=in}
+N 460 -350 520 -350 { lab=out}
+N 520 -350 520 -320 { lab=out}
+N 520 -260 520 -230 { lab=vss}
+N 420 -350 460 -350 { lab=out}
+N 380 -410 380 -370 { lab=vdd}
+N 380 -330 380 -300 { lab=vss}
+N 500 -140 500 -110 { lab=vdd}
+C {devices/vsource.sym} 400 -80 0 0 {name=v0 value=0}
+C {devices/vsource.sym} 500 -80 0 0 {name=v1 value=1.4
+}
+C {devices/lab_wire.sym} 500 -110 3 1 {name=l1 sig_type=std_logic lab=vdd
+}
+C {devices/gnd.sym} 400 -50 0 0 {name=l7 lab=GND}
+C {devices/code.sym} 170 -130 0 0 {name=NGSPICE only_toplevel=false 
+format="tcleval(@value )"
+value=".options method trap
+.option vntol 1e-6
+
+.control
+.param MC_SWITCH=0
+tran 1e-9 10e-6
+run
+.endc
+"}
+C {devices/vsource.sym} 170 -300 0 0 {name=v2 value="pulse(0 0.8 2u 0.1n 0.1n 500n 1u)"
+}
+C {devices/lab_wire.sym} 170 -270 3 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/code.sym} 20 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {xschem/symbols/devices/capa.sym} 520 -290 0 0 {name=CL
+m=1
+value=10f
+}
+C {devices/lab_wire.sym} 520 -260 3 0 {name=l9 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 310 -350 0 0 {name=l10 sig_type=std_logic lab=in
+}
+C {devices/lab_wire.sym} 510 -350 0 0 {name=l11 sig_type=std_logic lab=out
+}
+C {logic/buffer_lvt.sym} 490 -330 0 0 {name=xbuf
+}
+C {devices/lab_wire.sym} 380 -370 1 0 {name=l4 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 380 -330 3 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 400 -110 3 1 {name=l3 sig_type=std_logic lab=vss}
+C {devices/gnd.sym} 500 -50 0 0 {name=l2 lab=GND}
diff --git a/xschem/sub/tb/sar_10b/tr_sar.sch b/xschem/sub/tb/sar_10b/tr_sar.sch
new file mode 100644
index 0000000..6589cca
--- /dev/null
+++ b/xschem/sub/tb/sar_10b/tr_sar.sch
@@ -0,0 +1,298 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -190 470 -190 470 0 -0 -0 0 -190 {}
+P 4 5 0 0 0 -830 1080 -830 1080 0 -0 -0 {}
+N 830 -150 860 -150 {lab=avss}
+N 830 -150 830 -120 {lab=avss}
+N 610 -150 610 -120 {lab=avdd}
+N 270 -530 300 -530 {lab=vinn}
+N 270 -530 270 -500 {lab=vinn}
+N 270 -440 270 -400 {lab=GND}
+N 130 -530 130 -500 {lab=vinp}
+N 130 -440 130 -400 {lab=GND}
+N 610 -150 640 -150 {lab=avdd}
+N 660 -580 740 -580 {lab=result[9:0]}
+N 430 -490 430 -460 {lab=avss}
+N 470 -490 470 -460 {lab=dvss}
+N 430 -700 430 -670 {lab=avdd}
+N 470 -700 470 -670 {lab=dvdd}
+N 300 -530 330 -530 {lab=vinn}
+N 300 -630 330 -630 {lab=vinp}
+N 560 -370 560 -330 {lab=GND}
+N 560 -490 560 -460 {lab=clk}
+N 590 -490 590 -460 {lab=dvdd}
+N 560 -700 560 -670 {lab=cal}
+N 810 -460 810 -430 {lab=rstn}
+N 810 -370 810 -330 {lab=GND}
+N 610 -490 610 -460 {lab=rstn}
+N 130 -630 300 -630 { lab=vinp}
+N 130 -630 130 -530 { lab=vinp}
+N 560 -460 560 -430 { lab=clk}
+N 920 -150 950 -150 {lab=dvss}
+N 920 -150 920 -120 {lab=dvss}
+N 690 -150 690 -120 {lab=dvdd}
+N 690 -150 720 -150 {lab=dvdd}
+N 610 -460 810 -460 { lab=rstn}
+N 660 -550 740 -550 { lab=valid}
+N 610 -40 920 -40 { lab=GND}
+N 610 -60 610 -40 { lab=GND}
+N 690 -60 690 -40 { lab=GND}
+N 830 -60 830 -40 { lab=GND}
+N 920 -60 920 -40 { lab=GND}
+N 560 -770 560 -760 { lab=GND}
+C {devices/vsource.sym} 830 -90 0 0 {name=Vssa value=0
+}
+C {devices/vsource.sym} 610 -90 0 0 {name=Vcca value=1.5
+}
+C {devices/lab_wire.sym} 860 -150 0 0 {name=l7 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 640 -150 0 0 {name=l8 sig_type=std_logic lab=avdd
+}
+C {devices/gnd.sym} 610 -40 0 0 {name=l11 lab=GND}
+C {devices/vsource.sym} 270 -470 0 0 {name=Vinn value=vsign
+}
+C {devices/gnd.sym} 270 -400 0 0 {name=l18 lab=GND}
+C {devices/vsource.sym} 130 -470 0 0 {name=Vinp value=vsigp
+}
+C {devices/gnd.sym} 130 -400 0 0 {name=l20 lab=GND}
+C {devices/code.sym} 320 -140 0 0 {
+name=STDCELLS 
+only_toplevel=false 
+format="tcleval( @value )"
+value="
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tap/sky130_fd_sc_hd__tap_2.spice"
+}
+C {devices/code.sym} 20 -140 0 0 {
+name=NGSPICE 
+only_toplevel=false 
+format="tcleval( @value )"
+value="*-------------------------
+* normal setup
+*-------------------------
+.options method = gear
+.options gmin   = 1e-15
+.options abstol = 1e-14
+.options chtol  = 1e-18
+.options reltol = 100e-6
+*-------------------------
+
+*-------------------------
+* extracted logic setup
+*-------------------------
+*.options method = gear
+*.options gmin   = 1e-12
+*.options abstol = 1e-10
+*.options chtol  = 1e-12
+*.options reltol = 100e-3
+*-------------------------
+
+
+.ic v(xsar.vp)=0
+.ic v(xsar.vn)=0
+.ic v(xsar.outp)=0
+.ic v(xsar.outn)=0
+.ic v(xsar.comp)=0
+
+.ic v(xsar.ctlp_0_)=0
+.ic v(xsar.ctlp_1_)=0
+.ic v(xsar.ctlp_2_)=0
+.ic v(xsar.ctlp_3_)=0
+.ic v(xsar.ctlp_4_)=0
+.ic v(xsar.ctlp_5_)=0
+.ic v(xsar.ctlp_6_)=0
+.ic v(xsar.ctlp_7_)=0
+.ic v(xsar.ctlp_8_)=0
+.ic v(xsar.ctlp_9_)=0
+
+.ic v(xsar.ctln_0_)=0
+.ic v(xsar.ctln_1_)=0
+.ic v(xsar.ctln_2_)=0
+.ic v(xsar.ctln_3_)=0
+.ic v(xsar.ctln_4_)=0
+.ic v(xsar.ctln_5_)=0
+.ic v(xsar.ctln_6_)=0
+.ic v(xsar.ctln_7_)=0
+.ic v(xsar.ctln_8_)=0
+.ic v(xsar.ctln_9_)=0
+
+
+*.include \\\\$::DESIGN_PATH\\\\/switches/bootstrapped_sw.sp
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/dac/dac_mom.pex.sp
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar_mom.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar_mim.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/control/sarlogic.ext.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.pex.spice
+.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.ext.spice
+
+.param MC_SWITCH=0
+.param vin=0
+.param vcm=0.75
+.param vsigp=\\"\{vcm + vin/2\}\\"
+.param vsign=\\"\{vcm - vin/2\}\\"
+
+.tran 100e-9 68e-6 uic
+
+.control
+
+run
+
+meas tran d0 find v(xsar.xlogic.res0) at=62.5e-6
+meas tran d1 find v(xsar.xlogic.res1) at=62.5e-6
+meas tran d2 find v(xsar.xlogic.res2) at=62.5e-6
+meas tran d3 find v(xsar.xlogic.res3) at=62.5e-6
+meas tran d4 find v(xsar.xlogic.res4) at=62.5e-6
+meas tran d5 find v(xsar.xlogic.res5) at=62.5e-6
+meas tran d6 find v(xsar.xlogic.res6) at=62.5e-6
+meas tran d7 find v(xsar.xlogic.res7) at=62.5e-6
+meas tran d8 find v(xsar.xlogic.res8) at=62.5e-6
+meas tran d9 find v(xsar.xlogic.res9) at=62.5e-6
+
+meas tran vpmax max xsar.vp
+meas tran vpmin min xsar.vp
+meas tran vpend find v(xsar.vp) at=62.5e-6
+
+meas tran vnmax max xsar.vn
+meas tran vnmin min xsar.vn
+meas tran vnend find v(xsar.vn) at=62.5e-6
+
+meas tran i_inp_max max(i_inp_abs)
+
+* Current measurements
+let i_inp_abs  = abs(vinp#branch)
+let i_inn_abs  = abs(vinn#branch)
+let i_vcca_abs = abs(vcca#branch)
+let i_vccd_abs = abs(vccd#branch)
+
+meas tran i_inp_max  max i_inp_abs   from=1u
+meas tran i_inn_max  max i_inn_abs   from=1u
+meas tran i_vcca_max max i_vcca_abs  from=1u
+meas tran i_vccd_max max i_vccd_abs  from=1u
+
+
+print i_inp_max 
+print i_inn_max 
+print i_vcca_max
+print i_vccd_max
+
+print d0
+print d1
+print d2
+print d3
+print d4
+print d5
+print d6
+print d7
+print d8
+print d9
+
+print vpmax
+print vpmin
+
+print vnmax
+print vnmin
+
+print vpend
+print vnend
+
+echo Simulation Finished
+echo -------------------
+shell date
+echo -------------------
+
+.endc
+"}
+C {devices/lab_wire.sym} 660 -580 0 1 {name=l15 sig_type=std_logic lab=result[9:0]
+}
+C {devices/lab_wire.sym} 430 -490 3 0 {name=l16 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 470 -490 3 0 {name=l23 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 430 -670 3 1 {name=l24 sig_type=std_logic lab=avdd
+}
+C {devices/lab_wire.sym} 470 -670 3 1 {name=l25 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 330 -530 0 0 {name=l27 sig_type=std_logic lab=vinn}
+C {devices/lab_wire.sym} 330 -630 0 0 {name=l28 sig_type=std_logic lab=vinp}
+C {devices/vsource.sym} 560 -400 0 0 {name=Vclk value="PULSE(0 1 10e-6 1e-9 1e-9 2e-6 4e-6)"
+}
+C {devices/gnd.sym} 560 -330 0 0 {name=l32 lab=GND}
+C {devices/lab_wire.sym} 560 -490 3 0 {name=l33 sig_type=std_logic lab=clk}
+C {devices/lab_wire.sym} 590 -490 3 0 {name=l37 sig_type=std_logic lab=dvdd
+}
+C {devices/code.sym} 170 -140 0 0 {
+name=CORNERS 
+only_toplevel=true 
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_all.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_all.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_all.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 660 -550 0 1 {name=l38 sig_type=std_logic lab=valid}
+C {devices/noconn.sym} 740 -550 2 0 {name=l39}
+C {devices/vsource.sym} 560 -730 2 0 {name=Vcal value=0
+}
+C {devices/gnd.sym} 560 -770 2 0 {name=l43 lab=GND}
+C {devices/lab_wire.sym} 560 -670 3 1 {name=l44 sig_type=std_logic lab=cal}
+C {devices/noconn.sym} 740 -580 2 0 {name=l49[7:0]}
+C {sar_10b/sar/sar.sym} 610 -400 0 0 {name=xsar}
+C {devices/gnd.sym} 810 -330 0 0 {name=l6 lab=GND}
+C {devices/lab_wire.sym} 610 -490 3 0 {name=l9 sig_type=std_logic lab=rstn}
+C {devices/vsource.sym} 810 -400 0 0 {name=Vrstn value="PULSE(0 1.2 10e-6 1e-9 1e-9 99e-6 100e-6)"
+}
+C {devices/vsource.sym} 920 -90 0 0 {name=Vssd value=0
+}
+C {devices/vsource.sym} 690 -90 0 0 {name=Vccd value=1.2
+}
+C {devices/lab_wire.sym} 950 -150 0 0 {name=l1 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 720 -150 0 0 {name=l2 sig_type=std_logic lab=dvdd
+}
diff --git a/xschem/sub/tb/sar_10b/tr_sar_reg.sch b/xschem/sub/tb/sar_10b/tr_sar_reg.sch
new file mode 100644
index 0000000..e6c614f
--- /dev/null
+++ b/xschem/sub/tb/sar_10b/tr_sar_reg.sch
@@ -0,0 +1,486 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -190 470 -190 470 0 -0 -0 0 -190 {}
+P 4 5 0 0 0 -830 1080 -830 1080 0 0 0 {}
+P 4 5 1080 -830 1800 -830 1800 -0 1080 0 1080 -830 {}
+P 4 5 470 -190 1080 -190 1080 -0 470 -0 470 -190 {}
+N 830 -150 860 -150 {lab=avss}
+N 830 -150 830 -120 {lab=avss}
+N 270 -530 300 -530 {lab=vinn}
+N 270 -530 270 -500 {lab=vinn}
+N 270 -440 270 -400 {lab=GND}
+N 130 -530 130 -500 {lab=vinp}
+N 130 -440 130 -400 {lab=GND}
+N 660 -580 740 -580 {lab=result[9:0]}
+N 430 -490 430 -460 {lab=avss}
+N 470 -490 470 -460 {lab=dvss}
+N 430 -700 430 -670 {lab=avdd}
+N 470 -700 470 -670 {lab=dvdd}
+N 300 -530 330 -530 {lab=vinn}
+N 300 -630 330 -630 {lab=vinp}
+N 560 -370 560 -330 {lab=GND}
+N 560 -490 560 -460 {lab=clk}
+N 590 -490 590 -460 {lab=dvdd}
+N 560 -700 560 -670 {lab=cal}
+N 810 -460 810 -430 {lab=rstn}
+N 810 -370 810 -330 {lab=GND}
+N 610 -490 610 -460 {lab=rstn}
+N 130 -630 300 -630 { lab=vinp}
+N 130 -630 130 -530 { lab=vinp}
+N 560 -460 560 -430 { lab=clk}
+N 920 -150 950 -150 {lab=dvss}
+N 920 -150 920 -120 {lab=dvss}
+N 610 -460 810 -460 { lab=rstn}
+N 660 -550 740 -550 { lab=valid}
+N 610 -40 920 -40 { lab=GND}
+N 830 -60 830 -40 { lab=GND}
+N 920 -60 920 -40 { lab=GND}
+N 560 -770 560 -760 { lab=GND}
+N 1380 -210 1410 -210 { lab=vdd}
+N 1380 -260 1380 -240 { lab=#net1}
+N 1260 -210 1340 -210 { lab=#net2}
+N 1190 -210 1220 -210 { lab=vdd}
+N 1220 -260 1220 -240 { lab=#net3}
+N 1220 -180 1220 -160 { lab=#net2}
+N 1220 -100 1220 -70 { lab=vss}
+N 1220 -340 1220 -320 { lab=vdd}
+N 1220 -340 1380 -340 { lab=vdd}
+N 1380 -340 1380 -320 { lab=vdd}
+N 1220 -170 1280 -170 { lab=#net2}
+N 1280 -170 1300 -170 { lab=#net2}
+N 1300 -210 1300 -170 { lab=#net2}
+N 1260 -290 1300 -290 { lab=#net2}
+N 1300 -290 1300 -210 { lab=#net2}
+N 1300 -290 1340 -290 { lab=#net2}
+N 1380 -290 1410 -290 { lab=vdd}
+N 1190 -290 1220 -290 { lab=vdd}
+N 1380 -180 1380 -140 { lab=#net4}
+N 1380 -140 1460 -140 { lab=#net4}
+N 1380 -110 1380 -100 { lab=#net5}
+N 1380 -110 1460 -110 { lab=#net5}
+N 1430 -50 1460 -50 { lab=avss}
+N 1430 -80 1460 -80 { lab=vdd}
+N 1430 -170 1460 -170 { lab=vdd}
+N 1620 -170 1620 -130 { lab=avdd}
+N 1620 -170 1660 -170 { lab=avdd}
+N 740 -60 740 -40 { lab=GND}
+N 1380 -620 1410 -620 { lab=vdd}
+N 1380 -670 1380 -650 { lab=#net6}
+N 1260 -620 1340 -620 { lab=#net7}
+N 1190 -620 1220 -620 { lab=vdd}
+N 1220 -670 1220 -650 { lab=#net8}
+N 1220 -590 1220 -570 { lab=#net7}
+N 1220 -510 1220 -480 { lab=vss}
+N 1220 -750 1220 -730 { lab=vdd}
+N 1220 -750 1380 -750 { lab=vdd}
+N 1380 -750 1380 -730 { lab=vdd}
+N 1220 -580 1280 -580 { lab=#net7}
+N 1280 -580 1300 -580 { lab=#net7}
+N 1300 -620 1300 -580 { lab=#net7}
+N 1260 -700 1300 -700 { lab=#net7}
+N 1300 -700 1300 -620 { lab=#net7}
+N 1300 -700 1340 -700 { lab=#net7}
+N 1380 -700 1410 -700 { lab=vdd}
+N 1190 -700 1220 -700 { lab=vdd}
+N 1380 -590 1380 -540 { lab=#net9}
+N 1380 -540 1460 -540 { lab=#net9}
+N 1380 -510 1380 -500 { lab=#net10}
+N 1380 -510 1460 -510 { lab=#net10}
+N 1430 -450 1460 -450 { lab=dvss}
+N 1430 -480 1460 -480 { lab=vdd}
+N 1430 -570 1460 -570 { lab=vdd}
+N 1620 -570 1620 -530 { lab=dvdd}
+N 1620 -570 1660 -570 { lab=dvdd}
+N 740 -150 770 -150 {lab=vdd}
+N 740 -150 740 -120 {lab=vdd}
+N 1660 -70 1660 -40 { lab=avss}
+N 1660 -170 1660 -130 { lab=avdd}
+N 1660 -470 1660 -440 { lab=dvss}
+N 1660 -570 1660 -530 { lab=dvdd}
+N 1380 -40 1380 -10 { lab=vss}
+N 1380 -440 1380 -410 { lab=vss}
+C {devices/vsource.sym} 830 -90 0 0 {name=Vssa value=0
+}
+C {devices/lab_wire.sym} 860 -150 0 0 {name=l7 sig_type=std_logic lab=avss
+}
+C {devices/gnd.sym} 610 -40 0 0 {name=l11 lab=GND}
+C {devices/vsource.sym} 270 -470 0 0 {name=Vinn value=vsign
+}
+C {devices/gnd.sym} 270 -400 0 0 {name=l18 lab=GND}
+C {devices/vsource.sym} 130 -470 0 0 {name=Vinp value=vsigp
+}
+C {devices/gnd.sym} 130 -400 0 0 {name=l20 lab=GND}
+C {devices/code.sym} 320 -140 0 0 {
+name=STDCELLS 
+only_toplevel=false 
+format="tcleval( @value )"
+value="
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tap/sky130_fd_sc_hd__tap_2.spice"
+}
+C {devices/code.sym} 20 -140 0 0 {
+name=NGSPICE 
+only_toplevel=false 
+format="tcleval( @value )"
+value="*-------------------------
+* normal setup
+*-------------------------
+.options method = gear
+.options gmin   = 1e-15
+.options abstol = 1e-14
+.options chtol  = 1e-18
+.options reltol = 100e-6
+*-------------------------
+
+*-------------------------
+* extracted logic setup
+*-------------------------
+*.options method = gear
+*.options gmin   = 1e-12
+*.options abstol = 1e-10
+*.options chtol  = 1e-12
+*.options reltol = 100e-3
+*-------------------------
+
+
+.ic v(xsar.vp)=0
+.ic v(xsar.vn)=0
+.ic v(xsar.outp)=0
+.ic v(xsar.outn)=0
+.ic v(xsar.comp)=0
+
+.ic v(xsar.ctlp_0_)=0
+.ic v(xsar.ctlp_1_)=0
+.ic v(xsar.ctlp_2_)=0
+.ic v(xsar.ctlp_3_)=0
+.ic v(xsar.ctlp_4_)=0
+.ic v(xsar.ctlp_5_)=0
+.ic v(xsar.ctlp_6_)=0
+.ic v(xsar.ctlp_7_)=0
+.ic v(xsar.ctlp_8_)=0
+.ic v(xsar.ctlp_9_)=0
+
+.ic v(xsar.ctln_0_)=0
+.ic v(xsar.ctln_1_)=0
+.ic v(xsar.ctln_2_)=0
+.ic v(xsar.ctln_3_)=0
+.ic v(xsar.ctln_4_)=0
+.ic v(xsar.ctln_5_)=0
+.ic v(xsar.ctln_6_)=0
+.ic v(xsar.ctln_7_)=0
+.ic v(xsar.ctln_8_)=0
+.ic v(xsar.ctln_9_)=0
+
+*.include \\\\$::DESIGN_PATH\\\\/switches/bootstrapped_sw.sp
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/dac/dac_mom.pex.sp
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar_mom.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar_mim.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/control/sarlogic.ext.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.pex.spice
+*.include \\\\$::DESIGN_PATH\\\\/sar_10b/sar/sar.ext.spice
+
+.param MC_SWITCH=0
+.param vin=0
+.param vcm=0.7
+.param vsigp=\\"\{vcm + vin/2\}\\"
+.param vsign=\\"\{vcm - vin/2\}\\"
+
+.tran 100e-9 68e-6 uic
+
+.control
+
+run
+
+meas tran d0 find v(xsar.xlogic.res0) at=62.5e-6
+meas tran d1 find v(xsar.xlogic.res1) at=62.5e-6
+meas tran d2 find v(xsar.xlogic.res2) at=62.5e-6
+meas tran d3 find v(xsar.xlogic.res3) at=62.5e-6
+meas tran d4 find v(xsar.xlogic.res4) at=62.5e-6
+meas tran d5 find v(xsar.xlogic.res5) at=62.5e-6
+meas tran d6 find v(xsar.xlogic.res6) at=62.5e-6
+meas tran d7 find v(xsar.xlogic.res7) at=62.5e-6
+meas tran d8 find v(xsar.xlogic.res8) at=62.5e-6
+meas tran d9 find v(xsar.xlogic.res9) at=62.5e-6
+
+meas tran vpmax max xsar.vp
+meas tran vpmin min xsar.vp
+meas tran vpend find v(xsar.vp) at=62.5e-6
+
+meas tran vnmax max xsar.vn
+meas tran vnmin min xsar.vn
+meas tran vnend find v(xsar.vn) at=62.5e-6
+
+print d0
+print d1
+print d2
+print d3
+print d4
+print d5
+print d6
+print d7
+print d8
+print d9
+
+print vpmax
+print vpmin
+
+print vnmax
+print vnmin
+
+print vpend
+print vnend
+
+echo Simulation Finished
+echo -------------------
+shell date
+echo -------------------
+
+.endc
+"}
+C {devices/lab_wire.sym} 660 -580 0 1 {name=l15 sig_type=std_logic lab=result[9:0]
+}
+C {devices/lab_wire.sym} 430 -490 3 0 {name=l16 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 470 -490 3 0 {name=l23 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 430 -670 3 1 {name=l24 sig_type=std_logic lab=avdd
+}
+C {devices/lab_wire.sym} 470 -670 3 1 {name=l25 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 330 -530 0 0 {name=l27 sig_type=std_logic lab=vinn}
+C {devices/lab_wire.sym} 330 -630 0 0 {name=l28 sig_type=std_logic lab=vinp}
+C {devices/vsource.sym} 560 -400 0 0 {name=Vclk value="PULSE(0 1 10e-6 1e-9 1e-9 2e-6 4e-6)"
+}
+C {devices/gnd.sym} 560 -330 0 0 {name=l32 lab=GND}
+C {devices/lab_wire.sym} 560 -490 3 0 {name=l33 sig_type=std_logic lab=clk}
+C {devices/lab_wire.sym} 590 -490 3 0 {name=l37 sig_type=std_logic lab=dvdd
+}
+C {devices/code.sym} 170 -140 0 0 {
+name=CORNERS 
+only_toplevel=true 
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_all.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_all.spice
+.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_all.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 660 -550 0 1 {name=l38 sig_type=std_logic lab=valid}
+C {devices/noconn.sym} 740 -550 2 0 {name=l39}
+C {devices/vsource.sym} 560 -730 2 0 {name=Vcal value=0
+}
+C {devices/gnd.sym} 560 -770 2 0 {name=l43 lab=GND}
+C {devices/lab_wire.sym} 560 -670 3 1 {name=l44 sig_type=std_logic lab=cal}
+C {devices/noconn.sym} 740 -580 2 0 {name=l49[7:0]}
+C {sar_10b/sar/sar.sym} 610 -400 0 0 {name=xsar}
+C {devices/gnd.sym} 810 -330 0 0 {name=l6 lab=GND}
+C {devices/lab_wire.sym} 610 -490 3 0 {name=l9 sig_type=std_logic lab=rstn}
+C {devices/vsource.sym} 810 -400 0 0 {name=Vrstn value="PULSE(0 1.2 10e-6 1e-9 1e-9 99e-6 100e-6)"
+}
+C {devices/vsource.sym} 920 -90 0 0 {name=Vssd value=0
+}
+C {devices/lab_wire.sym} 950 -150 0 0 {name=l1 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1380 -210 0 1 {name=l30 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1360 -210 0 0 {name=M2
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1220 -210 0 0 {name=l31 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1240 -210 0 1 {name=M4
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/isource.sym} 1220 -130 0 0 {name=i2 value=125n
+}
+C {devices/lab_wire.sym} 1220 -100 3 0 {name=l3 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1320 -340 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1240 -290 0 1 {name=M5
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1360 -290 0 0 {name=M6
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1380 -290 0 1 {name=l5 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1220 -290 0 0 {name=l10 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 1380 -70 0 0 {name=v2 value=0.75
+}
+C {devices/vsource.sym} 740 -90 0 0 {name=v1 value=1.8}
+C {regulator/regulator.sym} 1400 -30 0 0 {name=xrega
+}
+C {devices/lab_wire.sym} 1460 -50 0 0 {name=l12 sig_type=std_logic lab=avss
+}
+C {devices/lab_wire.sym} 1460 -80 0 0 {name=l22 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 1460 -170 0 0 {name=l26 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 1660 -170 0 0 {name=l8 sig_type=std_logic lab=avdd
+}
+C {devices/lab_wire.sym} 1380 -620 0 1 {name=l2 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1360 -620 0 0 {name=M1
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1220 -620 0 0 {name=l14 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1240 -620 0 1 {name=M3
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/isource.sym} 1220 -540 0 0 {name=i1 value=125n
+}
+C {devices/lab_wire.sym} 1220 -510 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1320 -750 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1240 -700 0 1 {name=M7
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8_lvt.sym} 1360 -700 0 0 {name=M8
+L=2
+W=0.5
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X}
+C {devices/lab_wire.sym} 1380 -700 0 1 {name=l29 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1220 -700 0 0 {name=l34 sig_type=std_logic lab=vdd}
+C {devices/vsource.sym} 1380 -470 0 0 {name=v3 value=0.6
+}
+C {regulator/regulator.sym} 1400 -430 0 0 {name=xregd
+}
+C {devices/lab_wire.sym} 1460 -450 0 0 {name=l36 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1460 -480 0 0 {name=l40 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 1460 -570 0 0 {name=l41 sig_type=std_logic lab=vdd
+}
+C {devices/lab_wire.sym} 1660 -570 0 0 {name=l42 sig_type=std_logic lab=dvdd
+}
+C {devices/lab_wire.sym} 770 -150 0 0 {name=l13 sig_type=std_logic lab=vdd
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_2.sym} 1660 -100 0 0 {name=C1[40:0] model=cap_mim_m3_2 W=30 L=30 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1660 -70 3 0 {name=l45 sig_type=std_logic lab=avss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_2.sym} 1660 -500 0 0 {name=C2[39:0] model=cap_mim_m3_2 W=30 L=30 MF=1 spiceprefix=X
+}
+C {devices/lab_wire.sym} 1660 -470 3 0 {name=l47 sig_type=std_logic lab=dvss
+}
+C {devices/lab_wire.sym} 1380 -40 3 0 {name=l19 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 1380 -440 3 0 {name=l35 sig_type=std_logic lab=vss}
diff --git a/xschem/sub/tb/sar_10b/tr_sarlogic.sch b/xschem/sub/tb/sar_10b/tr_sarlogic.sch
new file mode 100644
index 0000000..3aac7f0
--- /dev/null
+++ b/xschem/sub/tb/sar_10b/tr_sarlogic.sch
@@ -0,0 +1,237 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 -0 -0 -660 1200 -660 1200 0 0 -0 {}
+N 60 -390 90 -390 {lab=vss}
+N 60 -390 60 -360 {lab=vss}
+N 60 -590 60 -560 {lab=vdd}
+N 60 -500 60 -460 {lab=GND}
+N 60 -300 60 -260 {lab=GND}
+N 60 -590 90 -590 {lab=vdd}
+N 850 -200 880 -200 {lab=clk}
+N 850 -200 850 -170 {lab=clk}
+N 850 -110 850 -70 {lab=GND}
+N 650 -200 680 -200 {lab=cal}
+N 650 -200 650 -170 {lab=cal}
+N 650 -110 650 -70 {lab=GND}
+N 850 -400 850 -370 {lab=rstn}
+N 850 -310 850 -270 {lab=GND}
+N 850 -400 880 -400 {lab=rstn}
+N 550 -200 580 -200 {lab=en}
+N 550 -200 550 -170 {lab=en}
+N 550 -110 550 -70 {lab=GND}
+N 850 -590 850 -560 {lab=comp}
+N 850 -500 850 -460 {lab=GND}
+N 850 -590 880 -590 {lab=comp}
+N 270 -440 330 -440 { lab=clkc}
+N 270 -420 330 -420 { lab=ctlp[9:0]}
+N 270 -400 330 -400 { lab=ctln[9:0]}
+N 270 -380 330 -380 { lab=sample}
+N 270 -350 330 -350 { lab=result[9:0]}
+N 270 -330 330 -330 { lab=valid}
+N 270 -470 330 -470 { lab=trim[4:0]}
+N 270 -490 330 -490 { lab=trimb[4:0]}
+C {devices/vsource.sym} 60 -330 0 0 {name=V1 value=0}
+C {devices/vsource.sym} 60 -530 0 0 {name=V2 value=\{vdd\}
+}
+C {devices/lab_wire.sym} 90 -390 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 90 -590 0 0 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 60 -460 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 60 -260 0 0 {name=l12 lab=GND}
+C {devices/code.sym} 340 -170 0 0 {
+name=STDCELLS 
+only_toplevel=false 
+format="tcleval( @value )"
+value="
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tap/sky130_fd_sc_hd__tap_2.spice"
+}
+C {devices/code.sym} 40 -170 0 0 {
+name=NGSPICE 
+only_toplevel=false 
+format="tcleval( @value )"
+value="*.options method = trap
+*.options gmin   = 1e-12
+*.options abstol = 1e-8
+*.options chtol  = 1e-18
+*.options reltol = 0.01
+*.options vntol  = 0.1e-6
+
+*.options rshunt = 10e-12
+
+.param MC_SWITCH=0
+
+.ic v(valid)=0
+.ic v(sample)=0
+.ic v(clkc)=0
+
+.ic v(trim_0_)=0
+.ic v(trim_1_)=0
+.ic v(trim_2_)=0
+.ic v(trim_3_)=0
+.ic v(trim_4_)=0
+.ic v(trimb_0_)=0
+.ic v(trimb_1_)=0
+.ic v(trimb_2_)=0
+.ic v(trimb_3_)=0
+.ic v(trimb_4_)=0
+
+
+.ic v(result_0_)=0
+.ic v(result_1_)=0
+.ic v(result_2_)=0
+.ic v(result_3_)=0
+.ic v(result_4_)=0
+.ic v(result_5_)=0
+.ic v(result_6_)=0
+.ic v(result_7_)=0
+.ic v(result_8_)=0
+.ic v(result_9_)=0
+
+.ic v(ctlp_0_)=0
+.ic v(ctlp_1_)=0
+.ic v(ctlp_2_)=0
+.ic v(ctlp_3_)=0
+.ic v(ctlp_4_)=0
+.ic v(ctlp_5_)=0
+.ic v(ctlp_6_)=0
+.ic v(ctlp_7_)=0
+.ic v(ctlp_8_)=0
+.ic v(ctlp_9_)=0
+
+.ic v(ctln_0_)=0
+.ic v(ctln_1_)=0
+.ic v(ctln_2_)=0
+.ic v(ctln_3_)=0
+.ic v(ctln_4_)=0
+.ic v(ctln_5_)=0
+.ic v(ctln_6_)=0
+.ic v(ctln_7_)=0
+.ic v(ctln_8_)=0
+.ic v(ctln_9_)=0
+
+.include \\\\$::DESIGN_PATH\\\\/sar_10b/control/sarlogic.ext.spice
+
+.param vdd=1.2
+
+*.tran 100e-9 68e-6
+.tran 100e-9 68e-6 uic
+
+.control
+run
+
+echo Simulation Finished
+echo -------------------
+shell date
+echo -------------------
+
+.endc
+"}
+C {devices/vsource.sym} 850 -140 0 0 {name=Vclk value="DC 0 PULSE(0 1 10e-6 1e-9 1e-9 2e-6 4e-6)"
+}
+C {devices/lab_wire.sym} 880 -200 0 0 {name=l31 sig_type=std_logic lab=clk}
+C {devices/gnd.sym} 850 -70 0 0 {name=l32 lab=GND}
+C {devices/code.sym} 190 -170 0 0 {
+name=CORNERS 
+only_toplevel=true 
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice"}
+C {devices/vsource.sym} 650 -140 0 0 {name=V3 value=0}
+C {devices/lab_wire.sym} 680 -200 0 0 {name=l40 sig_type=std_logic lab=cal}
+C {devices/gnd.sym} 650 -70 0 0 {name=l43 lab=GND}
+C {devices/lab_wire.sym} 880 -400 0 0 {name=l5 sig_type=std_logic lab=rstn}
+C {devices/gnd.sym} 850 -270 0 0 {name=l6 lab=GND}
+C {devices/vsource.sym} 850 -340 0 0 {name=Vrstn value="DC 0 PULSE(0 1.2 10e-6 1e-9 1e-9 99e-6 100e-6)"
+}
+C {sar_10b/control/sarlogic.sym} 330 -310 0 0 {name=xlogic
+}
+C {xschem/symbols/devices/noconn.sym} 270 -490 0 0 {name=l1[4:0]
+}
+C {xschem/symbols/devices/noconn.sym} 270 -470 0 0 {name=l2[4:0]
+}
+C {devices/lab_wire.sym} 330 -440 0 0 {name=l13 lab=clkc}
+C {devices/lab_pin.sym} 630 -490 0 1 {name=p2 lab=comp}
+C {devices/lab_pin.sym} 630 -450 0 1 {name=p3 lab=cal}
+C {devices/lab_pin.sym} 630 -430 0 1 {name=p4 lab=en}
+C {devices/lab_wire.sym} 330 -420 0 0 {name=l14 lab=ctlp[9:0]}
+C {devices/lab_pin.sym} 630 -400 0 1 {name=p6 lab=clk}
+C {devices/lab_wire.sym} 330 -350 0 0 {name=l17 lab=result[9:0]}
+C {devices/lab_wire.sym} 330 -400 0 0 {name=l15 lab=ctln[9:0]}
+C {devices/lab_wire.sym} 330 -330 0 0 {name=l18 lab=valid}
+C {devices/lab_pin.sym} 630 -380 0 1 {name=p10 lab=rstn}
+C {devices/lab_wire.sym} 330 -380 0 0 {name=l16 lab=sample}
+C {devices/lab_pin.sym} 630 -350 0 1 {name=p12 lab=vdd
+}
+C {devices/lab_pin.sym} 630 -330 0 1 {name=p13 lab=vss
+}
+C {devices/vsource.sym} 550 -140 0 0 {name=V4 value=\{vdd\}
+}
+C {devices/lab_wire.sym} 580 -200 0 0 {name=l1 sig_type=std_logic lab=en
+}
+C {devices/gnd.sym} 550 -70 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 880 -590 0 0 {name=l3 sig_type=std_logic lab=comp
+}
+C {devices/gnd.sym} 850 -460 0 0 {name=l4 lab=GND}
+C {devices/vsource.sym} 850 -530 0 0 {name=Vcomp value="DC 0 PULSE(0 \{vdd\} 40e-6 1e-9 1e-9 99e-6 100e-6)"
+}
+C {xschem/symbols/devices/noconn.sym} 270 -440 0 0 {name=l9
+}
+C {xschem/symbols/devices/noconn.sym} 270 -420 0 0 {name=l10[9:0]
+}
+C {xschem/symbols/devices/noconn.sym} 270 -400 0 0 {name=l1[9:0]
+}
+C {xschem/symbols/devices/noconn.sym} 270 -380 0 0 {name=l10
+}
+C {xschem/symbols/devices/noconn.sym} 270 -350 0 0 {name=l2[9:0]
+}
+C {xschem/symbols/devices/noconn.sym} 270 -330 0 0 {name=l19
+}
+C {devices/lab_wire.sym} 330 -490 0 0 {name=l20 lab=trimb[4:0]
+}
+C {devices/lab_wire.sym} 330 -470 0 0 {name=l21 lab=trim[4:0]
+}
diff --git a/xschem/sub/tb/switches/tr_bootstrapped_sw.sch b/xschem/sub/tb/switches/tr_bootstrapped_sw.sch
new file mode 100644
index 0000000..acd668c
--- /dev/null
+++ b/xschem/sub/tb/switches/tr_bootstrapped_sw.sch
@@ -0,0 +1,156 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 0 0 -500 680 -500 680 -0 0 0 {}
+N 490 -180 520 -180 {lab=vss}
+N 490 -180 490 -150 {lab=vss}
+N 410 -180 410 -150 {lab=vdd}
+N 410 -90 410 -50 {lab=GND}
+N 490 -90 490 -50 {lab=GND}
+N 410 -180 440 -180 {lab=vdd}
+N 420 -420 450 -420 {lab=en}
+N 420 -420 420 -390 {lab=en}
+N 420 -330 420 -290 {lab=GND}
+N 180 -460 180 -440 { lab=en}
+N 300 -330 300 -310 { lab=GND}
+N 300 -410 300 -390 { lab=vout}
+N 60 -400 60 -380 { lab=vin}
+N 60 -320 60 -310 { lab=GND}
+N 60 -410 60 -400 { lab=vin}
+N 60 -410 130 -410 { lab=vin}
+N 230 -410 300 -410 { lab=vout}
+C {devices/vsource.sym} 490 -120 0 0 {name=V1 value=0}
+C {devices/vsource.sym} 410 -120 0 0 {name=V2 value=1.4}
+C {devices/lab_wire.sym} 520 -180 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 440 -180 0 0 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 410 -50 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 490 -50 0 0 {name=l12 lab=GND}
+C {devices/code.sym} 30 -140 0 0 {
+name=NGSPICE 
+only_toplevel=false 
+format="tcleval( @value )"
+value="*.options method trap
+*.options gmin 1e-15
+*.options abstol 1e-15
+*.options reltol 0.0001
+*.options vntol 0.1e-6
+*
+.include \\\\$::DESIGN_PATH\\\\/switches/bootstrapped_sw.sp
+
+.param vin=0.7
+.param MC_SWITCH=0
+
+*.tran 100e-9 4e-6
+.temp 85
+
+.control
+save all
+tran 100e-9 16e-6 uic
+run
+
+
+* settle values
+meas tran vset find v(vout) at=3.99e-6
+meas tran vg_end find v(xsw.vg) at=3.99e-6
+meas tran vin_end find v(vin) at=3.99e-6
+
+let vgs_end=vg_end-vin_end
+
+* max values
+meas tran vg_max max xsw.vg
+meas tran vs_max max xsw.vs
+meas tran vbsl_max max xsw.vbsl
+meas tran vbsh_max max xsw.vbsh
+
+* min values
+meas tran vg_min min xsw.vg
+meas tran vs_min min xsw.vs
+meas tran vbsl_min min xsw.vbsl
+meas tran vbsh_min min xsw.vbsh
+
+
+* print results
+print vset
+print vgs_end
+
+print vg_max
+print vs_max
+print vbsl_max
+print vbsh_max
+print vg_min
+print vs_min
+print vbsl_min
+print vbsh_min
+
+.endc
+"}
+C {devices/vsource.sym} 420 -360 0 0 {name=Vclk value="PULSE(1 0 1e-9 1e-9 1e-9 2e-6 4e-6)"
+}
+C {devices/lab_wire.sym} 450 -420 0 0 {name=l31 sig_type=std_logic lab=en
+}
+C {devices/gnd.sym} 420 -290 0 0 {name=l32 lab=GND}
+C {devices/code.sym} 180 -140 0 0 {
+name=CORNERS 
+only_toplevel=true 
+format="tcleval( @value )"
+spice_ignore="tcleval($cmdline_ignore)"
+value="* FET CORNERS
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {xschem/symbols/devices/capa.sym} 300 -360 0 0 {name=C1
+m=1
+value=10p
+ic=0
+}
+C {devices/lab_wire.sym} 160 -390 0 0 {name=l1 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 160 -430 2 1 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 180 -440 3 1 {name=l3 sig_type=std_logic lab=en
+}
+C {devices/vsource.sym} 60 -350 0 0 {name=V3 value=vin
+}
+C {devices/gnd.sym} 60 -310 0 0 {name=l5 lab=GND}
+C {devices/gnd.sym} 300 -310 0 0 {name=l4 lab=GND}
+C {devices/lab_wire.sym} 280 -410 0 0 {name=l6 sig_type=std_logic lab=vout
+}
+C {devices/lab_wire.sym} 110 -410 0 0 {name=l9 sig_type=std_logic lab=vin
+}
+C {switches/bootstrapped_sw.sym} 120 -380 0 0 {name=xsw
+}
diff --git a/xschem/sub/tb/testbuffer/tr_testbuffer.sch b/xschem/sub/tb/testbuffer/tr_testbuffer.sch
new file mode 100644
index 0000000..e61106f
--- /dev/null
+++ b/xschem/sub/tb/testbuffer/tr_testbuffer.sch
@@ -0,0 +1,201 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 -0 0 0 -730 1260 -730 1260 0 -0 -0 {}
+N 1080 -580 1080 -560 { lab=vss}
+N 1080 -580 1110 -580 { lab=vss}
+N 1080 -500 1080 -470 { lab=GND}
+N 980 -580 980 -560 { lab=vdd}
+N 980 -580 1010 -580 { lab=vdd}
+N 980 -500 980 -470 { lab=GND}
+N 100 -290 100 -260 { lab=GND}
+N 790 -580 830 -580 { lab=vdd}
+N 790 -650 790 -610 { lab=vdd}
+N 690 -580 750 -580 { lab=vb}
+N 610 -580 650 -580 { lab=vdd}
+N 650 -650 650 -610 { lab=vdd}
+N 720 -580 720 -530 { lab=vb}
+N 650 -530 720 -530 { lab=vb}
+N 650 -550 650 -530 { lab=vb}
+N 650 -530 650 -510 { lab=vb}
+N 650 -450 650 -420 { lab=vss}
+N 650 -650 790 -650 { lab=vdd}
+N 790 -550 790 -540 { lab=bias}
+N 1040 -290 1040 -260 { lab=out}
+N 960 -290 1040 -290 { lab=out}
+N 100 -450 100 -430 { lab=#net1}
+N 180 -510 230 -510 { lab=vsine}
+N 1040 -290 1120 -290 { lab=out}
+N 1120 -290 1120 -260 { lab=out}
+N 100 -360 150 -360 { lab=vcm}
+N 790 -540 790 -450 { lab=bias}
+N 870 -290 960 -290 { lab=out}
+N 790 -450 790 -340 { lab=bias}
+N 820 -370 820 -340 { lab=vss}
+N 630 -250 720 -250 { lab=vss,ctl1,ctl0}
+N 500 -290 720 -290 { lab=vcm,vdd,vdd,vdd,vdd,vsine2,vsine}
+N 760 -370 760 -340 { lab=vdd}
+N 320 -70 320 -40 { lab=GND}
+N 320 -150 320 -130 { lab=ctl0}
+N 320 -150 370 -150 { lab=ctl0}
+N 260 -450 260 -430 { lab=#net2}
+N 350 -450 400 -450 { lab=vsine2}
+N 150 -360 260 -360 { lab=vcm}
+N 100 -370 100 -360 { lab=vcm}
+N 100 -360 100 -350 { lab=vcm}
+N 260 -370 260 -360 { lab=vcm}
+N 580 -70 580 -40 { lab=GND}
+N 580 -150 580 -130 { lab=ctl1}
+N 580 -150 630 -150 { lab=ctl1}
+N 100 -510 100 -450 { lab=#net1}
+N 100 -510 120 -510 { lab=#net1}
+N 260 -450 290 -450 { lab=#net2}
+C {devices/vsource.sym} 1080 -530 0 0 {name=V2 value=0}
+C {devices/gnd.sym} 1080 -470 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 980 -530 0 0 {name=V3 value=1.8
+}
+C {devices/gnd.sym} 980 -470 0 0 {name=l2 lab=GND}
+C {devices/lab_wire.sym} 1010 -580 0 0 {name=l3 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1110 -580 0 0 {name=l4 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 100 -400 0 0 {name=Vsig2 value="dc 0.9 sin(0 0.4 1e3)"
+}
+C {devices/code.sym} 130 -130 0 0 {name=NGSPICE
+only_toplevel=true
+format="tcleval( @value )"
+value="* control
+
+.param MC_SWITCH=0
+
+.include \\\\$::DESIGN_PATH\\\\/testbuffer/decoder3to8.spice
+.include \\\\$::DESIGN_PATH\\\\/testbuffer/testbuffer.pex.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+
+
+.control
+set wr_singlescale
+set wr_vecnames
+set filetype=ascii
+
+save all
+tran 1u 1m
+.endc
+"}
+C {devices/lab_wire.sym} 790 -540 3 0 {name=l16 sig_type=std_logic lab=bias}
+C {devices/gnd.sym} 100 -260 0 0 {name=l8 lab=GND}
+C {devices/lab_wire.sym} 830 -580 0 0 {name=l21 sig_type=std_logic lab=vdd}
+C {devices/isource.sym} 650 -480 0 0 {name=i0 value=250n
+}
+C {devices/lab_wire.sym} 610 -580 0 1 {name=l22 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 650 -450 3 0 {name=l23 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 710 -650 0 1 {name=l24 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 710 -580 0 1 {name=l25 sig_type=std_logic lab=vb}
+C {sky130_primitives/pfet_01v8.sym} 770 -580 0 0 {name=M1
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {sky130_primitives/pfet_01v8.sym} 670 -580 0 1 {name=M2
+L=0.5
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X}
+C {devices/capa.sym} 1040 -230 0 0 {name=c1 m=1 value=10p
+}
+C {devices/lab_wire.sym} 1040 -200 3 0 {name=l10 sig_type=std_logic lab=vss}
+C {devices/code.sym} 10 -130 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 230 -510 0 0 {name=l14 sig_type=std_logic lab=vsine
+}
+C {devices/res.sym} 1120 -230 2 1 {name=r1 m=1 value=1e6
+}
+C {devices/lab_wire.sym} 1120 -200 3 0 {name=l17 sig_type=std_logic lab=vss}
+C {devices/vsource.sym} 100 -320 0 0 {name=Vcm value=0.9
+}
+C {devices/lab_wire.sym} 150 -360 0 0 {name=l12 sig_type=std_logic lab=vcm
+}
+C {testbuffer/testbuffer.sym} 850 -290 0 0 {name=xtb
+}
+C {devices/lab_wire.sym} 820 -340 3 1 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 720 -290 0 0 {name=l6 sig_type=std_logic lab=vcm,vdd,vdd,vdd,vdd,vsine2,vsine
+}
+C {devices/lab_wire.sym} 720 -250 0 0 {name=l7 sig_type=std_logic lab=vss,ctl1,ctl0
+}
+C {devices/lab_wire.sym} 760 -340 3 1 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 1090 -290 0 1 {name=l11 sig_type=std_logic lab=out
+}
+C {devices/vsource.sym} 320 -100 0 0 {name=V1 value="dc 0.9 pulse(0 1.8 1n 1n 1u 500u 1m)"
+}
+C {devices/gnd.sym} 320 -40 0 0 {name=l13 lab=GND}
+C {devices/lab_wire.sym} 370 -150 0 0 {name=l15 sig_type=std_logic lab=ctl0
+}
+C {devices/vsource.sym} 260 -400 0 0 {name=Vsig1 value="dc 0.9 sin(0 0.4 100e3)"
+}
+C {devices/lab_wire.sym} 400 -450 0 0 {name=l18 sig_type=std_logic lab=vsine2
+}
+C {devices/vsource.sym} 580 -100 0 0 {name=V4 value="dc 0.9 pulse(1.8 0 1n 1n 1u 500u 1m)"
+}
+C {devices/gnd.sym} 580 -40 0 0 {name=l19 lab=GND}
+C {devices/lab_wire.sym} 630 -150 0 0 {name=l20 sig_type=std_logic lab=ctl1
+}
+C {devices/res.sym} 150 -510 3 1 {name=r2 m=1 value=10e3
+}
+C {devices/res.sym} 320 -450 3 1 {name=r3 m=1 value=1e6
+}
diff --git a/xschem/sub/tb/top/ctl.sp b/xschem/sub/tb/top/ctl.sp
new file mode 100644
index 0000000..aa71f60
--- /dev/null
+++ b/xschem/sub/tb/top/ctl.sp
@@ -0,0 +1,18 @@
+.tran 100e-9 460e-6
+.options method trap
+.options gmin 1e-12
+.options vntol 1e-6
+
+.control
+run
+echo "Writing Digital Waveforms to sarlogic.vcd"
+eprvcd xsar.dclk xsar.drst xsar.den xsar.dsamp xsar.dctlp0 xsar.dctlp1 xsar.dctlp2 xsar.dctlp3 xsar.dctlp4 xsar.dctlp5 xsar.dctlp6 xsar.dctlp7 xsar.dctln0 xsar.dctln1 xsar.dctln2 xsar.dctln3 xsar.dctln4 xsar.dctln5 xsar.dctln6 xsar.dctln7 xsar.dcomp xsar.dsamp xsar.dvalid > sarlogic.vcd
+echo "Write nets to file"
+display > "$design_path/sim/nets.txt"
+echo "Write enets to file"
+edisplay > "$design_path/sim/enets.txt"
+echo "Write Simulation result to file (sar_tb.raw)"
+write sar_tb.raw
+shell gtkwave "$design_path/sim/sarlogic.vcd" --script "$design_path/tools/scripts/nggtk.tcl" &
+.endc
+.end
diff --git a/xschem/sub/tb/top/tr_main.sch b/xschem/sub/tb/top/tr_main.sch
new file mode 100644
index 0000000..0ff25ba
--- /dev/null
+++ b/xschem/sub/tb/top/tr_main.sch
@@ -0,0 +1,255 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -190 470 -190 470 0 0 0 0 -190 {}
+P 4 5 470 -190 940 -190 940 0 470 0 470 -190 {}
+P 4 5 -0 -0 940 -0 940 -880 -0 -880 -0 -0 {}
+T {1.5V
+} 760 -770 0 0 0.3 0.3 {}
+T {1.2V} 760 -640 0 0 0.3 0.3 {}
+N 640 -150 640 -120 {lab=vdd}
+N 640 -60 640 -40 {lab=GND}
+N 710 -60 710 -40 {lab=GND}
+N 700 -750 800 -750 { lab=avdd}
+N 700 -670 700 -650 { lab=avss}
+N 700 -650 800 -650 { lab=avss}
+N 800 -750 800 -730 { lab=avdd}
+N 800 -670 800 -650 { lab=avss}
+N 560 -650 700 -650 { lab=avss}
+N 560 -670 640 -670 { lab=avdd}
+N 640 -750 640 -670 { lab=avdd}
+N 640 -750 700 -750 { lab=avdd}
+N 700 -620 800 -620 { lab=dvdd}
+N 700 -540 700 -520 { lab=dvss}
+N 700 -520 800 -520 { lab=dvss}
+N 800 -620 800 -600 { lab=dvdd}
+N 800 -540 800 -520 { lab=dvss}
+N 560 -620 700 -620 { lab=dvdd}
+N 560 -600 640 -600 { lab=dvss}
+N 640 -600 640 -520 { lab=dvss}
+N 640 -520 700 -520 { lab=dvss}
+N 170 -440 260 -440 { lab=vss,vss,vss}
+N 120 -350 260 -350 { lab=7*(vss),vdd,8*(vss)}
+N 640 -150 670 -150 { lab=vdd}
+N 710 -150 740 -150 { lab=vss}
+N 710 -150 710 -120 { lab=vss}
+N 230 -670 260 -670 { lab=vdd}
+N 230 -650 260 -650 { lab=vss}
+N 700 -750 700 -730 { lab=avdd}
+N 700 -620 700 -600 { lab=dvdd}
+C {devices/code.sym} 340 -140 0 0 {name=STDCELLS only_toplevel=false 
+format="tcleval(@value )"
+value="* .include \\\\$::SKYWATER_STDCELLS\\\\/cells/
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_16.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/dfrbp/sky130_fd_sc_hd__dfrbp_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/dfrbp/sky130_fd_sc_hd__dfrbp_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/mux2/sky130_fd_sc_hd__mux2_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/nand2/sky130_fd_sc_hd__nand2_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tap/sky130_fd_sc_hd__tap_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tapvpwrvgnd/sky130_fd_sc_hd__tapvpwrvgnd_1.spice
+"}
+C {devices/code.sym} 20 -140 0 0 {name=CTL only_toplevel=false 
+format="tcleval(@value )"
+value="* Top-level Simulation setup
+
+*-------------------------
+* normal setup
+*-------------------------
+.options method = gear
+.options gmin   = 1e-15
+.options abstol = 1e-14
+.options chtol  = 1e-18
+.options reltol = 100e-6
+*-------------------------
+
+*-------------------------
+* simulator input
+*-------------------------
+.param MC_SWITCH=0
+.param vdd=1.8
+.param vss=0
+
+*-------------------------
+* includes
+*-------------------------
+*.include \\\\$::DESIGN_PATH\\\\/testbuffer/decoder3to8.spice
+.include \\\\$::DESIGN_PATH\\\\/main/main.ext.spice
+
+
+*-------------------------
+* initial conditions
+*-------------------------
+.ic v(avdd)=0
+.ic v(dvdd)=0
+.ic v(avss)=0
+.ic v(dvss)=0
+
+.ic v(ibp_0_)=0
+.ic v(ibp_1_)=0
+.ic v(ibp_2_)=0
+.ic v(ibp_3_)=0
+
+.ic v(ibn_0_)=0
+.ic v(ibn_1_)=0
+
+.ic v(clkout)=0
+.ic v(tbout)=0
+
+*-------------------------
+* voltage sources
+*-------------------------
+vrstn rstn vss \\"dc 0 pulse(1 0 100n 1n 1n 10u 200m)\\"
+vclkext clkext vss 0
+vbgext vbg_ext vss 1.2
+ven_ldo_ana en_ldo_ana vss \{vdd\}
+ven_ldo_dig en_ldo_dig vss \{vdd\}
+ven_clk_int en_clk_int vss \\"dc 0 pulse(0 1.8 105u 1n 1n 100m 200m)\\"
+ven_clkdiv en_clkdiv vss 0
+vclksel clksel vss 0
+vrefsel refsel vss \{vdd\}
+
+*-------------------------
+* simulation
+*-------------------------
+.tran 100e-9 105e-6 uic
+*.tran 100e-9 120e-6 uic
+*.tran 10e-9 135e-6 uic
+
+.control
+save all
+run
+echo -------------------
+shell date
+echo -------------------
+.endc
+.end
+"
+tclcommand="exec xterm -geometry 100x40 -e nvim \\$::DESIGN_PATH\\/design/tb/sar/ctl.sp"}
+C {devices/code.sym} 180 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 560 -620 0 1 {name=p2 lab=dvdd}
+C {devices/lab_wire.sym} 560 -670 0 1 {name=p9 lab=avdd}
+C {devices/lab_wire.sym} 560 -570 0 1 {name=p14 lab=clkout}
+C {devices/vsource.sym} 710 -90 0 0 {name=Vss value=0
+}
+C {devices/vsource.sym} 640 -90 0 0 {name=Vdd value=\{vdd\}
+}
+C {devices/gnd.sym} 640 -40 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 710 -40 0 0 {name=l12 lab=GND}
+C {xschem/symbols/devices/res.sym} 700 -570 0 1 {name=R2
+value=10k
+m=1
+}
+C {xschem/symbols/devices/noconn.sym} 560 -440 0 1 {name=l28}
+C {devices/lab_wire.sym} 560 -440 0 1 {name=p17 lab=tbout
+}
+C {devices/lab_wire.sym} 260 -520 0 0 {name=p25 lab=en_ldo_dig}
+C {devices/lab_wire.sym} 260 -540 0 0 {name=p28 lab=en_ldo_ana}
+C {devices/lab_wire.sym} 260 -500 0 0 {name=p29 lab=en_clk_int}
+C {devices/lab_wire.sym} 260 -600 0 0 {name=p30 lab=clkext}
+C {devices/lab_wire.sym} 260 -480 0 0 {name=p31 lab=en_clkdiv}
+C {devices/lab_wire.sym} 260 -410 0 0 {name=p32 lab=clksel}
+C {devices/lab_wire.sym} 260 -390 0 0 {name=p33 lab=refsel}
+C {devices/lab_wire.sym} 260 -580 0 0 {name=p34 lab=vbg_ext}
+C {devices/lab_wire.sym} 260 -670 0 0 {name=l31 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 260 -650 0 0 {name=l32 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 260 -620 0 0 {name=l33 sig_type=std_logic lab=rstn}
+C {devices/noconn.sym} 260 -620 0 0 {name=l36}
+C {devices/noconn.sym} 260 -600 0 0 {name=l37}
+C {devices/noconn.sym} 260 -580 0 0 {name=l38}
+C {devices/noconn.sym} 260 -540 0 0 {name=l39}
+C {devices/noconn.sym} 260 -520 0 0 {name=l40}
+C {devices/noconn.sym} 260 -500 0 0 {name=l41}
+C {devices/noconn.sym} 260 -480 0 0 {name=l42}
+C {devices/noconn.sym} 260 -410 0 0 {name=l45}
+C {devices/noconn.sym} 260 -390 0 0 {name=l46}
+C {xschem/symbols/devices/noconn.sym} 560 -570 0 1 {name=l3}
+C {devices/lab_wire.sym} 560 -600 0 1 {name=p1 lab=dvss
+}
+C {devices/lab_wire.sym} 560 -650 0 1 {name=p3 lab=avss
+}
+C {xschem/symbols/devices/capa.sym} 800 -570 0 0 {name=C3
+m=1
+value=10n
+ic=0
+}
+C {devices/lab_wire.sym} 260 -440 0 0 {name=l1 sig_type=std_logic lab="vss,vss,vss"
+}
+C {devices/lab_wire.sym} 260 -350 0 0 {name=l13 sig_type=std_logic lab="7*(vss),vdd,8*(vss)"
+}
+C {devices/lab_wire.sym} 670 -150 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 740 -150 0 0 {name=l4 sig_type=std_logic lab=vss
+}
+C {main/main.sym} 410 -490 0 0 {name=xm
+}
+C {devices/lab_wire.sym} 560 -530 0 1 {name=p4 lab=ibp[3:0]
+}
+C {devices/lab_wire.sym} 560 -510 0 1 {name=p5 lab=ibn[1:0]
+}
+C {xschem/symbols/devices/capa.sym} 800 -700 0 0 {name=C1
+m=1
+value=10n
+ic=0
+}
+C {xschem/symbols/devices/res.sym} 700 -700 0 1 {name=R1
+value=10k
+m=1
+}
+C {xschem/symbols/devices/noconn.sym} 560 -530 0 1 {name=l5[3:0]
+}
+C {xschem/symbols/devices/noconn.sym} 560 -510 0 1 {name=l1[3:0]
+}
diff --git a/xschem/sub/tb/top/tr_top.sch b/xschem/sub/tb/top/tr_top.sch
new file mode 100644
index 0000000..74bb55d
--- /dev/null
+++ b/xschem/sub/tb/top/tr_top.sch
@@ -0,0 +1,272 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 0 -190 470 -190 470 0 0 0 0 -190 {}
+P 4 5 470 -190 940 -190 940 0 470 0 470 -190 {}
+P 4 5 -0 -0 940 -0 940 -880 -0 -880 -0 -0 {}
+T {1.5V
+} 740 -810 0 0 0.3 0.3 {}
+T {1.2V} 740 -680 0 0 0.3 0.3 {}
+N 640 -150 640 -120 {lab=vdd}
+N 640 -60 640 -40 {lab=GND}
+N 710 -60 710 -40 {lab=GND}
+N 680 -790 680 -770 { lab=avdd}
+N 680 -790 780 -790 { lab=avdd}
+N 680 -710 680 -690 { lab=avss}
+N 680 -690 780 -690 { lab=avss}
+N 780 -790 780 -770 { lab=avdd}
+N 780 -710 780 -690 { lab=avss}
+N 560 -690 680 -690 { lab=avss}
+N 560 -710 620 -710 { lab=avdd}
+N 620 -790 620 -710 { lab=avdd}
+N 620 -790 680 -790 { lab=avdd}
+N 680 -660 680 -640 { lab=dvdd}
+N 680 -660 780 -660 { lab=dvdd}
+N 680 -580 680 -560 { lab=dvss}
+N 680 -560 780 -560 { lab=dvss}
+N 780 -660 780 -640 { lab=dvdd}
+N 780 -580 780 -560 { lab=dvss}
+N 560 -660 680 -660 { lab=dvdd}
+N 560 -640 620 -640 { lab=dvss}
+N 620 -640 620 -560 { lab=dvss}
+N 620 -560 680 -560 { lab=dvss}
+N 170 -280 260 -280 { lab=vss,vss,vss}
+N 120 -560 260 -560 { lab=7*(vss),vdd,8*(vss)}
+N 640 -150 670 -150 { lab=vdd}
+N 710 -150 740 -150 { lab=vss}
+N 710 -150 710 -120 { lab=vss}
+N 230 -710 260 -710 { lab=vdd}
+N 230 -690 260 -690 { lab=vss}
+C {devices/code.sym} 340 -140 0 0 {name=STDCELLS only_toplevel=false 
+format="tcleval(@value )"
+value="* .include \\\\$::SKYWATER_STDCELLS\\\\/cells/
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/clkbuf/sky130_fd_sc_hd__clkbuf_16.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/dfrbp/sky130_fd_sc_hd__dfrbp_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/dfrbp/sky130_fd_sc_hd__dfrbp_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/mux2/sky130_fd_sc_hd__mux2_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/nand2/sky130_fd_sc_hd__nand2_1.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tap/sky130_fd_sc_hd__tap_2.spice
+.include \\\\$::SKYWATER_STDCELLS\\\\/cells/tapvpwrvgnd/sky130_fd_sc_hd__tapvpwrvgnd_1.spice
+"}
+C {devices/code.sym} 20 -140 0 0 {name=CTL only_toplevel=false 
+format="tcleval(@value )"
+value="* Top-level Simulation setup
+
+*-------------------------
+* simulator setup
+*-------------------------
+.options method = gear
+.options gmin   = 1e-12
+.options abstol = 1e-10
+.options chtol  = 1e-12
+.options reltol = 100e-3
+*-------------------------
+
+*-------------------------
+* simulator input
+*-------------------------
+.param MC_SWITCH=0
+.param vdd=1.8
+
+*-------------------------
+* includes
+*-------------------------
+.include \\\\$::DESIGN_PATH\\\\/testbuffer/decoder3to8.spice
+
+*-------------------------
+* initial conditions
+*-------------------------
+
+.ic v(xtop.xsar.vp)=0
+.ic v(xtop.xsar.vn)=0
+.ic v(xtop.xsar.outp)=0
+.ic v(xtop.xsar.outn)=0
+.ic v(xtop.xsar.comp)=0
+
+.ic v(xtop.xsar.ctlp_0_)=0
+.ic v(xtop.xsar.ctlp_1_)=0
+.ic v(xtop.xsar.ctlp_2_)=0
+.ic v(xtop.xsar.ctlp_3_)=0
+.ic v(xtop.xsar.ctlp_4_)=0
+.ic v(xtop.xsar.ctlp_5_)=0
+.ic v(xtop.xsar.ctlp_6_)=0
+.ic v(xtop.xsar.ctlp_7_)=0
+.ic v(xtop.xsar.ctlp_8_)=0
+.ic v(xtop.xsar.ctlp_9_)=0
+
+.ic v(xtop.xsar.ctln_0_)=0
+.ic v(xtop.xsar.ctln_1_)=0
+.ic v(xtop.xsar.ctln_2_)=0
+.ic v(xtop.xsar.ctln_3_)=0
+.ic v(xtop.xsar.ctln_4_)=0
+.ic v(xtop.xsar.ctln_5_)=0
+.ic v(xtop.xsar.ctln_6_)=0
+.ic v(xtop.xsar.ctln_7_)=0
+.ic v(xtop.xsar.ctln_8_)=0
+.ic v(xtop.xsar.ctln_9_)=0
+
+.ic v(xtop.xm.xbb.fb)=1.2
+
+*-------------------------
+* voltage sources
+*-------------------------
+vrstn rstn vss \\"pulse(1 0 100n 1n 1n 10u 200m)\\"
+vclkext clkext vss 0
+vbgext vbg_ext vss 1.2
+ven_ldo_ana en_ldo_ana vss \{vdd\}
+ven_ldo_dig en_ldo_dig vss \{vdd\}
+ven_clk_int en_clk_int vss \\"pulse(0 1.8 105u 1n 1n 100m 200m)\\"
+ven_clkdiv en_clkdiv vss 0
+ven_adc en_adc vss \\"pulse(0 1.8 120u 1n 1n 100m 200m)\\"
+vclksel clksel vss 0
+vrefsel refsel vss \{vdd\}
+vinp inp vss 0.75
+vinn inn vss 0.75
+vcaladc en_caladc vss 0
+
+*-------------------------
+* simulation
+*-------------------------
+*.tran 100e-9 120e-6 uic
+.tran 100e-9 135e-6 uic
+.control
+save all
+run
+.endc
+.end
+"
+tclcommand="exec xterm -geometry 100x40 -e nvim \\$::DESIGN_PATH\\/design/tb/sar/ctl.sp"}
+C {devices/code.sym} 180 -140 0 0 {name=CORNERS
+only_toplevel=true
+format="tcleval( @value )"
+value="
+* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/lab_wire.sym} 560 -660 0 1 {name=p2 lab=dvdd}
+C {devices/lab_wire.sym} 560 -430 0 1 {name=p4 lab=result[9:0]
+}
+C {devices/lab_wire.sym} 560 -410 0 1 {name=p5 lab=valid}
+C {devices/lab_wire.sym} 560 -710 0 1 {name=p9 lab=avdd}
+C {devices/lab_wire.sym} 560 -520 0 1 {name=p14 lab=clkout}
+C {xschem/symbols/devices/capa.sym} 780 -740 0 0 {name=C1
+m=1
+value=10n
+ic=0
+}
+C {devices/vsource.sym} 710 -90 0 0 {name=Vss value=0
+}
+C {devices/vsource.sym} 640 -90 0 0 {name=Vdd value=\{vdd\}
+}
+C {devices/gnd.sym} 640 -40 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 710 -40 0 0 {name=l12 lab=GND}
+C {xschem/symbols/devices/noconn.sym} 560 -410 0 1 {name=l30}
+C {xschem/symbols/devices/noconn.sym} 560 -430 0 1 {name=l28[9:0]
+}
+C {xschem/symbols/devices/res.sym} 680 -740 0 0 {name=R1
+value=10k
+m=1
+}
+C {xschem/symbols/devices/res.sym} 680 -610 0 1 {name=R2
+value=10k
+m=1
+}
+C {top/top.sym} 410 -530 0 0 {name=xtop
+}
+C {xschem/symbols/devices/noconn.sym} 560 -310 0 1 {name=l28}
+C {devices/lab_wire.sym} 560 -310 0 1 {name=p17 lab=tbout
+}
+C {devices/lab_wire.sym} 260 -360 0 0 {name=p23 lab=en_caladc}
+C {devices/lab_wire.sym} 260 -430 0 0 {name=p24 lab=inp}
+C {devices/lab_wire.sym} 260 -630 0 0 {name=p25 lab=en_ldo_dig}
+C {devices/lab_wire.sym} 260 -410 0 0 {name=p26 lab=inn}
+C {devices/lab_wire.sym} 260 -380 0 0 {name=p27 lab=en_adc}
+C {devices/lab_wire.sym} 260 -650 0 0 {name=p28 lab=en_ldo_ana}
+C {devices/lab_wire.sym} 260 -490 0 0 {name=p29 lab=en_clk_int}
+C {devices/lab_wire.sym} 260 -530 0 0 {name=p30 lab=clkext}
+C {devices/lab_wire.sym} 260 -470 0 0 {name=p31 lab=en_clkdiv}
+C {devices/lab_wire.sym} 260 -510 0 0 {name=p32 lab=clksel}
+C {devices/lab_wire.sym} 260 -580 0 0 {name=p33 lab=refsel}
+C {devices/lab_wire.sym} 260 -600 0 0 {name=p34 lab=vbg_ext}
+C {devices/lab_wire.sym} 260 -710 0 0 {name=l31 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 260 -690 0 0 {name=l32 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 260 -740 0 0 {name=l33 sig_type=std_logic lab=rstn}
+C {devices/noconn.sym} 260 -740 0 0 {name=l36}
+C {devices/noconn.sym} 260 -530 0 0 {name=l37}
+C {devices/noconn.sym} 260 -600 0 0 {name=l38}
+C {devices/noconn.sym} 260 -650 0 0 {name=l39}
+C {devices/noconn.sym} 260 -630 0 0 {name=l40}
+C {devices/noconn.sym} 260 -490 0 0 {name=l41}
+C {devices/noconn.sym} 260 -470 0 0 {name=l42}
+C {devices/noconn.sym} 260 -380 0 0 {name=l43}
+C {devices/noconn.sym} 260 -360 0 0 {name=l44}
+C {devices/noconn.sym} 260 -510 0 0 {name=l45}
+C {devices/noconn.sym} 260 -580 0 0 {name=l46}
+C {devices/noconn.sym} 260 -430 0 0 {name=l47}
+C {devices/noconn.sym} 260 -410 0 0 {name=l48}
+C {xschem/symbols/devices/noconn.sym} 560 -520 0 1 {name=l3}
+C {devices/lab_wire.sym} 560 -640 0 1 {name=p1 lab=dvss
+}
+C {devices/lab_wire.sym} 560 -690 0 1 {name=p3 lab=avss
+}
+C {xschem/symbols/devices/capa.sym} 780 -610 0 0 {name=C3
+m=1
+value=10n
+ic=0
+}
+C {devices/lab_wire.sym} 260 -280 0 0 {name=l1 sig_type=std_logic lab="vss,vss,vss"
+}
+C {devices/lab_wire.sym} 260 -560 0 0 {name=l13 sig_type=std_logic lab="7*(vss),vdd,8*(vss)"
+}
+C {devices/lab_wire.sym} 670 -150 0 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 740 -150 0 0 {name=l4 sig_type=std_logic lab=vss
+}
diff --git a/xschem/sub/testbuffer/decoder3to8.spice b/xschem/sub/testbuffer/decoder3to8.spice
new file mode 100644
index 0000000..da5a47f
--- /dev/null
+++ b/xschem/sub/testbuffer/decoder3to8.spice
@@ -0,0 +1,414 @@
+* SPICE3 file created from decoder3to8.ext - technology: sky130A
+
+
+* Top level circuit decoder3to8
+
+.subckt decoder3to8 out5 vdd vss out4 out3 out2 out1 out0 out6 out7 in0 in1 in2
+X0 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=3.30922e+13p pd=3.6296e+08u as=0p ps=0u w=550000u l=590000u
+X1 vdd a_1731_2919# a_671_2455# vdd sky130_fd_pr__pfet_01v8_hvt ad=4.72991e+13p pd=4.6549e+08u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X2 a_1308_5487# a_1131_5495# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X3 a_1363_2455# a_1636_2455# a_1594_2583# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X4 a_841_2223# a_671_2223# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X5 vdd a_1775_4943# out2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X6 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X7 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X8 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X9 a_393_5042# a_2461_2223# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X10 out7 a_1633_591# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X11 a_477_4943# a_393_5042# a_395_4943# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X12 a_1489_1135# a_591_4917# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X13 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X14 vdd a_529_6575# a_591_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X15 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X16 vdd a_591_4917# a_395_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X17 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X18 a_1029_4515# a_519_4917# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X19 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X20 vdd a_1489_1135# a_1589_1251# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X21 vss a_1731_2919# a_671_2455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X22 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X23 vdd a_529_6575# a_591_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X24 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X25 a_1385_1929# a_1635_1653# a_1179_2741# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X26 vdd a_393_5042# a_1419_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X27 vdd in0 a_529_6575# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X28 out2 a_1775_4943# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X29 a_1355_1653# a_2093_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X30 vdd a_2879_591# out6 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X31 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X32 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X33 vss a_1355_1653# a_1225_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X34 a_1748_1495# a_697_3311# a_1676_1495# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X35 a_1139_4399# a_1355_1653# a_1419_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X36 a_2093_5487# a_591_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X37 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X38 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X39 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X40 vss a_2461_2223# a_393_5042# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X41 vss a_573_591# a_2461_2223# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X42 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X43 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X44 out1 a_1855_6005# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X45 out0 a_1855_6549# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X46 a_1855_6549# a_2191_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X47 a_591_4917# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X48 vss a_529_6575# a_591_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X49 vdd a_843_2741# out4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X50 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X51 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X52 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X53 vss a_519_4917# a_1179_2741# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X54 vdd a_395_591# a_573_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X55 a_841_2223# a_671_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X56 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X57 vdd a_1855_6005# out1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X58 vdd a_2879_743# a_2879_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X59 vss a_697_3311# a_723_3829# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X60 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X61 a_2251_4399# a_1355_1653# a_2191_6549# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.9e+11p pd=7.58e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X62 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X63 vss a_2553_1135# out5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X64 vss a_519_4917# a_2191_6549# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X65 vdd a_393_5042# a_1635_1653# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X66 vss a_529_6575# a_591_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X67 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X68 vss a_2041_3427# a_2191_6005# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X69 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X70 vdd a_1633_591# out7 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X71 vdd a_529_3311# a_697_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X72 vss a_1633_591# out7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X73 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X74 a_933_1135# a_763_1135# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X75 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X76 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X77 out5 a_2553_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X78 out3 a_2879_3855# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X79 a_1131_1929# a_1355_1653# a_1385_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X80 vss a_843_2741# out4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X81 out6 a_2879_591# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X82 a_2879_743# a_2787_1679# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X83 a_1855_6005# a_2191_6005# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X84 a_1855_6549# a_2191_6549# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X85 out1 a_1855_6005# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X86 out0 a_1855_6549# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X87 a_2431_3311# a_393_5042# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X88 a_1731_2919# a_573_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X89 vss a_529_3311# a_697_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X90 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X91 vdd a_1131_5495# a_1308_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X92 a_1225_4399# a_1029_4515# a_1139_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X93 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X94 a_393_5042# a_2461_2223# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X95 out7 a_1633_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X96 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X97 vss a_1855_6005# out1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X98 vss a_1855_6549# out0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X99 a_2191_6549# a_1355_1653# a_2251_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X100 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X101 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X102 a_573_591# a_395_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X103 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X104 a_2879_743# a_2787_1679# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X105 out5 a_2553_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X106 a_2553_1135# a_841_2223# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X107 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X108 a_1179_2741# a_1355_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X109 vdd in2 a_395_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X110 a_1513_2583# a_591_4917# vss vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X111 vss a_529_3311# a_697_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X112 vss a_2553_1135# out5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X113 vdd a_573_591# a_1636_2455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X114 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X115 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X116 a_2191_6549# a_1355_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X117 vdd a_749_4943# a_1131_5495# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X118 a_2191_6549# a_393_5042# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X119 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X120 vss a_2093_5487# a_1355_1653# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X121 a_591_4917# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X122 a_1179_2741# a_1635_1653# a_1385_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X123 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X124 vdd a_841_2223# a_2553_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X125 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X126 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X127 a_749_4943# a_395_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X128 a_2191_6005# a_2041_3427# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X129 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X130 vdd a_697_3311# a_2004_2747# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X131 a_749_4943# a_395_4943# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X132 vdd a_1855_6549# out0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X133 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X134 a_843_2741# a_1179_2741# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X135 vdd a_723_3829# a_519_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X136 vss a_1633_591# out7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X137 vss a_1855_6005# out1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X138 vss a_1855_6549# out0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X139 a_843_2741# a_1179_2741# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X140 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X141 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X142 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X143 vss a_519_4917# a_2191_6005# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X144 a_1633_591# a_1308_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X145 vss a_723_3829# a_519_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X146 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X147 out3 a_2879_3855# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X148 out4 a_843_2741# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X149 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X150 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X151 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X152 a_1594_2583# a_697_3311# a_1513_2583# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X153 a_1489_1135# a_591_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X154 out4 a_843_2741# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X155 out1 a_1855_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X156 vss a_2553_1135# out5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X157 vss in0 a_529_6575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X158 vss a_841_2223# a_2553_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X159 a_1355_1653# a_2093_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X160 vss a_1355_1653# a_1179_2741# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X161 a_697_3311# a_529_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X162 vdd a_1355_1653# a_1731_2919# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X163 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X164 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X165 vss a_573_591# a_1748_1495# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X166 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X167 vdd a_763_1367# a_763_1135# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X168 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X169 vss a_1775_4943# out2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X170 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X171 a_1881_2773# a_573_591# vss vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X172 vss a_1225_4399# a_1775_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X173 vdd a_2553_1135# out5 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X174 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X175 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X176 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X177 vss a_529_6575# a_591_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X178 a_697_3311# a_529_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X179 a_1179_2741# a_1635_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X180 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X181 vdd a_2553_1135# out5 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X182 out7 a_1633_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X183 a_1385_1929# a_1355_1653# a_1131_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X184 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X185 a_393_5042# a_2461_2223# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X186 vdd a_393_5042# a_2431_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X187 vdd a_1225_4399# a_1775_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X188 a_697_3311# a_529_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X189 a_2191_6005# a_2041_3427# a_2151_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X190 vdd a_573_591# a_1589_1251# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X191 vdd a_519_4917# a_1131_1929# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X192 vss a_2461_2223# a_393_5042# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X193 a_1676_1495# a_1489_1135# a_1589_1251# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X194 vdd a_723_3829# a_519_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X195 vss a_697_3311# a_2004_2747# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X196 a_1731_2919# a_2004_2747# a_1962_2773# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X197 vdd a_1855_6005# out1 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X198 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X199 a_2151_3311# a_519_4917# a_2431_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X200 a_529_3311# in1 vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X201 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X202 a_1179_2741# a_519_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X203 vss in1 a_529_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X204 a_2191_6005# a_393_5042# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X205 vdd a_529_6575# a_591_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X206 a_723_3829# a_697_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X207 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X208 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X209 vss a_573_591# a_1636_2455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X210 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X211 out7 a_1633_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X212 vss a_1131_5495# a_1308_5487# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X213 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X214 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X215 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X216 a_1731_2919# a_2004_2747# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X217 vss a_843_2741# out4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X218 a_393_5042# a_2461_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X219 a_2191_6549# a_519_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X220 out5 a_2553_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X221 a_1029_4515# a_519_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X222 vss a_1775_4943# out2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X223 vss a_2093_5487# a_1355_1653# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X224 a_591_4917# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X225 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X226 vss a_2093_5487# a_1355_1653# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X227 vdd a_933_1135# a_2879_3855# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X228 vss a_529_3311# a_697_3311# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X229 out5 a_2553_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X230 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X231 a_723_3829# a_697_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X232 a_393_5042# a_2461_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X233 a_1962_2773# a_1355_1653# a_1881_2773# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X234 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X235 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X236 vdd a_697_3311# a_1363_2455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.5725e+11p ps=2.99e+06u w=420000u l=150000u
+X237 vss a_1308_5487# a_1633_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X238 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X239 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X240 a_2553_1135# a_841_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X241 a_393_5042# a_2461_2223# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X242 a_519_4917# a_723_3829# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X243 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X244 vdd a_393_5042# a_395_4943# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X245 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X246 a_549_4943# a_519_4917# a_477_4943# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=0p ps=0u w=420000u l=150000u
+X247 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X248 out0 a_1855_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X249 vss a_1635_1653# a_1179_2741# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X250 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X251 a_519_4917# a_723_3829# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X252 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X253 vss in2 a_395_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X254 vdd a_843_2741# out4 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X255 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X256 vdd a_1775_4943# out2 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X257 vdd a_2879_3855# out3 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X258 a_2041_3427# a_1355_1653# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X259 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X260 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X261 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X262 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X263 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X264 a_2093_5487# a_591_4917# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X265 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X266 vdd a_393_5042# a_2523_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X267 out5 a_2553_1135# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X268 a_697_3311# a_529_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X269 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X270 vss a_393_5042# a_2191_6005# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X271 out7 a_1633_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X272 vdd a_1633_591# out7 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X273 vdd a_2461_2223# a_393_5042# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X274 vss a_749_4943# a_1131_5495# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X275 vss a_671_2455# a_671_2223# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X276 a_2251_4399# a_519_4917# a_2523_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X277 vss a_1355_1653# a_2191_6549# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X278 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X279 a_1355_1653# a_2093_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X280 vdd a_573_591# a_2461_2223# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X281 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X282 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X283 a_1355_1653# a_2093_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X284 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X285 vdd a_529_3311# a_697_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X286 a_1225_4399# a_393_5042# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X287 vdd a_1855_6549# out0 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X288 a_1355_1653# a_2093_5487# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X289 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X290 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X291 vss a_1363_2455# a_763_1367# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X292 vss a_393_5042# a_1635_1653# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X293 out4 a_843_2741# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X294 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X295 out2 a_1775_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X296 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X297 vdd a_529_3311# a_697_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X298 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X299 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X300 out5 a_2553_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X301 a_1131_1929# a_519_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X302 out2 a_1775_4943# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X303 vdd a_1308_5487# a_1633_591# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X304 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X305 a_2431_3311# a_519_4917# a_2151_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X306 a_1225_4399# a_1029_4515# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X307 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X308 a_591_4917# a_529_6575# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X309 vdd in1 a_529_3311# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X310 a_2191_6005# a_519_4917# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X311 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X312 a_519_4917# a_723_3829# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X313 a_2041_3427# a_1355_1653# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X314 a_519_4917# a_723_3829# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X315 out1 a_1855_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X316 a_1363_2455# a_591_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X317 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X318 vdd a_1363_2455# a_763_1367# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X319 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X320 a_573_591# a_395_591# vss vss sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X321 a_933_1135# a_763_1135# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X322 a_1589_1251# a_697_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X323 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X324 a_591_4917# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X325 vdd a_1633_591# out7 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X326 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X327 a_529_6575# in0 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X328 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X329 vss a_591_4917# a_2093_5487# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X330 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X331 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X332 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X333 a_697_3311# a_529_3311# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X334 a_591_4917# a_529_6575# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X335 a_1419_4399# a_393_5042# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X336 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X337 vdd a_2093_5487# a_1355_1653# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X338 vdd a_2553_1135# out5 vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X339 vdd a_671_2455# a_671_2223# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X340 a_519_4917# a_723_3829# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X341 a_519_4917# a_723_3829# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X342 vdd a_697_3311# a_723_3829# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X343 vdd a_2461_2223# a_393_5042# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X344 a_1363_2455# a_1636_2455# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X345 a_529_6575# in0 vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X346 a_1419_4399# a_1355_1653# a_1139_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X347 vss a_2879_3855# out3 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X348 vdd a_591_4917# a_2093_5487# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X349 out4 a_843_2741# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X350 vdd a_2461_2223# a_393_5042# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X351 vdd a_723_3829# a_519_4917# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X352 vss a_933_1135# a_2879_3855# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X353 a_1945_1135# a_1589_1251# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X354 a_395_4943# a_519_4917# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X355 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X356 vss a_2879_743# a_2879_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X357 vss a_393_5042# a_1225_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X358 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X359 a_697_3311# a_529_3311# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X360 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X361 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X362 vss a_2461_2223# a_393_5042# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X363 vss a_2879_591# out6 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X364 vdd a_1945_1135# a_2787_1679# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X365 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X366 vss a_395_591# a_573_591# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X367 vss a_763_1367# a_763_1135# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X368 vss a_1029_4515# a_1225_4399# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X369 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X370 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X371 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X372 a_529_3311# in1 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X373 a_2151_3311# a_2041_3427# a_2191_6005# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X374 a_2523_4399# a_393_5042# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X375 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X376 vss a_591_4917# a_549_4943# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X377 vss a_1633_591# out7 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X378 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X379 a_1855_6005# a_2191_6005# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X380 out7 a_1633_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X381 out6 a_2879_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X382 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X383 a_1945_1135# a_1589_1251# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X384 a_2523_4399# a_519_4917# a_2251_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X385 a_1308_5487# a_1131_5495# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X386 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X387 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X388 out2 a_1775_4943# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X389 vdd a_2093_5487# a_1355_1653# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X390 a_1355_1653# a_2093_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X391 vss a_393_5042# a_2191_6549# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X392 a_1225_4399# a_1355_1653# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X393 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X394 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X395 a_1633_591# a_1308_5487# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X396 vss a_1945_1135# a_2787_1679# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X397 vdd a_2093_5487# a_1355_1653# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X398 vss a_723_3829# a_519_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X399 a_2461_2223# a_573_591# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X400 vss a_723_3829# a_519_4917# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X401 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X402 a_2461_2223# a_573_591# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X403 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X404 out0 a_1855_6549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X405 a_1139_4399# a_1029_4515# a_1225_4399# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
diff --git a/xschem/sub/testbuffer/decoder3to8.sym b/xschem/sub/testbuffer/decoder3to8.sym
new file mode 100644
index 0000000..5795c59
--- /dev/null
+++ b/xschem/sub/testbuffer/decoder3to8.sym
@@ -0,0 +1,43 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=primitive
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -142.5 112.5 -137.5 {name=out[5] dir=out }
+B 5 47.5 -212.5 52.5 -207.5 {name=vdd dir=inout }
+B 5 27.5 -212.5 32.5 -207.5 {name=vss dir=inout }
+B 5 107.5 -122.5 112.5 -117.5 {name=out[4] dir=out }
+B 5 107.5 -102.5 112.5 -97.5 {name=out[3] dir=out }
+B 5 107.5 -82.5 112.5 -77.5 {name=out[2] dir=out }
+B 5 107.5 -62.5 112.5 -57.5 {name=out[1] dir=out }
+B 5 107.5 -42.5 112.5 -37.5 {name=out[0] dir=out }
+B 5 107.5 -162.5 112.5 -157.5 {name=out[6] dir=out }
+B 5 107.5 -182.5 112.5 -177.5 {name=out[7] dir=out}
+B 5 -52.5 -92.5 -47.5 -87.5 {name=in[0] dir=in}
+B 5 -52.5 -112.5 -47.5 -107.5 {name=in[1] dir=in}
+B 5 -52.5 -132.5 -47.5 -127.5 {name=in[2] dir=in}
+P 4 2 110 -180 90 -180 {}
+P 4 2 110 -160 90 -160 {}
+P 4 2 110 -140 90 -140 {}
+P 4 2 110 -120 90 -120 {}
+P 4 2 110 -100 90 -100 {}
+P 4 2 110 -80 90 -80 {}
+P 4 2 110 -60 90 -60 {}
+P 4 2 110 -40 90 -40 {}
+P 4 2 -50 -90 -30 -90 {}
+P 4 2 -50 -110 -30 -110 {}
+P 4 2 -50 -130 -30 -130 {}
+P 4 2 -30 -90 -29 -90 {}
+P 4 2 30 -210 30 -190 {}
+P 4 2 50 -210 50 -190 {}
+P 4 5 -30 -190 90 -190 90 -30 -30 -30 -30 -190 {}
+T {@symname} 78.5 -76 2 0 0.3 0.3 {}
+T {@name} 6 -125 0 0 0.2 0.2 {}
+T {vdd} 41 -165 3 0 0.2 0.2 {}
+T {vss} 35 -186 1 0 0.2 0.2 {}
+T {7} 75 -185 0 0 0.2 0.2 {}
+T {0} 75 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/testbuffer/mux.sch b/xschem/sub/testbuffer/mux.sch
new file mode 100644
index 0000000..0e7f630
--- /dev/null
+++ b/xschem/sub/testbuffer/mux.sch
@@ -0,0 +1,156 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 490 -80 490 -70 { lab=enm[0]}
+N 490 -150 490 -140 { lab=enm[1]}
+N 490 -220 490 -210 { lab=enm[2]}
+N 490 -290 490 -280 { lab=enm[3]}
+N 590 -250 630 -250 { lab=out}
+N 590 -180 630 -180 { lab=out}
+N 590 -110 630 -110 { lab=out}
+N 590 -40 630 -40 { lab=out}
+N 630 -250 630 -40 { lab=out}
+N 490 -360 490 -350 { lab=enm[4]}
+N 490 -430 490 -420 { lab=enm[5]}
+N 490 -500 490 -490 { lab=enm[6]}
+N 590 -460 630 -460 { lab=out}
+N 590 -390 630 -390 { lab=out}
+N 590 -320 630 -320 { lab=out}
+N 630 -460 630 -250 { lab=out}
+N 350 -80 490 -80 { lab=enm[0]}
+N 350 -150 490 -150 { lab=enm[1]}
+N 350 -220 490 -220 { lab=enm[2]}
+N 350 -290 490 -290 { lab=enm[3]}
+N 350 -360 490 -360 { lab=enm[4]}
+N 350 -430 490 -430 { lab=enm[5]}
+N 350 -500 490 -500 { lab=enm[6]}
+N 490 -570 490 -560 { lab=enm[7]}
+N 590 -530 630 -530 { lab=out}
+N 350 -570 490 -570 { lab=enm[7]}
+N 630 -530 630 -460 { lab=out}
+N 630 -530 690 -530 { lab=out}
+N 350 -530 440 -530 { lab=inp[7]}
+N 350 -460 440 -460 { lab=inp[6]}
+N 350 -390 440 -390 { lab=inp[5]}
+N 350 -320 440 -320 { lab=inp[4]}
+N 350 -250 440 -250 { lab=inp[3]}
+N 350 -180 440 -180 { lab=inp[2]}
+N 350 -110 440 -110 { lab=inp[1]}
+N 350 -40 440 -40 { lab=inp[0]}
+N 540 -530 590 -530 { lab=out}
+N 540 -460 590 -460 { lab=out}
+N 540 -390 590 -390 { lab=out}
+N 540 -320 590 -320 { lab=out}
+N 540 -250 590 -250 { lab=out}
+N 540 -180 590 -180 { lab=out}
+N 540 -110 590 -110 { lab=out}
+N 540 -40 590 -40 { lab=out}
+C {devices/iopin.sym} 690 -530 0 0 {name=p1 lab=out}
+C {devices/ipin.sym} 100 -500 2 1 {name=p2 lab=inp[7:0]
+}
+C {devices/iopin.sym} 100 -570 2 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 100 -540 2 0 {name=p4 lab=vdd}
+C {devices/lab_wire.sym} 470 -130 2 1 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -90 0 0 {name=l1 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -80 0 0 {name=xpg1
+}
+C {devices/lab_wire.sym} 470 -60 2 1 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -20 0 0 {name=l3 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -10 0 0 {name=xpg0
+}
+C {devices/lab_wire.sym} 470 -270 2 1 {name=l5 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -230 0 0 {name=l6 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -220 0 0 {name=xpg3
+}
+C {devices/lab_wire.sym} 470 -200 2 1 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -160 0 0 {name=l8 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -150 0 0 {name=xpg2
+}
+C {devices/lab_wire.sym} 470 -410 2 1 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -370 0 0 {name=l10 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -360 0 0 {name=xpg5
+}
+C {devices/lab_wire.sym} 470 -340 2 1 {name=l11 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -300 0 0 {name=l12 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -290 0 0 {name=xpg4
+}
+C {devices/lab_wire.sym} 470 -480 2 1 {name=l13 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -440 0 0 {name=l14 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -430 0 0 {name=xpg6
+}
+C {devices/lab_wire.sym} 410 -80 0 0 {name=l15 sig_type=std_logic lab=enm[0]
+}
+C {devices/lab_wire.sym} 410 -150 0 0 {name=l16 sig_type=std_logic lab=enm[1]
+}
+C {devices/lab_wire.sym} 410 -220 0 0 {name=l17 sig_type=std_logic lab=enm[2]
+}
+C {devices/lab_wire.sym} 410 -290 0 0 {name=l18 sig_type=std_logic lab=enm[3]
+}
+C {devices/lab_wire.sym} 410 -360 0 0 {name=l19 sig_type=std_logic lab=enm[4]
+}
+C {devices/lab_wire.sym} 410 -430 0 0 {name=l20 sig_type=std_logic lab=enm[5]
+}
+C {devices/lab_wire.sym} 410 -500 0 0 {name=l21 sig_type=std_logic lab=enm[6]
+}
+C {devices/lab_wire.sym} 470 -550 2 1 {name=l22 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -510 0 0 {name=l23 sig_type=std_logic lab=vss
+}
+C {switches/passgate.sym} 430 -500 0 0 {name=xpg7
+}
+C {devices/lab_wire.sym} 410 -570 0 0 {name=l24 sig_type=std_logic lab=enm[7]
+}
+C {devices/lab_wire.sym} 400 -530 0 0 {name=l25 sig_type=std_logic lab=inp[7]
+}
+C {devices/lab_wire.sym} 400 -460 0 0 {name=l26 sig_type=std_logic lab=inp[6]
+}
+C {devices/lab_wire.sym} 400 -390 0 0 {name=l27 sig_type=std_logic lab=inp[5]
+}
+C {devices/lab_wire.sym} 400 -320 0 0 {name=l28 sig_type=std_logic lab=inp[4]
+}
+C {devices/lab_wire.sym} 400 -250 0 0 {name=l29 sig_type=std_logic lab=inp[3]
+}
+C {devices/lab_wire.sym} 400 -180 0 0 {name=l30 sig_type=std_logic lab=inp[2]
+}
+C {devices/lab_wire.sym} 400 -110 0 0 {name=l31 sig_type=std_logic lab=inp[1]
+}
+C {devices/lab_wire.sym} 400 -40 0 0 {name=l32 sig_type=std_logic lab=inp[0]
+}
+C {devices/ipin.sym} 100 -470 2 1 {name=p5 lab=sel[2:0]
+}
+C {testbuffer/decoder3to8.sym} 120 -160 0 0 {name=xdec
+}
+C {devices/lab_wire.sym} 70 -250 0 0 {name=l33 sig_type=std_logic lab=sel[0]
+}
+C {devices/lab_wire.sym} 70 -270 0 0 {name=l34 sig_type=std_logic lab=sel[1]
+}
+C {devices/lab_wire.sym} 70 -290 0 0 {name=l35 sig_type=std_logic lab=sel[2]
+}
+C {devices/lab_wire.sym} 230 -200 0 1 {name=l36 sig_type=std_logic lab=enm[0]
+}
+C {devices/lab_wire.sym} 230 -220 0 1 {name=l37 sig_type=std_logic lab=enm[1]
+}
+C {devices/lab_wire.sym} 230 -240 0 1 {name=l38 sig_type=std_logic lab=enm[2]
+}
+C {devices/lab_wire.sym} 230 -260 0 1 {name=l39 sig_type=std_logic lab=enm[3]
+}
+C {devices/lab_wire.sym} 230 -280 0 1 {name=l40 sig_type=std_logic lab=enm[4]
+}
+C {devices/lab_wire.sym} 230 -300 0 1 {name=l42 sig_type=std_logic lab=enm[5]
+}
+C {devices/lab_wire.sym} 230 -320 0 1 {name=l43 sig_type=std_logic lab=enm[6]
+}
+C {devices/lab_wire.sym} 230 -340 0 1 {name=l44 sig_type=std_logic lab=enm[7]
+}
+C {devices/lab_wire.sym} 170 -370 3 1 {name=l41 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 150 -370 3 1 {name=l45 sig_type=std_logic lab=vss
+}
diff --git a/xschem/sub/testbuffer/mux.sym b/xschem/sub/testbuffer/mux.sym
new file mode 100644
index 0000000..ded6a9e
--- /dev/null
+++ b/xschem/sub/testbuffer/mux.sym
@@ -0,0 +1,29 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 107.5 -132.5 112.5 -127.5 {name=out dir=inout }
+B 5 57.5 -232.5 62.5 -227.5 {name=vdd dir=inout }
+B 5 37.5 -232.5 42.5 -227.5 {name=vss dir=inout }
+B 5 -2.5 -132.5 2.5 -127.5 {name=inp[7:0] dir=in}
+B 5 57.5 -22.5 62.5 -17.5 {name=sel[2:0] dir=in}
+P 4 2 90 -130 110 -130 {}
+P 4 2 60 -230 60 -206 {}
+P 4 2 40 -230 40 -219 {}
+P 4 2 60 -20 60 -40 {}
+P 4 2 60 -40 60 -53 {}
+P 4 2 20 -30 90 -70 {}
+P 4 2 90 -190 20 -230 {}
+P 4 2 20 -230 20 -30 {}
+P 4 2 90 -190 90 -70 {}
+P 4 2 20 -130 0 -130 {}
+T {@symname} 68.5 -96 2 0 0.3 0.3 {}
+T {@name} 76 -215 0 0 0.2 0.2 {}
+T {vdd} 51 -185 3 0 0.2 0.2 {}
+T {vss} 45 -216 1 0 0.2 0.2 {}
+T {7} 25 -205 0 0 0.2 0.2 {}
diff --git a/xschem/sub/testbuffer/testbuffer.pex.spice b/xschem/sub/testbuffer/testbuffer.pex.spice
new file mode 100644
index 0000000..053afaa
--- /dev/null
+++ b/xschem/sub/testbuffer/testbuffer.pex.spice
@@ -0,0 +1,961 @@
+* NGSPICE file created from testbuffer.ext - technology: sky130A
+
+
+* Top level circuit testbuffer
+
+.subckt testbuffer bias out vdd vss inp6 inp5 inp4 inp3 inp2 inp1 inp0 in2 in1 in0
+X0 vss a_n10687_9719# a_n9185_10289# vss sky130_fd_pr__nfet_01v8 ad=8.35522e+13p pd=7.91e+08u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X1 a_n9087_10807# a_n10885_9844# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X2 a_n9765_7385# a_n10687_9719# vss vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=0p ps=0u w=420000u l=150000u
+X3 a_n8399_5545# a_n8491_6481# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X4 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.70291e+13p pd=8.1547e+08u as=0p ps=0u w=870000u l=1.05e+06u
+X5 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X6 a_n9027_9201# a_n9923_6455# a_n9087_11351# vdd sky130_fd_pr__pfet_01v8_hvt ad=7.9e+11p pd=7.58e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X7 vss a_n10705_5393# a_n8817_7025# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X8 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X9 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X10 vdd a_n10885_9844# a_n10883_9745# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.415e+11p ps=2.83e+06u w=420000u l=150000u
+X11 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X12 vss a_n10581_8113# a_n10555_8631# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X13 a_1637_3950# a_1637_3950# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=1.044e+13p pd=8.476e+07u as=0p ps=0u w=2e+06u l=1e+06u
+X14 a_n9923_6455# a_n9185_10289# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X15 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.856e+13p pd=1.4076e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X16 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X17 a_n10801_9745# a_n10885_9844# a_n10883_9745# vss sky130_fd_pr__nfet_01v8 ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X18 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X19 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=6.38e+12p pd=5.676e+07u as=4.06e+12p ps=3.612e+07u w=1e+06u l=4e+06u
+X20 a_n10249_9317# a_n10759_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X21 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X22 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X23 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X24 a_1637_3950# a_1637_3950# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X25 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X26 a_n9477_5393# a_n9645_5393# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X27 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X28 a_8564_4527# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X29 vss a_1652_2439# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X30 a_1395_7095# out a_1911_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X31 a_n9393_11377# a_n9423_11351# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X32 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X33 a_n3086_3753# a_n3825_9723# inp1 vdd sky130_fd_pr__pfet_01v8 ad=2.32e+12p pd=2.064e+07u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X34 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X35 a_1395_7095# a_n3086_3753# a_1911_8558# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=4.64e+12p ps=3.432e+07u w=4e+06u l=1e+06u
+X36 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.624e+13p ps=1.352e+08u w=1e+06u l=1e+06u
+X37 vdd a_n9423_10807# a_n9393_10833# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X38 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X39 a_n2111_12708# a_n2387_12708# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X40 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X41 vdd a_n9423_11351# a_n9393_11377# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X42 a_n10759_9719# a_n10555_8631# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X43 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X44 a_n9915_7257# a_n9642_7257# a_n9684_7385# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X45 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X46 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X47 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X48 a_n10759_9719# a_n10555_8631# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X49 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X50 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X51 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X52 a_n2111_9723# a_n2387_9723# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X53 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=4.06e+12p pd=3.612e+07u as=6.38e+12p ps=5.676e+07u w=1e+06u l=4e+06u
+X54 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X55 a_n10405_7569# a_n10435_7543# vss vss sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X56 a_n10053_9201# a_n9923_6455# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X57 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X58 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X59 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X60 a_n10099_7543# a_n9643_6455# a_n9893_6731# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X61 vss a_n10885_9844# a_n9087_11351# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X62 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X63 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X64 a_n9645_5393# a_n9970_10289# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X65 a_8564_4527# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X66 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X67 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X68 a_n9477_5393# a_n9645_5393# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X69 a_n8755_9201# a_n10759_9719# a_n9027_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X70 vdd a_n8817_7025# a_n10885_9844# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X71 a_n9923_6455# a_n9185_10289# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X72 a_n9530_6297# a_n10581_8113# a_n9602_6297# vss sky130_fd_pr__nfet_01v8 ad=1.071e+11p pd=1.35e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X73 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X74 a_1637_3950# out a_1713_14350# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X75 vdd a_n8725_5937# a_n8557_5937# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X76 a_n3086_3753# a_n2111_6738# inp3 vss sky130_fd_pr__nfet_01v8 ad=2.32e+12p pd=2.064e+07u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X77 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X78 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X79 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X80 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.624e+13p pd=1.352e+08u as=0p ps=0u w=1e+06u l=1e+06u
+X81 a_n9237_8229# a_n9923_6455# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X82 a_1637_3950# a_n3086_3753# a_1913_15050# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.32e+12p ps=1.832e+07u w=2e+06u l=1e+06u
+X83 vdd a_n8817_7025# a_n10885_9844# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X84 vss a_n10405_7569# a_n2387_6738# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X85 a_n8755_9201# a_n10885_9844# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X86 a_n9393_11377# a_n9423_11351# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X87 vdd a_n10529_9745# a_n10147_10297# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X88 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=8.12e+12p pd=6.412e+07u as=0p ps=0u w=2e+06u l=4e+06u
+X89 a_n3549_3753# a_n3825_3753# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X90 a_1637_3950# a_n3086_3753# a_1913_15050# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X91 a_n8222_5393# a_n8399_5393# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X92 a_1410_10413# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=1.45e+12p pd=1.29e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X93 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X94 a_n10885_9844# a_n8817_7025# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X95 vdd a_8564_3671# out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=3.48e+12p ps=2.574e+07u w=4e+06u l=4e+06u
+X96 vdd a_n8725_5937# a_n8557_5937# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X97 vss a_n10053_9201# a_n9503_9745# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X98 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X99 vdd a_n10749_8113# a_n10581_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X100 vss a_1652_2439# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X101 a_1637_3950# out a_1713_14350# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X102 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X103 a_n9423_11351# a_n9087_11351# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X104 a_8564_3671# a_8564_3671# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X105 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X106 a_n9127_8113# a_n10759_9719# a_n8847_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X107 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X108 vdd a_n9915_7257# a_n10515_6169# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X109 a_n10099_7543# a_n10759_9719# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X110 vss a_n8399_5545# a_n8399_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X111 vdd a_n8725_5937# a_n8557_5937# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X112 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X113 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X114 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X115 vdd a_n10749_8113# a_n10581_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X116 a_n10437_7025# a_n10607_7025# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X117 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X118 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X119 vss a_n10759_9719# a_n9087_10807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X120 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X121 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X122 out a_8564_3671# vss vss sky130_fd_pr__nfet_01v8_lvt ad=3.48e+12p pd=2.574e+07u as=0p ps=0u w=4e+06u l=4e+06u
+X123 a_1911_7095# out a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X124 a_n9185_10289# a_n10687_9719# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X125 a_n3086_3753# a_n3825_12708# inp0 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X126 a_n9602_6297# a_n9789_5937# a_n9689_6053# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.07825e+11p ps=1.36e+06u w=420000u l=150000u
+X127 a_n9393_11377# a_n9423_11351# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X128 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X129 a_n8817_7025# a_n10705_5393# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X130 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X131 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X132 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X133 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X134 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X135 vss a_n10749_11377# a_n10687_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X136 a_1468_10316# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=1.032e+07u as=0p ps=0u w=1e+06u l=1e+06u
+X137 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X138 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X139 vss a_n10885_9844# a_n10053_9201# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X140 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X141 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X142 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X143 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X144 a_n10345_5937# a_n10515_5937# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X145 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X146 a_n10405_7569# a_n10435_7543# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X147 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X148 vss a_8564_3671# out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X149 vss a_n9645_5393# a_n9477_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X150 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X151 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X152 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X153 vdd a_n9477_5393# a_n2387_3753# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X154 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X155 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X156 a_1468_10316# a_1468_10316# a_1410_10413# vdd sky130_fd_pr__pfet_01v8_lvt ad=5.8e+11p pd=5.16e+06u as=0p ps=0u w=1e+06u l=4e+06u
+X157 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X158 a_n3086_3753# a_n2387_6738# inp3 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X159 vss a_n9643_6455# a_n10099_7543# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X160 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X161 out a_8564_3671# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X162 a_n9393_11377# a_n9423_11351# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X163 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X164 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X165 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X166 vss a_n9423_11351# a_n9393_11377# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X167 a_1911_8558# a_n3086_3753# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X168 a_n10405_7569# a_n10435_7543# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X169 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X170 a_n9915_7257# a_n10687_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X171 a_n9859_9201# a_n10885_9844# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X172 vdd a_n9333_5937# a_n8491_6481# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X173 vdd in2 a_n10883_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X174 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X175 a_n9923_6455# a_n9185_10289# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X176 vdd a_n9547_7721# a_n10607_7257# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X177 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X178 vdd a_n10705_5393# a_n8817_7025# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X179 a_n10249_9317# a_n10759_9719# vss vss sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X180 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X181 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X182 vdd in0 a_n10749_11377# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X183 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X184 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X185 a_n9087_11351# a_n10759_9719# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X186 a_n10435_7543# a_n10099_7543# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X187 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X188 vss a_n9423_10807# a_n9393_10833# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X189 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X190 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X191 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X192 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X193 a_n9789_5937# a_n10687_9719# vss vss sky130_fd_pr__nfet_01v8 ad=1.0785e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X194 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X195 a_n10529_9745# a_n10883_9745# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X196 a_n9689_6053# a_n10581_8113# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X197 vdd a_n10885_9844# a_n9643_6455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X198 a_n9547_7721# a_n9274_7549# a_n9316_7575# vss sky130_fd_pr__nfet_01v8 ad=1.07825e+11p pd=1.36e+06u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X199 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X200 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X201 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X202 vdd a_n8817_7025# a_n10885_9844# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X203 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X204 a_8564_3671# a_8564_3671# a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X205 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X206 vdd a_n10437_7025# a_n8725_5937# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X207 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X208 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X209 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X210 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X211 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X212 vss in0 a_n10749_11377# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X213 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X214 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X215 vss a_n8817_7025# a_n10885_9844# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X216 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X217 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X218 vss a_n9393_10833# a_n3825_12708# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X219 vss a_n8817_7025# a_n10885_9844# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X220 vdd a_n9423_11351# a_n9393_11377# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X221 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X222 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X223 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X224 vdd in1 a_n10749_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X225 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X226 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X227 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X228 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X229 vss a_n8557_5937# a_n3825_6738# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X230 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X231 vdd a_n9185_10289# a_n9923_6455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X232 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X233 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X234 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X235 a_1637_3950# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X236 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X237 a_1911_8558# a_n3086_3753# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X238 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X239 vss a_n10759_9719# a_n10099_7543# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X240 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X241 a_n10437_7025# a_n10607_7025# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X242 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X243 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X244 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X245 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X246 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X247 a_1395_7095# a_n3086_3753# a_1911_8558# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X248 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X249 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X250 a_1410_10413# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X251 a_n8222_8657# a_n8399_8657# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X252 a_n9423_10807# a_n9087_10807# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X253 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X254 a_n10435_7543# a_n10099_7543# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X255 vss a_n10437_7025# a_n8725_5937# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X256 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X257 a_n10053_9201# a_n10885_9844# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X258 a_n3549_9723# a_n3825_9723# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X259 vss a_n8725_5937# a_n8557_5937# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X260 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X261 a_n10687_9719# a_n10749_11377# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X262 a_n10749_11377# in0 vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X263 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X264 a_n2111_6738# a_n2387_6738# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X265 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X266 vdd a_1410_10413# a_1410_10413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X267 vdd a_n10345_5937# a_n8399_8657# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X268 vdd a_n9393_10833# a_n3825_12708# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X269 a_n9477_5393# a_n9645_5393# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X270 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X271 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X272 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X273 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X274 vss a_n10529_9745# a_n10147_10297# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X275 vss a_n10345_5937# a_n8399_8657# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X276 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X277 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X278 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X279 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X280 a_1637_3950# a_1637_3950# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X281 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X282 a_n10885_9844# a_n8817_7025# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X283 vdd a_n10749_11377# a_n10687_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.1e+11p ps=7.62e+06u w=1e+06u l=150000u
+X284 vdd a_n9337_9745# a_n3825_9723# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X285 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X286 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X287 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X288 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X289 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X290 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X291 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X292 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X293 a_1637_3950# a_1637_3950# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X294 a_1395_7095# a_1395_7095# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X295 vss a_1652_2439# a_1468_10316# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X296 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X297 a_n10581_8113# a_n10749_8113# vss vss sky130_fd_pr__nfet_01v8 ad=5.265e+11p pd=5.52e+06u as=0p ps=0u w=650000u l=150000u
+X298 vdd a_n8222_5393# a_n3825_3753# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X299 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X300 vdd a_n10885_9844# a_n8755_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X301 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X302 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X303 a_n10885_9844# a_n8817_7025# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X304 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X305 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X306 vss a_n9503_9745# a_n9337_9745# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.51e+11p ps=3.68e+06u w=650000u l=150000u
+X307 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X308 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X309 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X310 vss a_n9503_9745# a_n9337_9745# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X311 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X312 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X313 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X314 a_n9087_10807# a_n9237_8229# a_n9127_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X315 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X316 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X317 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X318 a_n10581_8113# a_n10749_8113# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X319 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X320 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X321 a_n9393_10833# a_n9423_10807# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X322 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X323 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X324 a_n3086_3753# a_n2387_3753# inp6 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X325 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X326 a_n9477_5393# a_n9645_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X327 vss a_n9923_6455# a_n10099_7543# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X328 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X329 vss a_n10687_9719# a_n10729_9745# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X330 a_n8399_5545# a_n8491_6481# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X331 a_n10687_9719# a_n10749_11377# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X332 a_n8557_5937# a_n8725_5937# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X333 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X334 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X335 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X336 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X337 vss a_n10885_9844# a_n9087_10807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X338 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X339 a_n10581_8113# a_n10749_8113# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X340 a_1395_7095# out a_1911_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X341 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X342 a_n3549_6738# a_n3825_6738# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X343 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X344 a_n10759_9719# a_n10555_8631# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X345 a_n3086_3753# a_n2111_3753# inp6 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X346 a_n2111_3753# a_n2387_3753# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X347 a_n9477_5393# a_n9645_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X348 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X349 a_n3086_3753# a_n2111_9723# inp2 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X350 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X351 a_n10885_9844# a_n8817_7025# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X352 a_n10749_11377# in0 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X353 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X354 a_8564_4527# a_8564_4527# a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X355 a_n10147_6731# a_n10759_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=8.3e+11p pd=7.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X356 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X357 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X358 a_n10581_8113# a_n10749_8113# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X359 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X360 a_n8557_5937# a_n8725_5937# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X361 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X362 vss a_n9477_5393# a_n2387_3753# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X363 vss a_n9915_7257# a_n10515_6169# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X364 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X365 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X366 a_1395_7095# out a_1911_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X367 vss a_n9645_5393# a_n9477_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X368 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X369 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X370 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X371 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X372 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X373 a_8564_3671# a_8564_3671# a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X374 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X375 a_n10687_9719# a_n10749_11377# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X376 a_n9393_10833# a_n9423_10807# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X377 a_1395_7095# a_1395_7095# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X378 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X379 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X380 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X381 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X382 vdd a_n10435_7543# a_n10405_7569# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X383 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X384 a_n10687_9719# a_n10749_11377# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X385 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X386 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X387 vdd a_n8399_5545# a_n8399_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X388 vss a_1652_2439# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X389 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X390 a_n8725_5937# a_n10437_7025# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X391 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X392 vss a_n9923_6455# a_n10053_9201# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X393 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X394 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X395 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X396 vdd a_n10705_5393# a_n9689_6053# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X397 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X398 a_n9547_7721# a_n9274_7549# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.5725e+11p pd=2.99e+06u as=0p ps=0u w=420000u l=150000u
+X399 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X400 vdd a_n10435_7543# a_n10405_7569# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X401 a_n3086_3753# a_n2111_12708# vdd vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.34219e+13p ps=1.10063e+09u w=1e+06u l=500000u
+X402 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X403 vss a_n10749_11377# a_n10687_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X404 a_n10687_9719# a_n10749_11377# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X405 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X406 a_n3086_3753# a_n3549_6738# inp4 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X407 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X408 a_n10705_5393# a_n10883_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X409 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X410 vdd a_n10607_7257# a_n10607_7025# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X411 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X412 a_1637_3950# out a_1713_14350# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X413 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X414 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X415 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X416 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X417 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X418 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X419 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X420 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X421 a_1637_3950# a_n3086_3753# a_1913_15050# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X422 vss a_n8399_5393# a_n8222_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X423 a_1913_15050# a_n3086_3753# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X424 vss a_n8817_7025# a_n10885_9844# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X425 a_n10687_9719# a_n10749_11377# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X426 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X427 a_n9337_9745# a_n9503_9745# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X428 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X429 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X430 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X431 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X432 vdd a_n8399_8657# a_n8222_8657# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X433 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X434 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X435 bias bias a_1652_2439# vss sky130_fd_pr__nfet_01v8_lvt ad=1.16e+12p pd=9.16e+06u as=2.9e+12p ps=2.406e+07u w=2e+06u l=4e+06u
+X436 vdd a_n10515_6169# a_n10515_5937# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X437 a_1713_14350# out a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X438 vdd a_1410_10413# a_1410_10413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X439 a_n9970_10289# a_n10147_10297# vss vss sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=0p ps=0u w=650000u l=150000u
+X440 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X441 a_n10759_9719# a_n10555_8631# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X442 vss a_n9423_10807# a_n9393_10833# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X443 a_n9645_5393# a_n9970_10289# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X444 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X445 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X446 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X447 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X448 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X449 vss a_8564_3671# out vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X450 vss a_n10749_11377# a_n10687_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X451 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X452 vdd a_n10749_11377# a_n10687_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X453 a_1911_7095# out a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X454 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X455 a_n10759_9719# a_n10555_8631# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X456 a_n9087_10807# a_n9237_8229# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X457 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X458 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X459 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X460 a_1410_10413# a_1468_10316# a_1468_10316# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X461 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X462 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X463 vss a_n8725_5937# a_n8557_5937# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X464 a_n3086_3753# a_n3825_6738# inp4 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X465 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X466 out a_8564_3671# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X467 a_1911_7095# out a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X468 vdd a_n10749_11377# a_n10687_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X469 a_1637_3950# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X470 a_8564_3671# a_8564_3671# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X471 vss a_n9237_8229# a_n9087_10807# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X472 vss a_n9923_6455# a_n9087_11351# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X473 vss a_n8222_5393# a_n3825_3753# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X474 a_1468_10316# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X475 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X476 a_n10345_5937# a_n10515_5937# vss vss sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=0p ps=0u w=520000u l=150000u
+X477 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X478 a_n10581_8113# a_n10749_8113# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X479 a_1713_14350# out a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X480 vdd a_n10687_9719# a_n10883_9745# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X481 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X482 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X483 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X484 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X485 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X486 vss a_n9185_10289# a_n9923_6455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X487 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X488 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X489 vss a_n10705_5393# a_n9642_7257# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X490 a_8564_4527# a_8564_4527# a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X491 a_1913_15050# a_n3086_3753# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X492 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X493 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X494 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X495 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X496 vss a_n10607_7257# a_n10607_7025# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X497 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X498 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X499 a_8564_4527# a_8564_4527# a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X500 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X501 vss a_n8222_8657# a_n2387_9723# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X502 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X503 a_n2111_3753# a_n2387_3753# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X504 vdd a_n10705_5393# a_n9642_7257# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X505 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X506 a_1713_14350# out a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X507 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X508 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X509 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X510 a_n9337_9745# a_n9503_9745# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=5.4e+11p pd=5.08e+06u as=0p ps=0u w=1e+06u l=150000u
+X511 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X512 vss a_1652_2439# a_1652_2439# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X513 a_1913_15050# a_n3086_3753# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X514 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X515 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X516 vdd a_n10555_8631# a_n10759_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X517 vdd a_n9645_5393# a_n9477_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X518 a_n10053_9201# a_n10249_9317# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X519 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X520 vss a_1652_2439# a_1652_2439# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X521 a_n9333_5937# a_n9689_6053# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X522 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X523 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X524 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X525 a_n9684_7385# a_n10581_8113# a_n9765_7385# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X526 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X527 vss a_n9185_10289# a_n9923_6455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X528 a_n9337_9745# a_n9503_9745# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X529 a_n9789_5937# a_n10687_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.087e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X530 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X531 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X532 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X533 vdd a_n10555_8631# a_n10759_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X534 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X535 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X536 vdd a_n9645_5393# a_n9477_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X537 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X538 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X539 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X540 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X541 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X542 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X543 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X544 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X545 a_n10053_9201# a_n10249_9317# a_n10139_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=8.3e+11p ps=7.66e+06u w=1e+06u l=150000u
+X546 a_n9859_9201# a_n9923_6455# a_n10139_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X547 vdd a_n10749_8113# a_n10581_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X548 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X549 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X550 vss a_n10749_8113# a_n10581_8113# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X551 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X552 a_1395_7095# a_1395_7095# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X553 vss a_n8399_8657# a_n8222_8657# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X554 vdd a_n9645_5393# a_n9477_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X555 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X556 a_n9970_10289# a_n10147_10297# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X557 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X558 a_1637_3950# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X559 a_n10147_6731# a_n9923_6455# a_n9893_6731# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X560 a_n10139_9201# a_n10249_9317# a_n10053_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X561 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X562 vss a_n10555_8631# a_n10759_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X563 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X564 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X565 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X566 a_n8557_5937# a_n8725_5937# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X567 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X568 vss a_n10555_8631# a_n10759_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X569 vdd a_n10405_7569# a_n2387_6738# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X570 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X571 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X572 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X573 a_n8557_5937# a_n8725_5937# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X574 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X575 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X576 vss a_n10435_7543# a_n10405_7569# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X577 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X578 a_n9087_11351# a_n10885_9844# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X579 vss a_n10147_10297# a_n9970_10289# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X580 a_8564_3671# a_8564_3671# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X581 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X582 vdd a_n9923_6455# a_n9547_7721# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X583 vdd a_n8399_5393# a_n8222_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X584 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X585 a_1395_7095# a_n3086_3753# a_1911_8558# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X586 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X587 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X588 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X589 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X590 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X591 vss a_1652_2439# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X592 out a_8564_3671# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X593 a_n9087_11351# a_n9923_6455# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X594 vss in1 a_n10749_8113# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X595 a_n8847_8113# a_n10759_9719# a_n9127_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X596 a_n9237_8229# a_n9923_6455# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X597 vss a_n9547_7721# a_n10607_7257# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X598 vss a_n10749_8113# a_n10581_8113# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X599 a_1637_3950# a_n3086_3753# a_1913_15050# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X600 vdd a_n10883_5393# a_n10705_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X601 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X602 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X603 a_n9337_9745# a_n9503_9745# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X604 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X605 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X606 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X607 a_n3086_3753# a_n2387_9723# inp2 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X608 out a_8564_3671# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X609 a_n9923_6455# a_n9185_10289# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X610 a_n9127_8113# a_n9237_8229# a_n9087_10807# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X611 a_n3086_3753# a_n3825_3753# inp5 vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X612 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X613 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X614 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X615 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X616 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X617 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X618 a_1637_3950# out a_1713_14350# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X619 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X620 a_n8847_8113# a_n10885_9844# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X621 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X622 a_n8222_8657# a_n8399_8657# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X623 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X624 a_n9915_7257# a_n9642_7257# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X625 a_n9087_11351# a_n9923_6455# a_n9027_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X626 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X627 a_1395_7095# a_n3086_3753# a_1911_8558# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X628 vdd a_n9503_9745# a_n9337_9745# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X629 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X630 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X631 a_n3086_3753# a_n3549_3753# inp5 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X632 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X633 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X634 a_1410_10413# a_1468_10316# a_1468_10316# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X635 a_n3086_3753# a_n3549_9723# inp1 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X636 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X637 vss a_n10885_9844# a_n9643_6455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X638 a_1913_15050# a_8564_4527# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X639 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X640 a_1395_7095# a_1395_7095# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X641 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X642 a_8564_3671# a_8564_3671# a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X643 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X644 a_n10883_9745# a_n10759_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X645 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X646 a_n3086_3753# a_n3549_12708# inp0 vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X647 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X648 vss a_n9337_9745# a_n3825_9723# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X649 vdd a_n10555_8631# a_n10759_9719# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X650 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X651 a_n9923_6455# a_n9185_10289# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X652 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X653 vdd a_n9970_10289# a_n9645_5393# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X654 a_n10555_8631# a_n10581_8113# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X655 vss a_n8725_5937# a_n8557_5937# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X656 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X657 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X658 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X659 a_8564_4527# a_8564_4527# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X660 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X661 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X662 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X663 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X664 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X665 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X666 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X667 a_8564_4527# a_8564_4527# a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X668 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X669 a_n10099_7543# a_n9643_6455# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X670 a_n3549_6738# a_n3825_6738# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X671 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X672 a_1911_7095# bias a_8564_4527# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X673 vdd a_n10759_9719# a_n10147_6731# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X674 a_n9316_7575# a_n9923_6455# a_n9397_7575# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.071e+11p ps=1.35e+06u w=420000u l=150000u
+X675 a_1913_15050# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X676 a_1911_8558# a_n3086_3753# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X677 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X678 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X679 vss a_n10883_5393# a_n10705_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X680 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X681 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X682 a_n10581_8113# a_n10749_8113# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X683 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X684 a_n2111_9723# a_n2387_9723# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X685 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X686 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X687 a_n10759_9719# a_n10555_8631# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X688 a_n9893_6731# a_n9643_6455# a_n10099_7543# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X689 a_1713_14350# a_1713_14350# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X690 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X691 a_n10405_7569# a_n10435_7543# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X692 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X693 a_n3086_3753# a_n2387_12708# vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
+X694 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X695 vss a_n10759_9719# a_n9087_11351# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X696 vss a_n9333_5937# a_n8491_6481# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X697 bias bias a_1652_2439# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X698 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X699 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X700 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X701 a_n8557_5937# a_n8725_5937# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X702 vdd a_n9423_10807# a_n9393_10833# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X703 a_1652_2439# bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X704 vdd a_n8557_5937# a_n3825_6738# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X705 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X706 a_n10749_8113# in1 vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X707 vss a_n9185_10289# a_n9923_6455# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X708 vdd a_1713_14350# a_1713_14350# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X709 a_1652_2439# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X710 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X711 vdd a_n10687_9719# a_n9185_10289# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X712 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X713 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X714 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X715 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X716 vdd a_n10053_9201# a_n9503_9745# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X717 a_1652_2439# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X718 a_1913_15050# a_n3086_3753# a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X719 vss a_n10249_9317# a_n10053_9201# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X720 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X721 a_n10885_9844# a_n8817_7025# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X722 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X723 a_n3549_3753# a_n3825_3753# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X724 a_n9333_5937# a_n9689_6053# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X725 out a_8564_3671# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X726 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X727 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X728 a_1911_8558# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X729 a_1713_14350# out a_1637_3950# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=1e+06u
+X730 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X731 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X732 vdd a_1713_14350# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X733 vss a_n9393_11377# a_n2387_12708# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X734 vdd a_8564_3671# out vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=4e+06u
+X735 a_1395_7095# a_1410_10413# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X736 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X737 vss a_n10515_6169# a_n10515_5937# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+X738 a_1911_8558# a_n3086_3753# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X739 vss a_n9423_11351# a_n9393_11377# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X740 vdd a_n9503_9745# a_n9337_9745# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X741 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X742 a_n2111_6738# a_n2387_6738# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X743 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X744 a_8564_4527# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X745 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X746 a_n10529_9745# a_n10883_9745# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X747 a_n3549_12708# a_n3825_12708# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X748 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X749 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X750 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X751 a_n10139_9201# a_n9923_6455# a_n9859_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X752 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X753 a_n9423_10807# a_n9087_10807# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X754 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X755 a_n10555_8631# a_n10581_8113# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=2.7e+11p pd=2.54e+06u as=0p ps=0u w=1e+06u l=150000u
+X756 vss a_1652_2439# a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X757 a_n9477_5393# a_n9645_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X758 vdd a_n9185_10289# a_n9923_6455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X759 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X760 vss a_n10555_8631# a_n10759_9719# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X761 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X762 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X763 a_n9893_6731# a_n9923_6455# a_n10147_6731# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X764 vss a_n10435_7543# a_n10405_7569# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X765 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X766 vss a_1652_2439# a_1468_10316# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X767 a_n9397_7575# a_n10705_5393# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X768 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X769 a_n9923_6455# a_n9185_10289# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X770 vdd a_n10885_9844# a_n9859_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X771 vdd a_n10581_8113# a_n10555_8631# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X772 vss a_n9970_10289# a_n9645_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X773 a_1911_7095# out a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X774 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X775 vss a_n9645_5393# a_n9477_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X776 a_8564_4527# bias a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X777 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X778 a_n8222_5393# a_n8399_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X779 a_n8817_7025# a_n10705_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X780 a_8564_4527# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X781 vss a_1652_2439# a_1911_7095# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X782 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X783 vss a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X784 vdd a_n9393_11377# a_n2387_12708# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X785 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X786 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X787 a_n9393_10833# a_n9423_10807# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X788 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X789 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X790 vdd a_n9185_10289# a_n9923_6455# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X791 a_8564_3671# a_8564_4527# a_1913_15050# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X792 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X793 a_n10705_5393# a_n10883_5393# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X794 vss a_n10749_8113# a_n10581_8113# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X795 a_1395_7095# out a_1911_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4e+06u l=1e+06u
+X796 vdd a_n9789_5937# a_n9689_6053# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X797 vdd a_n10147_10297# a_n9970_10289# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X798 a_1468_10316# a_1468_10316# a_1410_10413# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X799 a_n10729_9745# a_n10759_9719# a_n10801_9745# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X800 a_n9547_7721# a_n10705_5393# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X801 vdd a_n8222_8657# a_n2387_9723# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=400000u
+X802 a_8564_3671# bias a_1911_8558# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X803 vss a_n10705_5393# a_n9530_6297# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X804 a_8564_3671# a_8564_3671# a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X805 a_n10885_9844# a_n8817_7025# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X806 a_n9185_10289# a_n10687_9719# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X807 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X808 vss a_n10581_8113# a_n9274_7549# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.0785e+11p ps=1.36e+06u w=420000u l=150000u
+X809 a_n3549_12708# a_n3825_12708# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X810 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X811 vdd a_1410_10413# a_1395_7095# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X812 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X813 a_1911_8558# bias a_8564_3671# vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X814 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X815 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X816 a_n8725_5937# a_n10437_7025# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X817 a_1637_3950# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X818 a_n9423_11351# a_n9087_11351# vss vss sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X819 vdd vss vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X820 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X821 a_n3549_9723# a_n3825_9723# vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X822 vdd a_n10885_9844# a_n8847_8113# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X823 a_1911_7095# a_1652_2439# vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X824 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X825 vss in2 a_n10883_5393# vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X826 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X827 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X828 a_n9393_10833# a_n9423_10807# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X829 a_n9027_9201# a_n10759_9719# a_n8755_9201# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X830 a_1713_14350# a_8564_4527# a_8564_4527# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X831 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X832 vss vdd vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X833 vdd a_n10581_8113# a_n9915_7257# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X834 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+X835 a_8564_3671# a_8564_3671# a_8564_3671# vdd sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=4e+06u
+X836 a_n2111_12708# a_n2387_12708# vss vss sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=400000u
+X837 a_n8557_5937# a_n8725_5937# vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X838 a_n10099_7543# a_n9923_6455# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X839 a_n10749_8113# in1 vdd vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X840 vdd a_n10581_8113# a_n9274_7549# vdd sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.087e+11p ps=1.36e+06u w=420000u l=150000u
+X841 vss vss vss vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X842 a_n9087_10807# a_n10759_9719# vss vss sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X843 a_1652_2439# bias bias vss sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=2e+06u l=4e+06u
+C0 vdd a_8564_4527# 5.07fF
+C1 a_n10345_5937# vdd 3.31fF
+C2 a_1911_8558# a_1911_7095# 68.20fF
+C3 vdd a_n8222_8657# 2.36fF
+C4 a_1395_7095# a_1911_8558# 8.44fF
+C5 vdd a_n10759_9719# 5.33fF
+C6 a_8564_3671# a_8564_4527# 15.66fF
+C7 a_8564_4527# a_1713_14350# 30.10fF
+C8 vdd a_n8222_5393# 2.66fF
+C9 a_n10759_9719# a_n9923_6455# 2.07fF
+C10 vdd a_1468_10316# 3.25fF
+C11 a_n3086_3753# out 12.44fF
+C12 vdd out 4.18fF
+C13 inp1 inp0 2.47fF
+C14 a_1637_3950# a_1468_10316# 12.59fF
+C15 a_8564_3671# out 128.04fF
+C16 a_1911_8558# bias 2.57fF
+C17 inp3 inp4 3.80fF
+C18 vdd a_n10687_9719# 7.29fF
+C19 vdd a_1913_15050# 12.25fF
+C20 inp5 inp4 2.47fF
+C21 a_1395_7095# a_1911_7095# 4.88fF
+C22 a_1652_2439# a_1468_10316# 10.47fF
+C23 a_8564_3671# a_1911_8558# 29.78fF
+C24 vdd a_n9337_9745# 3.32fF
+C25 a_1637_3950# a_1911_8558# 9.69fF
+C26 a_8564_3671# a_1913_15050# 32.36fF
+C27 a_1913_15050# a_1713_14350# 43.84fF
+C28 a_1637_3950# a_1913_15050# 6.88fF
+C29 vdd inp0 4.22fF
+C30 a_1652_2439# a_1911_8558# 3.35fF
+C31 vdd a_n10515_6169# 2.21fF
+C32 bias a_1911_7095# 6.95fF
+C33 vdd a_1395_7095# 21.05fF
+C34 a_n9477_5393# vdd 2.56fF
+C35 a_8564_3671# a_1911_7095# 9.42fF
+C36 vdd a_n10581_8113# 5.27fF
+C37 a_8564_4527# a_1911_8558# 9.22fF
+C38 vdd a_n10885_9844# 4.60fF
+C39 a_1637_3950# a_1911_7095# 3.67fF
+C40 inp2 inp1 3.80fF
+C41 a_8564_4527# a_1913_15050# 9.87fF
+C42 inp6 inp5 3.53fF
+C43 a_n10759_9719# a_n10687_9719# 2.91fF
+C44 a_n9087_10807# a_n9923_6455# 2.31fF
+C45 vdd a_n3086_3753# 4.55fF
+C46 vdd a_n10705_5393# 4.25fF
+C47 vdd a_n9970_10289# 3.01fF
+C48 vdd a_n9923_6455# 5.66fF
+C49 vdd a_n8557_5937# 3.19fF
+C50 vdd a_8564_3671# 9.46fF
+C51 vdd a_1410_10413# 5.20fF
+C52 vdd a_1713_14350# 6.70fF
+C53 inp2 vdd 2.12fF
+C54 vdd a_n10437_7025# 2.20fF
+C55 a_8564_4527# a_1911_7095# 28.47fF
+C56 a_8564_3671# a_1713_14350# 10.30fF
+C57 vdd a_n9393_10833# 3.71fF
+C58 a_1637_3950# a_1713_14350# 3.57fF
+C59 vdd a_n10405_7569# 3.93fF
+C60 a_n10345_5937# a_n10885_9844# 2.11fF
+C61 a_1637_3950# a_1652_2439# 9.06fF
+C62 a_1652_2439# vss 68.84fF
+C63 inp6 vss 6.95fF
+C64 a_n2387_3753# vss 2.16fF
+C65 inp5 vss 6.35fF
+C66 a_n3825_3753# vss 2.16fF
+C67 a_1637_3950# vss 37.22fF
+C68 a_n8222_5393# vss 4.13fF
+C69 a_n9477_5393# vss 7.00fF
+C70 bias vss 56.98fF
+C71 inp3 vss 6.98fF
+C72 a_n2387_6738# vss 2.16fF
+C73 inp4 vss 6.52fF
+C74 a_n3825_6738# vss 2.16fF
+C75 a_n8557_5937# vss 4.76fF
+C76 a_n10705_5393# vss 3.75fF
+C77 a_n10405_7569# vss 4.91fF
+C78 a_n10099_7543# vss 2.42fF
+C79 a_1911_7095# vss 52.59fF
+C80 a_1911_8558# vss 51.30fF
+C81 a_n10345_5937# vss 2.94fF
+C82 a_n10581_8113# vss 4.12fF
+C83 out vss 35.80fF
+C84 a_n10885_9844# vss 8.83fF
+C85 a_n10759_9719# vss 5.81fF
+C86 a_1468_10316# vss 28.65fF
+C87 inp2 vss 6.73fF
+C88 a_n2387_9723# vss 2.16fF
+C89 inp1 vss 6.27fF
+C90 a_n3825_9723# vss 2.16fF
+C91 a_n9337_9745# vss 4.75fF
+C92 a_n9923_6455# vss 4.30fF
+C93 a_n9087_10807# vss 2.44fF
+C94 a_n10687_9719# vss 6.67fF
+C95 a_n9087_11351# vss 2.10fF
+C96 a_1395_7095# vss 10.52fF
+C97 a_1410_10413# vss 9.92fF
+C98 a_8564_4527# vss 75.06fF
+C99 a_8564_3671# vss 87.86fF
+C100 a_n2387_12708# vss 2.16fF
+C101 a_n9393_11377# vss 6.24fF
+C102 a_n3086_3753# vss 26.67fF
+C103 inp0 vss 6.10fF
+C104 a_n3825_12708# vss 2.16fF
+C105 a_n9393_10833# vss 5.30fF
+C106 a_1913_15050# vss 31.16fF
+C107 a_1713_14350# vss 35.81fF
+C108 vdd vss 639.61fF
+.ends
+
diff --git a/xschem/sub/testbuffer/testbuffer.sch b/xschem/sub/testbuffer/testbuffer.sch
new file mode 100644
index 0000000..2891bbe
--- /dev/null
+++ b/xschem/sub/testbuffer/testbuffer.sch
@@ -0,0 +1,42 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 300 -190 380 -190 { lab=mux_out}
+N 350 -130 380 -130 { lab=out}
+N 350 -130 350 -60 { lab=out}
+N 350 -60 530 -60 { lab=out}
+N 530 -160 530 -60 { lab=out}
+N 500 -160 530 -160 { lab=out}
+N 530 -160 570 -160 { lab=out}
+N 450 -230 450 -200 { lab=vdd}
+N 450 -120 450 -90 { lab=vss}
+N 430 -240 430 -210 { lab=bias}
+N 230 -320 230 -290 { lab=vss}
+N 250 -320 250 -290 { lab=vdd}
+N 250 -80 250 -30 { lab=ctl[2:0]}
+C {devices/iopin.sym} 570 -160 0 0 {name=p1 lab=out}
+C {devices/iopin.sym} 30 -100 0 0 {name=p3 lab=vss}
+C {devices/iopin.sym} 30 -130 0 0 {name=p4 lab=vdd}
+C {testbuffer/mux.sym} 190 -60 0 0 {name=xmux
+}
+C {opamp/se_folded_cascode_np_ab.sym} 530 -160 0 0 {name=xamp
+}
+C {devices/lab_wire.sym} 450 -200 1 0 {name=l2 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 450 -120 1 1 {name=l3 sig_type=std_logic lab=vss}
+C {devices/iopin.sym} 430 -240 3 0 {name=p6 lab=bias
+}
+C {devices/lab_wire.sym} 250 -290 1 0 {name=l9 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 230 -290 3 1 {name=l1 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 250 -80 3 0 {name=l5 sig_type=std_logic lab=ctl[2:0]
+}
+C {devices/lab_wire.sym} 190 -190 0 0 {name=l14 sig_type=std_logic lab=inp[6:0],vdd
+}
+C {devices/lab_wire.sym} 370 -190 0 0 {name=l16 sig_type=std_logic lab=mux_out
+}
+C {xschem/symbols/devices/ipin.sym} 110 -50 0 0 {name=p8 lab=inp[6:0]
+}
+C {xschem/symbols/devices/ipin.sym} 110 -30 0 0 {name=p5 lab=ctl[2:0]
+}
diff --git a/xschem/sub/testbuffer/testbuffer.sym b/xschem/sub/testbuffer/testbuffer.sym
new file mode 100644
index 0000000..de51941
--- /dev/null
+++ b/xschem/sub/testbuffer/testbuffer.sym
@@ -0,0 +1,43 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+B 5 -62.5 -52.5 -57.5 -47.5 {name=bias dir=inout }
+B 5 17.5 -2.5 22.5 2.5 {name=out dir=inout }
+B 5 -92.5 -52.5 -87.5 -47.5 {name=vdd dir=inout }
+B 5 -32.5 -52.5 -27.5 -47.5 {name=vss dir=inout }
+B 5 -132.5 -2.5 -127.5 2.5 {name=inp[6:0]
+ dir=in }
+B 5 -132.5 37.5 -127.5 42.5 {name=ctl[2:0] dir=in }
+P 4 5 -70 -30 -70 30 -50 20 -50 -20 -70 -30 {}
+P 4 2 -50 0 -30 0 {}
+P 4 4 -30 -10 -30 10 -10 0 -30 -10 {}
+P 4 2 -10 0 20 0 {}
+P 4 3 -60 30 -60 40 -130 40 {}
+P 4 2 -130 0 -80 0 {}
+P 4 2 -70 -18 -80 -18 {}
+P 4 2 -70 -13 -80 -13 {}
+P 4 2 -70 -8 -80 -8 {}
+P 4 2 -70 -3 -80 -3 {}
+P 4 2 -70 2 -80 2 {}
+P 4 2 -70 7 -80 7 {}
+P 4 2 -70 12 -80 12 {}
+P 4 2 -70 17 -80 17 {}
+P 4 2 -60 30 -60 25 {}
+P 4 6 -130 30 -130 -50 10 -50 10 50 -130 50 -130 30 {}
+P 4 2 -80 -18 -80 12 {}
+P 4 3 -80 17 -92 17 -92 11 {}
+P 4 2 -96 10 -88 10 {}
+P 4 2 -92 11 -92 10 {}
+T {@symname} -63 54 0 0 0.3 0.3 {}
+T {@name} -15 -62 0 0 0.2 0.2 {}
+T {bias} -45 -44 0 1 0.2 0.2 {}
+T {vdd} -85 -44 0 1 0.2 0.2 {}
+T {vss} -15 -44 0 1 0.2 0.2 {}
+T {inp[6:0]} -125 -14 0 0 0.2 0.2 {}
+T {ctl[2:0]} -115 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/top/top.sch b/xschem/sub/top/top.sch
new file mode 100644
index 0000000..cbdab28
--- /dev/null
+++ b/xschem/sub/top/top.sch
@@ -0,0 +1,95 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 580 -820 580 -780 {lab=avdd}
+N 620 -820 620 -780 {lab=dvdd}
+N 580 -600 580 -560 {lab=avss}
+N 620 -600 620 -560 {lab=dvss}
+N 810 -690 850 -690 {lab=result[9:0]}
+N 810 -660 850 -660 {lab=valid}
+N 450 -740 480 -740 {lab=inp}
+N 450 -640 480 -640 {lab=inn}
+N 710 -880 710 -780 {lab=en_caladc}
+N 670 -880 710 -880 {lab=en_caladc}
+N 740 -600 740 -560 {lab=en_adc}
+N 760 -600 760 -560 {lab=rstn}
+N 710 -600 710 -310 { lab=clksys}
+N 650 -310 710 -310 { lab=clksys}
+N 710 -310 750 -310 { lab=clksys}
+N 810 -310 840 -310 { lab=clksys}
+N 140 -410 170 -410 { lab=vdd}
+N 140 -390 170 -390 { lab=vss}
+N 140 -360 170 -360 { lab=rstn}
+N 140 -340 170 -340 { lab=clkext}
+N 140 -320 170 -320 { lab=vbg_ext}
+N 140 -130 170 -130 { lab=refsel}
+N 140 -240 170 -240 { lab=en_clk_int}
+N 140 -280 170 -280 { lab=en_ldo_ana}
+N 140 -260 170 -260 { lab=en_ldo_dig}
+N 140 -150 170 -150 { lab=clksel}
+N 470 -410 520 -410 { lab=avdd}
+N 470 -360 520 -360 { lab=dvdd}
+N 470 -310 520 -310 { lab=clksys}
+N 140 -220 170 -220 { lab=en_clkdiv}
+N 520 -310 650 -310 { lab=clksys}
+N 750 -310 810 -310 { lab=clksys}
+N 470 -180 840 -180 { lab=tbout}
+N 470 -390 520 -390 { lab=avss}
+N 470 -340 520 -340 { lab=dvss}
+N 140 -90 170 -90 { lab=bgtrim[15:0]}
+N 140 -180 170 -180 { lab=tbctl[2:0]}
+C {devices/lab_wire.sym} 580 -780 3 1 {name=l10 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 580 -600 3 0 {name=l8 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 620 -780 3 1 {name=l32 sig_type=std_logic lab=dvdd}
+C {devices/lab_wire.sym} 620 -600 3 0 {name=l33 sig_type=std_logic lab=dvss}
+C {devices/ipin.sym} 450 -740 0 0 {name=p3 lab=inp}
+C {devices/ipin.sym} 450 -640 0 0 {name=p4 lab=inn}
+C {devices/ipin.sym} 140 -150 0 0 {name=p6 lab=clksel}
+C {devices/ipin.sym} 140 -340 2 1 {name=p5 lab=clkext}
+C {devices/ipin.sym} 670 -880 0 0 {name=p11 lab=en_caladc}
+C {devices/ipin.sym} 740 -560 3 0 {name=p12 lab=en_adc}
+C {devices/ipin.sym} 140 -220 0 0 {name=p14 lab=en_clkdiv}
+C {devices/opin.sym} 840 -310 0 0 {name=p9 lab=clksys
+}
+C {devices/ipin.sym} 140 -280 0 0 {name=p10 lab=en_ldo_ana}
+C {devices/ipin.sym} 140 -260 0 0 {name=p15 lab=en_ldo_dig}
+C {devices/opin.sym} 850 -690 0 0 {name=p7 lab=result[9:0]
+}
+C {devices/opin.sym} 850 -660 0 0 {name=p8 lab=valid}
+C {devices/ipin.sym} 140 -130 0 0 {name=p22 lab=refsel}
+C {devices/iopin.sym} 140 -640 2 0 {name=p20 lab=vdd}
+C {devices/iopin.sym} 140 -620 2 0 {name=p21 lab=vss}
+C {devices/ipin.sym} 140 -600 0 0 {name=p23 lab=rstn}
+C {main/main.sym} 320 -230 0 0 {name=xm}
+C {devices/ipin.sym} 140 -320 0 0 {name=p24 lab=vbg_ext}
+C {devices/ipin.sym} 140 -240 0 0 {name=p19 lab=en_clk_int}
+C {devices/lab_wire.sym} 470 -410 0 1 {name=l1 sig_type=std_logic lab=avdd}
+C {devices/lab_wire.sym} 470 -360 0 1 {name=l2 sig_type=std_logic lab=dvdd}
+C {devices/lab_wire.sym} 760 -590 3 0 {name=l4 sig_type=std_logic lab=rstn}
+C {devices/lab_wire.sym} 170 -360 0 0 {name=l5 sig_type=std_logic lab=rstn}
+C {devices/lab_wire.sym} 170 -390 0 0 {name=l6 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 170 -410 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 470 -390 0 1 {name=l9 sig_type=std_logic lab=avss}
+C {devices/lab_wire.sym} 470 -340 0 1 {name=l11 sig_type=std_logic lab=dvss}
+C {devices/iopin.sym} 520 -410 0 0 {name=p13 lab=avdd}
+C {devices/iopin.sym} 520 -360 0 0 {name=p16 lab=dvdd}
+C {devices/lab_wire.sym} 470 -270 0 1 {name=l15 sig_type=std_logic lab=ibp[3:0]}
+C {devices/lab_wire.sym} 470 -250 0 1 {name=l16 sig_type=std_logic lab=ibn[1:0]
+}
+C {devices/opin.sym} 840 -180 0 0 {name=p1 lab=tbout
+}
+C {devices/iopin.sym} 520 -390 0 0 {name=p17 lab=avss
+}
+C {devices/iopin.sym} 520 -340 0 0 {name=p18 lab=dvss
+}
+C {devices/lab_wire.sym} 470 -180 0 1 {name=l3 sig_type=std_logic lab=tbout
+}
+C {devices/ipin.sym} 140 -90 0 0 {name=p2 lab=bgtrim[15:0]
+}
+C {sar_10b/sar/sar.sym} 760 -510 0 0 {name=xsar
+}
+C {devices/ipin.sym} 140 -180 0 0 {name=p25 lab=tbctl[2:0]
+}
diff --git a/xschem/sub/top/top.sym b/xschem/sub/top/top.sym
new file mode 100644
index 0000000..7a37fcd
--- /dev/null
+++ b/xschem/sub/top/top.sym
@@ -0,0 +1,139 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 -230 130 -230 {}
+L 4 -130 190 130 190 {dash=4}
+L 4 -150 -180 -130 -180 {}
+L 4 -150 -160 -130 -160 {}
+L 4 -150 -210 -130 -210 {}
+L 4 -150 0 -130 0 {}
+L 4 -150 -70 -130 -70 {}
+L 4 -150 -120 -130 -120 {}
+L 4 -150 -100 -130 -100 {}
+L 4 -150 40 -130 40 {}
+L 4 -150 60 -130 60 {}
+L 4 -150 150 -130 150 {}
+L 4 -150 170 -130 170 {}
+L 4 -150 20 -130 20 {}
+L 4 -150 -50 -130 -50 {}
+L 4 -150 100 -130 100 {}
+L 4 -150 120 -130 120 {}
+L 4 130 -180 150 -180 {}
+L 4 130 -130 150 -130 {}
+L 4 130 10 150 10 {}
+L 4 130 220 150 220 {}
+L 4 130 100 150 100 {}
+L 4 130 120 150 120 {}
+L 4 -130 260 130 260 {}
+L 4 -150 250 -130 250 {}
+L 4 130 -160 150 -160 {}
+L 4 130 -110 150 -110 {}
+L 4 -150 -30 -130 -30 {}
+B 5 -152.5 167.5 -147.5 172.5 {name=en_caladc dir=in }
+B 5 147.5 -132.5 152.5 -127.5 {name=dvdd dir=inout }
+B 5 -152.5 97.5 -147.5 102.5 {name=inp dir=in }
+B 5 147.5 97.5 152.5 102.5 {name=result[9:0] dir=out }
+B 5 147.5 117.5 152.5 122.5 {name=valid dir=out }
+B 5 -152.5 -102.5 -147.5 -97.5 {name=en_ldo_dig dir=in }
+B 5 -152.5 117.5 -147.5 122.5 {name=inn dir=in }
+B 5 -152.5 147.5 -147.5 152.5 {name=en_adc dir=in }
+B 5 147.5 -182.5 152.5 -177.5 {name=avdd dir=inout }
+B 5 -152.5 -212.5 -147.5 -207.5 {name=rstn dir=in }
+B 5 -152.5 -182.5 -147.5 -177.5 {name=vdd dir=inout }
+B 5 -152.5 -162.5 -147.5 -157.5 {name=vss dir=inout }
+B 5 -152.5 -122.5 -147.5 -117.5 {name=en_ldo_ana dir=in }
+B 5 147.5 7.5 152.5 12.5 {name=clksys dir=out }
+B 5 -152.5 37.5 -147.5 42.5 {name=en_clk_int dir=in }
+B 5 -152.5 -2.5 -147.5 2.5 {name=clkext dir=in }
+B 5 147.5 217.5 152.5 222.5 {name=tbout dir=out }
+B 5 -152.5 57.5 -147.5 62.5 {name=en_clkdiv dir=in }
+B 5 -152.5 17.5 -147.5 22.5 {name=clksel dir=in }
+B 5 -152.5 -52.5 -147.5 -47.5 {name=refsel dir=in }
+B 5 -152.5 -72.5 -147.5 -67.5 {name=vbg_ext dir=in }
+B 5 -152.5 247.5 -147.5 252.5 {name=tbctl[2:0] dir=in }
+B 5 147.5 -162.5 152.5 -157.5 {name=avss dir=inout }
+B 5 147.5 -112.5 152.5 -107.5 {name=dvss dir=inout }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=bgtrim[15:0] dir=in }
+P 4 2 -130 75 130 75 {dash=4}
+P 4 6 -80 110 -60 90 -10 90 -10 130 -60 130 -80 110 {}
+P 4 2 -130 100 -70 100 {}
+P 4 2 -130 120 -70 120 {}
+P 4 2 130 100 -10 100 {}
+P 4 2 130 120 -10 120 {}
+P 4 2 130 220 80 220 {}
+P 4 4 80 220 50 200 50 240 80 220 {}
+P 4 2 -20 210 -30 210 {}
+P 4 2 -20 220 -30 220 {}
+P 4 2 -20 230 -30 230 {}
+P 4 2 0 210 -20 200 {}
+P 4 2 -20 240 0 230 {}
+P 4 2 -20 200 -20 240 {}
+P 4 2 0 210 0 230 {}
+P 4 2 0 220 50 220 {}
+P 4 2 -10 235 -10 250 {}
+P 4 2 -10 250 -130 250 {}
+P 4 2 130 -180 90 -180 {}
+P 4 2 130 -130 90 -130 {}
+P 4 2 130 -110 90 -110 {}
+P 4 2 130 -160 90 -160 {}
+P 4 5 10 30 90 30 90 -10 10 -10 10 30 {}
+P 4 2 90 10 130 10 {}
+P 4 2 -130 20 10 20 {}
+P 4 2 -130 0 10 0 {}
+P 4 2 -130 -230 -130 260 {}
+P 4 2 130 -230 130 260 {}
+P 4 5 -80 -40 0 -40 0 -80 -80 -80 -80 -40 {}
+P 4 2 -130 -70 -80 -70 {}
+P 4 2 -130 -50 -80 -50 {}
+P 4 3 -130 60 70 60 70 30 {}
+P 4 3 -130 40 30 40 30 30 {}
+P 4 3 -130 150 -50 150 -50 130 {}
+P 4 3 -130 170 -30 170 -30 130 {}
+P 4 5 10 -100 90 -100 90 -140 10 -140 10 -100 {}
+P 4 5 10 -150 90 -150 90 -190 10 -190 10 -150 {}
+P 4 2 -130 -180 10 -180 {}
+P 4 2 -130 -160 10 -160 {}
+P 4 3 0 -180 0 -130 10 -130 {}
+P 4 3 -20 -160 -20 -110 10 -110 {}
+P 4 3 -0 -50 50 -50 50 -100 {}
+P 4 2 50 -140 50 -150 {}
+P 4 2 50 -50 50 -10 {}
+P 4 3 -130 -30 -40 -30 -40 -40 {}
+T {@symname} -130 -250 0 0 0.3 0.3 {}
+T {@name} 103 -244 0 0 0.2 0.2 {}
+T {en_caladc} -125 156 0 0 0.2 0.2 {}
+T {dvdd} 125 -144 0 1 0.2 0.2 {}
+T {inp} -129 87 0 0 0.2 0.2 {}
+T {result[9:0]} 128 86 0 1 0.2 0.2 {}
+T {valid} 127 108 0 1 0.2 0.2 {}
+T {en_ldo_dig} -125 -114 0 0 0.2 0.2 {}
+T {inn} -129 107 0 0 0.2 0.2 {}
+T {en_adc} -125 136 0 0 0.2 0.2 {}
+T {avdd} 125 -194 0 1 0.2 0.2 {}
+T {rstn} -125 -224 0 0 0.2 0.2 {}
+T {vdd} -125 -194 0 0 0.2 0.2 {}
+T {vss} -125 -174 0 0 0.2 0.2 {}
+T {en_ldo_ana} -125 -134 0 0 0.2 0.2 {}
+T {clksys} 125 -4 0 1 0.2 0.2 {}
+T {en_clk_int} -125 26 0 0 0.2 0.2 {}
+T {clkext} -125 -14 0 0 0.2 0.2 {}
+T {tbout} 125 206 0 1 0.2 0.2 {}
+T {en_clkdiv} -125 46 0 0 0.2 0.2 {}
+T {clksel} -125 6 0 0 0.2 0.2 {}
+T {refsel} -125 -64 0 0 0.2 0.2 {}
+T {vbg_ext} -125 -84 0 0 0.2 0.2 {}
+T {SAR} -50 105 0 0 0.2 0.2 {}
+T {tbctl[2:0]} -129 237 0 0 0.2 0.2 {}
+T {avss} 125 -174 0 1 0.2 0.2 {}
+T {dvss} 125 -124 0 1 0.2 0.2 {}
+T {reference} -64 -66 0 0 0.2 0.2 {}
+T {clkgen} 33 4 0 0 0.2 0.2 {}
+T {analog ldo} 24 -176 0 0 0.2 0.2 {}
+T {digital ldo} 25 -125 0 0 0.2 0.2 {}
+T {trim} -125 -44 0 0 0.2 0.2 {}
diff --git a/xschem/sub/top/xschem_top.sch b/xschem/sub/top/xschem_top.sch
new file mode 100644
index 0000000..9a1a8a7
--- /dev/null
+++ b/xschem/sub/top/xschem_top.sch
@@ -0,0 +1,180 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+P 4 5 490 0 490 180 960 180 960 -0 490 0 {}
+P 4 5 490 420 490 600 960 600 960 420 490 420 {}
+P 4 5 490 210 490 390 960 390 960 210 490 210 {}
+P 4 5 0 0 0 180 470 180 470 0 0 0 {}
+P 4 5 0 210 0 390 470 390 470 210 0 210 {}
+P 4 5 980 0 980 180 1450 180 1450 0 980 0 {}
+P 4 5 980 210 980 390 1450 390 1450 210 980 210 {}
+P 4 5 980 420 980 600 1450 600 1450 420 980 420 {}
+P 4 5 0 420 0 600 470 600 470 420 0 420 {}
+P 4 5 1470 0 1470 180 1940 180 1940 0 1470 0 {}
+P 4 5 1470 210 1470 390 1940 390 1940 210 1470 210 {}
+P 4 5 1470 420 1470 600 1940 600 1940 420 1470 420 {}
+N 680 -180 710 -180 {lab=vss}
+N 680 -180 680 -150 {lab=vss}
+N 600 -180 600 -150 {lab=vdd}
+N 600 -90 600 -70 {lab=GND}
+N 680 -90 680 -70 {lab=GND}
+N 600 -180 630 -180 {lab=vdd}
+C {devices/code.sym} 830 -160 0 0 {name=NGSPICE
+only_toplevel=true
+value=".param MC_SWITCH=0
+
+.control
+save all
+op
+.endc
+" }
+C {devices/code.sym} 980 -160 0 0 {name=CORNERS
+only_toplevel=true
+spice_ignore="tcleval($cmdline_ignore)"
+format="tcleval( @value )"
+value="* FET CORNERS
+.include \\\\$::SKYWATER_MODELS\\\\/corners/tt.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs.spice
+
+* TT + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmax.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmax_cmin.spice
+*.include \\\\$::SKYWATER_MODELS\\\\/corners/fs_rmin_cmax.spice
+"}
+C {devices/launcher.sym} 1060 325 0 0 {name=h4 descr="Bias Amp AC" url="tb/bias/ac_bias_amp.sch" program=xschem}
+C {devices/launcher.sym} 1550 65 0 0 {name=h8 descr="Clk divider TRAN" url="tb/clkdiv/tran_clkdiv.sch" program=xschem}
+C {devices/launcher.sym} 1555 485 0 0 {name=h9 descr="Comparator TRAN" url="tb/comparator/tran_comparator.sch" program=xschem}
+C {devices/launcher.sym} 85 495 0 0 {name=h13 descr="Regulator AC" url="tb/regulator/ac_regulator.sch" program=xschem}
+C {devices/launcher.sym} 85 535 0 0 {name=h14 descr="Regulator TRAN" url="tb/regulator/tr_regulator.sch" program=xschem}
+C {devices/launcher.sym} 1060 515 0 0 {name=h16 descr="Rosc TRAN" url="tb/rosc/tr_rosc.sch" program=xschem}
+C {devices/launcher.sym} 570 495 0 0 {name=h18 descr="SE Folded OTA P AC" url="tb/opamp/ac_se_folded_ota_p.sch" program=xschem
+}
+C {devices/launcher.sym} 570 535 0 0 {name=h19 descr="SE Folded OTA P TRAN" url="tb/opamp/tr_se_folded_ota_p.sch" program=xschem
+}
+C {devices/launcher.sym} 570 65 0 0 {name=h5 descr="Bias Basis Startup Tran" url="tb/bias/tr_basis_current_startup.sch" program=xschem}
+C {devices/code.sym} 1130 -160 0 0 {name=STDCELLS only_toplevel=false format="tcleval(@value )" value="[sky130_models]"}
+C {devices/launcher.sym} 570 105 0 0 {name=h23 descr="Bias Basic DC" url="tb/bias/dc_bias_basis_current.sch" program=xschem}
+C {devices/launcher.sym} 1060 285 0 0 {name=h24 descr="Bias Standalone DC" url="tb/bias/dc_bias_standalone.sch" program=xschem}
+C {devices/launcher.sym} 1060 65 0 0 {name=h26 descr="Bandgap Simple DC" url="tb/bandgap/dc_bandgap_simple.sch" program=xschem}
+C {devices/launcher.sym} 1555 235 0 0 {name=h27 descr="SAR 10b TRAN" url="tb/sar_10b/tr_sar.sch" program=xschem
+}
+C {devices/launcher.sym} 1555 525 0 0 {name=h28 descr="Comparator trim TRAN" url="tb/comparator/tran_comparator_trim.sch" program=xschem}
+C {devices/launcher.sym} 1555 355 0 0 {name=h17 descr="BSSW TRAN" url="tb/switches/tr_bootstrapped_sw.sch" program=xschem}
+C {devices/launcher.sym} 1550 105 0 0 {name=h29 descr="Clksel TRAN" url="tb/clksel/tran_clksel.sch" program=xschem}
+C {devices/launcher.sym} 80 65 0 0 {name=h30 descr="TOP-Level Tran" url="tb/top/tr_top.sch" program=xschem}
+C {devices/lab_wire.sym} 110 -140 0 0 {name=p1 lab=en_caladc}
+C {devices/lab_wire.sym} 410 -470 0 1 {name=p2 lab=avss
+}
+C {devices/lab_wire.sym} 110 -210 0 0 {name=p3 lab=inp}
+C {devices/lab_wire.sym} 410 -210 0 1 {name=p4 lab=result[9:0]
+}
+C {devices/lab_wire.sym} 410 -190 0 1 {name=p5 lab=valid}
+C {devices/lab_wire.sym} 110 -410 0 0 {name=p6 lab=en_ldo_dig}
+C {devices/lab_wire.sym} 110 -190 0 0 {name=p7 lab=inn}
+C {devices/lab_wire.sym} 110 -160 0 0 {name=p8 lab=en_adc}
+C {devices/lab_wire.sym} 410 -490 0 1 {name=p9 lab=avdd}
+C {devices/lab_wire.sym} 110 -430 0 0 {name=p13 lab=en_ldo_ana}
+C {devices/lab_wire.sym} 410 -300 0 1 {name=p14 lab=clkout}
+C {devices/lab_wire.sym} 110 -270 0 0 {name=p15 lab=en_clk_int}
+C {devices/lab_wire.sym} 110 -310 0 0 {name=p16 lab=clkext}
+C {devices/lab_wire.sym} 110 -250 0 0 {name=p19 lab=en_clkdiv}
+C {devices/lab_wire.sym} 110 -290 0 0 {name=p20 lab=clksel}
+C {devices/lab_wire.sym} 110 -350 0 0 {name=p21 lab=refsel}
+C {devices/lab_wire.sym} 110 -370 0 0 {name=p22 lab=vbg_ext}
+C {devices/vsource.sym} 680 -120 0 0 {name=V2 value=0}
+C {devices/vsource.sym} 600 -120 0 0 {name=V3 value=1.8}
+C {devices/lab_wire.sym} 710 -180 0 0 {name=l7 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 630 -180 0 0 {name=l8 sig_type=std_logic lab=vdd}
+C {devices/gnd.sym} 600 -70 0 0 {name=l11 lab=GND}
+C {devices/gnd.sym} 680 -70 0 0 {name=l12 lab=GND}
+C {devices/lab_wire.sym} 110 -490 0 0 {name=l4 sig_type=std_logic lab=vdd}
+C {devices/lab_wire.sym} 110 -470 0 0 {name=l5 sig_type=std_logic lab=vss}
+C {devices/lab_wire.sym} 110 -520 0 0 {name=l6 sig_type=std_logic lab=rstn}
+C {devices/noconn.sym} 110 -490 0 0 {name=l9}
+C {devices/noconn.sym} 110 -470 0 0 {name=l10}
+C {devices/noconn.sym} 110 -520 0 0 {name=l13}
+C {devices/noconn.sym} 110 -310 0 0 {name=l14}
+C {devices/noconn.sym} 110 -370 0 0 {name=l15}
+C {devices/noconn.sym} 110 -430 0 0 {name=l16}
+C {devices/noconn.sym} 110 -410 0 0 {name=l17}
+C {devices/noconn.sym} 110 -270 0 0 {name=l18}
+C {devices/noconn.sym} 110 -250 0 0 {name=l19}
+C {devices/noconn.sym} 110 -160 0 0 {name=l20}
+C {devices/noconn.sym} 110 -140 0 0 {name=l21}
+C {devices/noconn.sym} 110 -290 0 0 {name=l22}
+C {devices/noconn.sym} 110 -350 0 0 {name=l23}
+C {devices/noconn.sym} 110 -210 0 0 {name=l24}
+C {devices/noconn.sym} 110 -190 0 0 {name=l25}
+C {devices/noconn.sym} 410 -90 0 1 {name=l28
+}
+C {devices/noconn.sym} 410 -190 0 1 {name=l30}
+C {devices/noconn.sym} 410 -210 0 1 {name=l28[7:0]}
+C {top/top.sym} 260 -310 0 0 {name=xtop}
+C {devices/launcher.sym} 580 265 0 0 {name=h36 descr="SE Folded OTA NP AB AC" url="tb/opamp/ac_se_folded_ota_np_ab.sch" program=xschem
+}
+C {devices/launcher.sym} 580 305 0 0 {name=h40 descr="SE Folded OTA NP AB TRAN" url="tb/opamp/tr_se_folded_ota_np_ab.sch" program=xschem
+}
+C {devices/launcher.sym} 580 345 0 0 {name=h41 descr="SE Folded OTA NP AB NOISE" url="tb/opamp/no_se_folded_ota_np_ab.sch" program=xschem
+}
+C {devices/launcher.sym} 80 305 0 0 {name=h44 descr="Testbuffer TRAN" url="tb/testbuffer/tr_testbuffer.sch" program=xschem
+}
+C {devices/lab_wire.sym} 410 -90 0 1 {name=p12 lab=tbout
+}
+C {devices/lab_wire.sym} 110 -60 0 0 {name=p17 lab=tbctl[2:0]
+}
+C {devices/noconn.sym} 110 -60 0 0 {name=l26}
+C {devices/lab_wire.sym} 410 -440 0 1 {name=p23 lab=dvdd
+}
+C {devices/lab_wire.sym} 410 -420 0 1 {name=p18 lab=dvss
+}
+C {devices/launcher.sym} 1060 105 0 0 {name=h48 descr="Bandgap Startup TRAN" url="tb/bandgap/tr_bandgap_startup.sch"  program=xschem
+}
+C {devices/launcher.sym} 1555 315 0 0 {name=h50 descr="Sarlogic 10b TRAN" url="tb/sar_10b/tr_sarlogic.sch" program=xschem
+}
+C {devices/launcher.sym} 1555 445 0 0 {name=h51 descr="SAR 10b buffer TRAN" url="tb/sar_10b/tr_buffer.sch" program=xschem
+}
+C {devices/launcher.sym} 1555 275 0 0 {name=h52 descr="SAR 10b + reg TRAN" url="tb/sar_10b/tr_sar_reg.sch" program=xschem
+}
+C {devices/launcher.sym} 80 115 0 0 {name=h53 descr="TOP-Main Tran" url="tb/top/tr_main.sch" program=xschem
+}
+C {devices/launcher.sym} 1555 565 0 0 {name=h54 descr="Trim AC" url="tb/comparator/ac_trim.sch" program=xschem
+}
+C {devices/noconn.sym} 410 -300 0 1 {name=l1}
+C {devices/noconn.sym} 410 -490 0 1 {name=l2}
+C {devices/noconn.sym} 410 -470 0 1 {name=l3}
+C {devices/noconn.sym} 410 -440 0 1 {name=l27}
+C {devices/noconn.sym} 410 -420 0 1 {name=l29}
+C {devices/launcher.sym} 650 -265 0 0 {name=h1 descr="Caravel Integration" url="user_analog_project_wrapper.sch" program=xschem
+}
diff --git a/xschem/sub/tran_comparator_trim.spice b/xschem/sub/tran_comparator_trim.spice
new file mode 100644
index 0000000..b55af33
--- /dev/null
+++ b/xschem/sub/tran_comparator_trim.spice
@@ -0,0 +1,186 @@
+** sch_path:
+*+ /home/oe23ranan/caravel_user_project_analog/xschem/sub/tb/comparator/tran_comparator_trim.sch
+**.subckt tran_comparator_trim
+xcom vss vdd clkcc outp vp outn vn trim[4] trim[3] trim[2] trim[1] trim[0] trimb[4] trimb[3]
++ trimb[2] trimb[1] trimb[0] comparator
+V1 vss GND 0
+V2 vdd GND 1.4
+V3 net1 GND vin
+V4 vn GND vin
+V10 vp net1 voff
+x4 clkc vss vss vdd vdd clkcc sky130_fd_sc_hd__buf_1
+xlat vdd comp net2 vss outn outp latch
+Vclk1 clk GND PULSE(0 1 1e-9 1e-9 1e-9 2e-6 4e-6)
+V5 cal GND 1.4
+Ven en GND PULSE(0 1 0.5e-6 0.1e-6 0.1e-6 10e-6 10e-3)
+V6 rstn GND 1.4
+**** begin user architecture code
+?
+
+Xuut dclk drstn den dcomp dcal dvalid dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dsamp dctlp0
++ dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctln0 dctln1 dctln2 dctln3 dctln4 dctln5 dctln6 dctln7
++ dtrim0 dtrim1 dtrim2 dtrim3 dtrim4 dtrimb0 dtrimb1 dtrimb2 dtrimb3 dtrimb4 dclkc sar_logic
+
+.model adc_buff adc_bridge(in_low = 0.2 in_high=0.8)
+.model dac_buff dac_bridge(out_high = 1.2)
+
+Aad [clk rstn en comp cal] [dclk drstn den dcomp dcal] adc_buff
+Ada [dctlp0 dctlp1 dctlp2 dctlp3 dctlp4 dctlp5 dctlp6 dctlp7 dctln0 dctln1 dctln2 dctln3 dctln4
++ dctln5 dctln6 dctln7 dres0 dres1 dres2 dres3 dres4 dres5 dres6 dres7 dsamp dclkc] [ctlp_0_ ctlp_1_ ctlp_2_
++ ctlp_3_ ctlp_4_ ctlp_5_ ctlp_6_ ctlp_7_ ctln_0_ ctln_1_ ctln_2_ ctln_3_ ctln_4_ ctln_5_ ctln_6_ ctln_7_
++ res0 res1 res2 res3 res4 res5 res6 res7 sample clkc] dac_buff
+Ada2 [dtrim4 dtrim3 dtrim2 dtrim1 dtrim0 dtrimb4 dtrimb3 dtrimb2 dtrimb1 dtrimb0] [trim_4_ trim_3_
++ trim_2_ trim_1_ trim_0_ trimb_4_ trimb_3_ trimb_2_ trimb_1_ trimb_0_ ] dac_buff
+
+
+
+* FET CORNERS
+.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/tt.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ff.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ss.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/sf.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs.spice
+
+* TT + R + C
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/tt_rmax_cmax.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/tt_rmin_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/tt_rmax_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/tt_rmin_cmax.spice
+
+* FF + R + C
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ff_rmax_cmax.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ff_rmin_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ff_rmax_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ff_rmin_cmax.spice
+
+
+* SS + R + C
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ss_rmax_cmax.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ss_rmin_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ss_rmax_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/ss_rmin_cmax.spice
+
+* SF + R + C
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/sf_rmax_cmax.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/sf_rmin_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/sf_rmax_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/sf_rmin_cmax.spice
+
+* FS + R + C
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmax_cmax.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmin_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmax_cmin.spice
+*.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmin_cmax.spice
+
+.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.ref/sky130_fd_sc_hd/spice/cells/buf/spice__buf_1.spice
+
+**** end user architecture code
+**.ends
+
+* expanding   symbol:  sar_10b/comparator/comparator.sym # of pins=9
+** sym_path:
+*+ /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/comparator.sym
+** sch_path:
+*+ /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/comparator.sch
+.subckt comparator  vss vdd clk outp vp outn vn trim[4] trim[3] trim[2] trim[1] trim[0] trimb[4]
++ trimb[3] trimb[2] trimb[1] trimb[0]
+*.ipin vn
+*.ipin vp
+*.ipin clk
+*.iopin vdd
+*.iopin vss
+*.opin outp
+*.opin outn
+*.ipin trim[4],trim[3],trim[2],trim[1],trim[0]
+*.ipin trimb[4],trimb[3],trimb[2],trimb[1],trimb[0]
+*  Mdiff -  nfet_01v8  IS MISSING !!!!
+*  Minn -  nfet_01v8  IS MISSING !!!!
+*  Minp -  nfet_01v8  IS MISSING !!!!
+*  Ml4 -  pfet_01v8  IS MISSING !!!!
+*  Ml3 -  pfet_01v8  IS MISSING !!!!
+*  M3 -  pfet_01v8  IS MISSING !!!!
+*  M2 -  pfet_01v8  IS MISSING !!!!
+*  Ml1 -  nfet_01v8  IS MISSING !!!!
+*  Ml2 -  nfet_01v8  IS MISSING !!!!
+*  M4 -  pfet_01v8  IS MISSING !!!!
+*  M1 -  pfet_01v8  IS MISSING !!!!
+x2 in trim[4] trim[3] trim[2] trim[1] trim[0] vss trim
+x3 ip trimb[4] trimb[3] trimb[2] trimb[1] trimb[0] vss trim
+.ends
+
+
+* expanding   symbol:  sar_10b/latch/latch.sym # of pins=6
+** sym_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/latch/latch.sym
+** sch_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/latch/latch.sch
+.subckt latch  vdd Q Qn vss R S
+*.ipin S
+*.ipin R
+*.iopin vss
+*.iopin vdd
+*.opin Q
+*.opin Qn
+x1 vdd Qn Q vss inv_lvt
+x2 vdd Q Qn vss inv_lvt
+x3 vdd R net2 vss inv_lvt
+x4 vdd S net1 vss inv_lvt
+*  M3 -  nfet_01v8_lvt  IS MISSING !!!!
+*  M1 -  nfet_01v8_lvt  IS MISSING !!!!
+.ends
+
+
+* expanding   symbol:  sar_10b/comparator/trim.sym # of pins=3
+** sym_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/trim.sym
+** sch_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/trim.sch
+.subckt trim  drain d[4] d[3] d[2] d[1] d[0] vss
+*.iopin vss
+*.ipin d[4],d[3],d[2],d[1],d[0]
+*.opin drain
+*  M4[7],M4[6],M4[5],M4[4],M4[3],M4[2],M4[1],M4[0] -  nfet_01v8_lvt  IS MISSING !!!!
+*  M3[3],M3[2],M3[1],M3[0] -  nfet_01v8_lvt  IS MISSING !!!!
+*  M2[1],M2[0] -  nfet_01v8_lvt  IS MISSING !!!!
+*  M1 -  nfet_01v8_lvt  IS MISSING !!!!
+*  M0 -  nfet_01v8_lvt  IS MISSING !!!!
+x4[7] drain n4 trimcap
+x4[6] drain n4 trimcap
+x4[5] drain n4 trimcap
+x4[4] drain n4 trimcap
+x4[3] drain n4 trimcap
+x4[2] drain n4 trimcap
+x4[1] drain n4 trimcap
+x4[0] drain n4 trimcap
+x3[3] drain n3 trimcap
+x3[2] drain n3 trimcap
+x3[1] drain n3 trimcap
+x3[0] drain n3 trimcap
+x2[1] drain n2 trimcap
+x2[0] drain n2 trimcap
+x1 drain n1 trimcap
+x0 drain n0 trimcap
+.ends
+
+
+* expanding   symbol:  logic/inv_lvt.sym # of pins=4
+** sym_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/logic/inv_lvt.sym
+** sch_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/logic/inv_lvt.sch
+.subckt inv_lvt  vdd in out vss
+*.iopin vdd
+*.iopin vss
+*.ipin in
+*.opin out
+*  M1 -  nfet_01v8_lvt  IS MISSING !!!!
+*  M2 -  pfet_01v8_lvt  IS MISSING !!!!
+.ends
+
+
+* expanding   symbol:  sar_10b/comparator/trimcap.sym # of pins=2
+** sym_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/trimcap.sym
+** sch_path: /home/oe23ranan/caravel_user_project_analog/xschem/sub/sar_10b/comparator/trimcap.sch
+.subckt trimcap  cp cn
+*.iopin cp
+*.iopin cn
+c0 net1 cn 2f m=1
+c1 cp net1 1f m=1
+.ends
+
+.GLOBAL GND
+.end
diff --git a/xschem/sub/user_analog_project_wrapper.sch b/xschem/sub/user_analog_project_wrapper.sch
new file mode 100644
index 0000000..cebddcb
--- /dev/null
+++ b/xschem/sub/user_analog_project_wrapper.sch
@@ -0,0 +1,122 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
+C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
+C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
+C {devices/iopin.sym} 3240 -380 0 0 {name=p4 lab=vssa2}
+C {devices/iopin.sym} 3240 -350 0 0 {name=p5 lab=vccd1}
+C {devices/iopin.sym} 3240 -320 0 0 {name=p6 lab=vccd2}
+C {devices/iopin.sym} 3240 -290 0 0 {name=p7 lab=vssd1}
+C {devices/iopin.sym} 3240 -260 0 0 {name=p8 lab=vssd2}
+C {devices/ipin.sym} 3290 -190 0 0 {name=p9 lab=wb_clk_i}
+C {devices/ipin.sym} 3290 -160 0 0 {name=p10 lab=wb_rst_i}
+C {devices/ipin.sym} 3290 -130 0 0 {name=p11 lab=wbs_stb_i}
+C {devices/ipin.sym} 3290 -100 0 0 {name=p12 lab=wbs_cyc_i}
+C {devices/ipin.sym} 3290 -70 0 0 {name=p13 lab=wbs_we_i}
+C {devices/ipin.sym} 3290 -40 0 0 {name=p14 lab=wbs_sel_i[3:0]}
+C {devices/ipin.sym} 3290 -10 0 0 {name=p15 lab=wbs_dat_i[31:0]}
+C {devices/ipin.sym} 3290 20 0 0 {name=p16 lab=wbs_adr_i[31:0]}
+C {devices/opin.sym} 3280 80 0 0 {name=p17 lab=wbs_ack_o}
+C {devices/opin.sym} 3280 110 0 0 {name=p18 lab=wbs_dat_o[31:0]}
+C {devices/ipin.sym} 3290 150 0 0 {name=p19 lab=la_data_in[127:0]}
+C {devices/opin.sym} 3280 180 0 0 {name=p20 lab=la_data_out[127:0]}
+C {devices/ipin.sym} 3290 260 0 0 {name=p21 lab=io_in[26:0]}
+C {devices/ipin.sym} 3290 290 0 0 {name=p22 lab=io_in_3v3[26:0]}
+C {devices/ipin.sym} 3280 570 0 0 {name=p23 lab=user_clock2}
+C {devices/opin.sym} 3280 320 0 0 {name=p24 lab=io_out[26:0]}
+C {devices/opin.sym} 3280 350 0 0 {name=p25 lab=io_oeb[26:0]}
+C {devices/iopin.sym} 3250 410 0 0 {name=p26 lab=gpio_analog[17:0]}
+C {devices/iopin.sym} 3250 440 0 0 {name=p27 lab=gpio_noesd[17:0]}
+C {devices/iopin.sym} 3250 470 0 0 {name=p29 lab=io_analog[10:0]}
+C {devices/iopin.sym} 3250 500 0 0 {name=p30 lab=io_clamp_high[2:0]}
+C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
+C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
+C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
+C {top/top.sym} 4030 280 0 0 {name=xtop
+}
+C {sar_10b/sar/sar.sym} 4150 -30 0 0 {name=xsar
+}
+C {lab_wire.sym} 3970 -120 3 0 {name=l1 sig_type=std_logic lab=vssa2
+}
+C {lab_wire.sym} 4010 -120 3 0 {name=l2 sig_type=std_logic lab=vssa2
+}
+C {lab_wire.sym} 3970 -300 3 1 {name=l3 sig_type=std_logic lab=vdda2
+}
+C {lab_wire.sym} 4010 -300 3 1 {name=l4 sig_type=std_logic lab=vdda2
+}
+C {lab_wire.sym} 3870 -260 0 0 {name=l5 sig_type=std_logic lab=gpio_analog[10]
+}
+C {lab_wire.sym} 3870 -160 0 0 {name=l6 sig_type=std_logic lab=gpio_analog[11]
+}
+C {lab_wire.sym} 4100 -120 3 0 {name=l7 sig_type=std_logic lab=gpio_analog[7]
+}
+C {lab_wire.sym} 4130 -120 3 0 {name=l8 sig_type=std_logic lab=la_data_in[23] 
+}
+C {lab_wire.sym} 4150 -120 3 0 {name=l9 sig_type=std_logic lab=la_data_in[22] 
+}
+C {lab_wire.sym} 4100 -300 3 1 {name=l10 sig_type=std_logic lab=la_data_in[24] 
+}
+C {lab_wire.sym} 4200 -180 0 1 {name=l11 sig_type=std_logic lab=la_data_out[25]
+}
+C {lab_wire.sym} 4200 -210 0 1 {name=l12 sig_type=std_logic lab=la_data_out[26:35]
+}
+C {lab_wire.sym} 3880 100 0 0 {name=l13 sig_type=std_logic lab=vdda1
+}
+C {lab_wire.sym} 3880 120 0 0 {name=l14 sig_type=std_logic lab=vssa1
+}
+C {lab_wire.sym} 3880 70 0 0 {name=l15 sig_type=std_logic lab=la_data_in[69] 
+}
+C {lab_wire.sym} 3880 160 0 0 {name=l16 sig_type=std_logic lab=la_data_in[62] 
+}
+C {lab_wire.sym} 3880 180 0 0 {name=l17 sig_type=std_logic lab=la_data_in[66] 
+}
+C {lab_wire.sym} 3880 210 0 0 {name=l18 sig_type=std_logic lab=gpio_analog[6]
+}
+C {lab_wire.sym} 3880 230 0 0 {name=l19 sig_type=std_logic lab=la_data_in[61] 
+}
+C {lab_wire.sym} 3880 280 0 0 {name=l20 sig_type=std_logic lab=gpio_analog[4]
+}
+C {lab_wire.sym} 3880 300 0 0 {name=l21 sig_type=std_logic lab=la_data_in[68] 
+}
+C {lab_wire.sym} 3880 320 0 0 {name=l22 sig_type=std_logic lab=la_data_in[63] 
+}
+C {lab_wire.sym} 3880 340 0 0 {name=l23 sig_type=std_logic lab=la_data_in[67] 
+}
+C {lab_wire.sym} 3880 380 0 0 {name=l24 sig_type=std_logic lab=gpio_analog[3]
+}
+C {lab_wire.sym} 3880 400 0 0 {name=l25 sig_type=std_logic lab=gpio_analog[2]
+}
+C {lab_wire.sym} 3880 430 0 0 {name=l26 sig_type=std_logic lab=la_data_in[82] 
+}
+C {lab_wire.sym} 3880 450 0 0 {name=l27 sig_type=std_logic lab=la_data_in[81] 
+}
+C {lab_wire.sym} 4180 100 0 1 {name=l28 sig_type=std_logic lab=avdd
+}
+C {lab_wire.sym} 4180 120 0 1 {name=l29 sig_type=std_logic lab=avss
+}
+C {lab_wire.sym} 4180 150 0 1 {name=l30 sig_type=std_logic lab=dvdd
+}
+C {lab_wire.sym} 4180 170 0 1 {name=l31 sig_type=std_logic lab=dvss
+}
+C {xschem/symbols/sky130_primitives/cap_mim_m3_2.sym} 3640 -210 0 0 {name=C1[447:0] model=cap_mim_m3_2 W=30 L=30 MF=1 spiceprefix=X
+}
+C {lab_wire.sym} 3640 -240 3 1 {name=l32 sig_type=std_logic lab=vdda2
+}
+C {lab_wire.sym} 3640 -180 3 0 {name=l33 sig_type=std_logic lab=vssa2
+}
+C {lab_wire.sym} 4180 290 0 1 {name=l34 sig_type=std_logic lab=clksys
+}
+C {lab_wire.sym} 4180 400 0 1 {name=l35 sig_type=std_logic lab=la_data_out[80]
+}
+C {lab_wire.sym} 4180 380 0 1 {name=l36 sig_type=std_logic lab=la_data_out[70:79]
+}
+C {lab_wire.sym} 4180 500 0 1 {name=l38 sig_type=std_logic lab=gpio_analog[5]
+}
+C {lab_wire.sym} 3880 530 0 0 {name=l37 sig_type=std_logic lab=la_data_in[42:44] 
+}
+C {lab_wire.sym} 3880 250 0 0 {name=l39 sig_type=std_logic lab=la_data_in[60,45,59,46,58,47,57,48,56,49,55,50,54,51,53,52]
+}
diff --git a/xschem/sub/user_analog_project_wrapper.sym b/xschem/sub/user_analog_project_wrapper.sym
new file mode 100644
index 0000000..a561ba9
--- /dev/null
+++ b/xschem/sub/user_analog_project_wrapper.sym
@@ -0,0 +1,111 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 -190 130 -190 {}
+L 4 -130 190 130 190 {}
+L 4 -130 -190 -130 190 {}
+L 4 130 -190 130 190 {}
+L 4 -150 -180 -130 -180 {}
+L 4 -150 -160 -130 -160 {}
+L 4 -150 -140 -130 -140 {}
+L 4 -150 -120 -130 -120 {}
+L 4 -150 -100 -130 -100 {}
+L 4 -150 -80 -130 -80 {}
+L 4 -150 -60 -130 -60 {}
+L 4 -150 -40 -130 -40 {}
+L 4 130 -20 150 -20 {}
+L 4 130 0 150 0 {}
+L 4 -150 -20 -130 -20 {}
+L 4 130 20 150 20 {}
+L 4 -150 0 -130 0 {}
+L 4 -150 20 -130 20 {}
+L 4 -150 40 -130 40 {}
+L 4 130 40 150 40 {}
+L 4 130 60 150 60 {}
+L 4 -150 60 -130 60 {}
+L 4 130 180 150 180 {}
+L 7 130 -180 150 -180 {}
+L 7 130 -160 150 -160 {}
+L 7 130 -140 150 -140 {}
+L 7 130 -120 150 -120 {}
+L 7 130 -100 150 -100 {}
+L 7 130 -80 150 -80 {}
+L 7 130 -60 150 -60 {}
+L 7 130 -40 150 -40 {}
+L 7 130 80 150 80 {}
+L 7 130 100 150 100 {}
+L 7 130 120 150 120 {}
+L 7 130 140 150 140 {}
+L 7 130 160 150 160 {}
+B 5 147.5 -182.5 152.5 -177.5 {name=vdda1 dir=inout }
+B 5 147.5 -162.5 152.5 -157.5 {name=vdda2 dir=inout }
+B 5 147.5 -142.5 152.5 -137.5 {name=vssa1 dir=inout }
+B 5 147.5 -122.5 152.5 -117.5 {name=vssa2 dir=inout }
+B 5 147.5 -102.5 152.5 -97.5 {name=vccd1 dir=inout }
+B 5 147.5 -82.5 152.5 -77.5 {name=vccd2 dir=inout }
+B 5 147.5 -62.5 152.5 -57.5 {name=vssd1 dir=inout }
+B 5 147.5 -42.5 152.5 -37.5 {name=vssd2 dir=inout }
+B 5 -152.5 -182.5 -147.5 -177.5 {name=wb_clk_i dir=in }
+B 5 -152.5 -162.5 -147.5 -157.5 {name=wb_rst_i dir=in }
+B 5 -152.5 -142.5 -147.5 -137.5 {name=wbs_stb_i dir=in }
+B 5 -152.5 -122.5 -147.5 -117.5 {name=wbs_cyc_i dir=in }
+B 5 -152.5 -102.5 -147.5 -97.5 {name=wbs_we_i dir=in }
+B 5 -152.5 -82.5 -147.5 -77.5 {name=wbs_sel_i[3:0] dir=in }
+B 5 -152.5 -62.5 -147.5 -57.5 {name=wbs_dat_i[31:0] dir=in }
+B 5 -152.5 -42.5 -147.5 -37.5 {name=wbs_adr_i[31:0] dir=in }
+B 5 147.5 -22.5 152.5 -17.5 {name=wbs_ack_o dir=out }
+B 5 147.5 -2.5 152.5 2.5 {name=wbs_dat_o[31:0] dir=out }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=la_data_in[127:0] dir=in }
+B 5 147.5 17.5 152.5 22.5 {name=la_data_out[127:0] dir=out }
+B 5 -152.5 -2.5 -147.5 2.5 {name=la_oenb[127:0] dir=in }
+B 5 -152.5 17.5 -147.5 22.5 {name=io_in[26:0] dir=in }
+B 5 -152.5 37.5 -147.5 42.5 {name=io_in_3v3[26:0] dir=in }
+B 5 147.5 37.5 152.5 42.5 {name=io_out[26:0] dir=out }
+B 5 147.5 57.5 152.5 62.5 {name=io_oeb[26:0] dir=out }
+B 5 147.5 77.5 152.5 82.5 {name=gpio_analog[17:0] dir=inout }
+B 5 147.5 97.5 152.5 102.5 {name=gpio_noesd[17:0] dir=inout }
+B 5 147.5 117.5 152.5 122.5 {name=io_analog[10:0] dir=inout }
+B 5 147.5 137.5 152.5 142.5 {name=io_clamp_high[2:0] dir=inout }
+B 5 147.5 157.5 152.5 162.5 {name=io_clamp_low[2:0] dir=inout }
+B 5 -152.5 57.5 -147.5 62.5 {name=user_clock2 dir=in }
+B 5 147.5 177.5 152.5 182.5 {name=user_irq[2:0] dir=out }
+T {@symname} -119.5 114 0 0 0.3 0.3 {}
+T {@name} 135 -202 0 0 0.2 0.2 {}
+T {vdda1} 125 -184 0 1 0.2 0.2 {}
+T {vdda2} 125 -164 0 1 0.2 0.2 {}
+T {vssa1} 125 -144 0 1 0.2 0.2 {}
+T {vssa2} 125 -124 0 1 0.2 0.2 {}
+T {vccd1} 125 -104 0 1 0.2 0.2 {}
+T {vccd2} 125 -84 0 1 0.2 0.2 {}
+T {vssd1} 125 -64 0 1 0.2 0.2 {}
+T {vssd2} 125 -44 0 1 0.2 0.2 {}
+T {wb_clk_i} -125 -184 0 0 0.2 0.2 {}
+T {wb_rst_i} -125 -164 0 0 0.2 0.2 {}
+T {wbs_stb_i} -125 -144 0 0 0.2 0.2 {}
+T {wbs_cyc_i} -125 -124 0 0 0.2 0.2 {}
+T {wbs_we_i} -125 -104 0 0 0.2 0.2 {}
+T {wbs_sel_i[3:0]} -125 -84 0 0 0.2 0.2 {}
+T {wbs_dat_i[31:0]} -125 -64 0 0 0.2 0.2 {}
+T {wbs_adr_i[31:0]} -125 -44 0 0 0.2 0.2 {}
+T {wbs_ack_o} 125 -24 0 1 0.2 0.2 {}
+T {wbs_dat_o[31:0]} 125 -4 0 1 0.2 0.2 {}
+T {la_data_in[127:0]} -125 -24 0 0 0.2 0.2 {}
+T {la_data_out[127:0]} 125 16 0 1 0.2 0.2 {}
+T {la_oenb[127:0]} -125 -4 0 0 0.2 0.2 {}
+T {io_in[26:0]} -125 16 0 0 0.2 0.2 {}
+T {io_in_3v3[26:0]} -125 36 0 0 0.2 0.2 {}
+T {io_out[26:0]} 125 36 0 1 0.2 0.2 {}
+T {io_oeb[26:0]} 125 56 0 1 0.2 0.2 {}
+T {gpio_analog[17:0]} 125 76 0 1 0.2 0.2 {}
+T {gpio_noesd[17:0]} 125 96 0 1 0.2 0.2 {}
+T {io_analog[10:0]} 125 116 0 1 0.2 0.2 {}
+T {io_clamp_high[2:0]} 125 136 0 1 0.2 0.2 {}
+T {io_clamp_low[2:0]} 125 156 0 1 0.2 0.2 {}
+T {user_clock2} -125 56 0 0 0.2 0.2 {}
+T {user_irq[2:0]} 125 176 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/corners/ff.spice b/xschem/sub/xschem/corners/ff.spice
new file mode 100644
index 0000000..2d5e0e1
--- /dev/null
+++ b/xschem/sub/xschem/corners/ff.spice
@@ -0,0 +1,17 @@
+* FET FF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ff/nonfet.spice
+
+* R+C typical
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical__lin.spice
diff --git a/xschem/sub/xschem/corners/ff_rmax_cmax.spice b/xschem/sub/xschem/corners/ff_rmax_cmax.spice
new file mode 100644
index 0000000..dadff19
--- /dev/null
+++ b/xschem/sub/xschem/corners/ff_rmax_cmax.spice
@@ -0,0 +1,17 @@
+* FET FF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ff/nonfet.spice
+
+* R max C max 
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/ff_rmax_cmin.spice b/xschem/sub/xschem/corners/ff_rmax_cmin.spice
new file mode 100644
index 0000000..135e7b8
--- /dev/null
+++ b/xschem/sub/xschem/corners/ff_rmax_cmin.spice
@@ -0,0 +1,17 @@
+* FET FF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ff/nonfet.spice
+
+* R max C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/ff_rmin_cmax.spice b/xschem/sub/xschem/corners/ff_rmin_cmax.spice
new file mode 100644
index 0000000..a3f0d73
--- /dev/null
+++ b/xschem/sub/xschem/corners/ff_rmin_cmax.spice
@@ -0,0 +1,17 @@
+* FET FF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ff/nonfet.spice
+
+* R min C max
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/ff_rmin_cmin.spice b/xschem/sub/xschem/corners/ff_rmin_cmin.spice
new file mode 100644
index 0000000..33e3b3d
--- /dev/null
+++ b/xschem/sub/xschem/corners/ff_rmin_cmin.spice
@@ -0,0 +1,17 @@
+* FET FF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ff.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ff/nonfet.spice
+
+* R min C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/fs.spice b/xschem/sub/xschem/corners/fs.spice
new file mode 100644
index 0000000..8237588
--- /dev/null
+++ b/xschem/sub/xschem/corners/fs.spice
@@ -0,0 +1,17 @@
+* FET FS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/fs/nonfet.spice
+
+* R+C typical
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical__lin.spice
diff --git a/xschem/sub/xschem/corners/fs_rmax_cmax.spice b/xschem/sub/xschem/corners/fs_rmax_cmax.spice
new file mode 100644
index 0000000..071ce4d
--- /dev/null
+++ b/xschem/sub/xschem/corners/fs_rmax_cmax.spice
@@ -0,0 +1,17 @@
+* FET FS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/fs/nonfet.spice
+
+* R max C max 
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/fs_rmax_cmin.spice b/xschem/sub/xschem/corners/fs_rmax_cmin.spice
new file mode 100644
index 0000000..b5b6102
--- /dev/null
+++ b/xschem/sub/xschem/corners/fs_rmax_cmin.spice
@@ -0,0 +1,17 @@
+* FET FS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/fs/nonfet.spice
+
+* R max C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/fs_rmin_cmax.spice b/xschem/sub/xschem/corners/fs_rmin_cmax.spice
new file mode 100644
index 0000000..5d76806
--- /dev/null
+++ b/xschem/sub/xschem/corners/fs_rmin_cmax.spice
@@ -0,0 +1,17 @@
+* FET FS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/fs/nonfet.spice
+
+* R min C max
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/fs_rmin_cmin.spice b/xschem/sub/xschem/corners/fs_rmin_cmin.spice
new file mode 100644
index 0000000..d5a434b
--- /dev/null
+++ b/xschem/sub/xschem/corners/fs_rmin_cmin.spice
@@ -0,0 +1,17 @@
+* FET FS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__fs.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/fs/nonfet.spice
+
+* R min C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/sf.spice b/xschem/sub/xschem/corners/sf.spice
new file mode 100644
index 0000000..92850e4
--- /dev/null
+++ b/xschem/sub/xschem/corners/sf.spice
@@ -0,0 +1,17 @@
+* FET SF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/sf/nonfet.spice
+
+* R+C typical
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical__lin.spice
diff --git a/xschem/sub/xschem/corners/sf_rmax_cmax.spice b/xschem/sub/xschem/corners/sf_rmax_cmax.spice
new file mode 100644
index 0000000..ee092f6
--- /dev/null
+++ b/xschem/sub/xschem/corners/sf_rmax_cmax.spice
@@ -0,0 +1,17 @@
+* FET SF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/sf/nonfet.spice
+
+* R max C max 
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/sf_rmax_cmin.spice b/xschem/sub/xschem/corners/sf_rmax_cmin.spice
new file mode 100644
index 0000000..c60e75d
--- /dev/null
+++ b/xschem/sub/xschem/corners/sf_rmax_cmin.spice
@@ -0,0 +1,17 @@
+* FET SF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/sf/nonfet.spice
+
+* R max C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/sf_rmin_cmax.spice b/xschem/sub/xschem/corners/sf_rmin_cmax.spice
new file mode 100644
index 0000000..26032cd
--- /dev/null
+++ b/xschem/sub/xschem/corners/sf_rmin_cmax.spice
@@ -0,0 +1,17 @@
+* FET SF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/sf/nonfet.spice
+
+* R min C max
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/sf_rmin_cmin.spice b/xschem/sub/xschem/corners/sf_rmin_cmin.spice
new file mode 100644
index 0000000..01afea4
--- /dev/null
+++ b/xschem/sub/xschem/corners/sf_rmin_cmin.spice
@@ -0,0 +1,17 @@
+* FET SF
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__sf.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/sf/nonfet.spice
+
+* R min C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/ss.spice b/xschem/sub/xschem/corners/ss.spice
new file mode 100644
index 0000000..b668155
--- /dev/null
+++ b/xschem/sub/xschem/corners/ss.spice
@@ -0,0 +1,17 @@
+* FET SS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ss/nonfet.spice
+
+* R+C typical
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical__lin.spice
diff --git a/xschem/sub/xschem/corners/ss_rmax_cmax.spice b/xschem/sub/xschem/corners/ss_rmax_cmax.spice
new file mode 100644
index 0000000..8568259
--- /dev/null
+++ b/xschem/sub/xschem/corners/ss_rmax_cmax.spice
@@ -0,0 +1,17 @@
+* FET SS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ss/nonfet.spice
+
+* R max C max 
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/ss_rmax_cmin.spice b/xschem/sub/xschem/corners/ss_rmax_cmin.spice
new file mode 100644
index 0000000..6b51441
--- /dev/null
+++ b/xschem/sub/xschem/corners/ss_rmax_cmin.spice
@@ -0,0 +1,17 @@
+* FET SS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ss/nonfet.spice
+
+* R max C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/ss_rmin_cmax.spice b/xschem/sub/xschem/corners/ss_rmin_cmax.spice
new file mode 100644
index 0000000..cead652
--- /dev/null
+++ b/xschem/sub/xschem/corners/ss_rmin_cmax.spice
@@ -0,0 +1,17 @@
+* FET SS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ss/nonfet.spice
+
+* R min C
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/ss_rmin_cmin.spice b/xschem/sub/xschem/corners/ss_rmin_cmin.spice
new file mode 100644
index 0000000..af25386
--- /dev/null
+++ b/xschem/sub/xschem/corners/ss_rmin_cmin.spice
@@ -0,0 +1,17 @@
+* FET SS
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__ss.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/ss/nonfet.spice
+
+* R min C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/tt.spice b/xschem/sub/xschem/corners/tt.spice
new file mode 100644
index 0000000..5349d50
--- /dev/null
+++ b/xschem/sub/xschem/corners/tt.spice
@@ -0,0 +1,26 @@
+* FET typical
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/tt/nonfet.spice
+
+* R+C typical
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_typical__cap_typical__lin.spice
+
+
+* High Voltage devices
+*---------------------
+.include sky130_fd_pr_ngspice/latest/cells/pfet_g5v0d10v5/sky130_fd_pr__pfet_g5v0d10v5__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_g5v0d10v5/sky130_fd_pr__pfet_g5v0d10v5__mismatch.corner.spice
+
+.include sky130_fd_pr_ngspice/latest/cells/nfet_g5v0d10v5/sky130_fd_pr__nfet_g5v0d10v5__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_g5v0d10v5/sky130_fd_pr__nfet_g5v0d10v5__mismatch.corner.spice
diff --git a/xschem/sub/xschem/corners/tt_rmax_cmax.spice b/xschem/sub/xschem/corners/tt_rmax_cmax.spice
new file mode 100644
index 0000000..f7fc6a0
--- /dev/null
+++ b/xschem/sub/xschem/corners/tt_rmax_cmax.spice
@@ -0,0 +1,17 @@
+* FET typical
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/tt/nonfet.spice
+
+* R max C max 
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/tt_rmax_cmin.spice b/xschem/sub/xschem/corners/tt_rmax_cmin.spice
new file mode 100644
index 0000000..2f04ee2
--- /dev/null
+++ b/xschem/sub/xschem/corners/tt_rmax_cmin.spice
@@ -0,0 +1,17 @@
+* FET typical
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/tt/nonfet.spice
+
+* R max C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_high__cap_low__lin.spice
diff --git a/xschem/sub/xschem/corners/tt_rmin_cmax.spice b/xschem/sub/xschem/corners/tt_rmin_cmax.spice
new file mode 100644
index 0000000..09dd6a6
--- /dev/null
+++ b/xschem/sub/xschem/corners/tt_rmin_cmax.spice
@@ -0,0 +1,17 @@
+* FET typical
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/tt/nonfet.spice
+
+* R min C max
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_high__lin.spice
diff --git a/xschem/sub/xschem/corners/tt_rmin_cmin.spice b/xschem/sub/xschem/corners/tt_rmin_cmin.spice
new file mode 100644
index 0000000..cafd977
--- /dev/null
+++ b/xschem/sub/xschem/corners/tt_rmin_cmin.spice
@@ -0,0 +1,17 @@
+* FET typical
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8/sky130_fd_pr__nfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/nfet_01v8_lvt/sky130_fd_pr__nfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8/sky130_fd_pr__pfet_01v8__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_hvt/sky130_fd_pr__pfet_01v8_hvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__mismatch.corner.spice
+.include sky130_fd_pr_ngspice/latest/cells/pfet_01v8_lvt/sky130_fd_pr__pfet_01v8_lvt__tt.corner.spice
+.include sky130_fd_pr_ngspice/latest/models/all.spice
+.include sky130_fd_pr_ngspice/latest/models/corners/tt/nonfet.spice
+
+* R min C min
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low.spice
+.include sky130_fd_pr_ngspice/latest/models/r+c/res_low__cap_low__lin.spice
diff --git a/xschem/sub/xschem/scripts/corner_preproc.sh b/xschem/sub/xschem/scripts/corner_preproc.sh
new file mode 100755
index 0000000..c4374cc
--- /dev/null
+++ b/xschem/sub/xschem/scripts/corner_preproc.sh
@@ -0,0 +1,24 @@
+#!/bin/bash
+#-----------------------------------------------------------
+#
+# SYNOPSIS:
+#       corner_preproces.sh 
+#
+# 
+# DESCRIPTION
+#       Simple preprocessor script to update the 
+#       corners to point to the path of the PDK.
+#
+#
+#-----------------------------------------------------------
+
+PDKPATH=${SKY130_PDK_PATH}
+CORNERPATH="$PDKPATH/sky130_fd_pr_ngspice/latest/corners/"
+TEMPLATE_CORNER_PATH="../corners"
+
+if [ ! -d "$CORNERPATH" ]; then
+    mkdir -p $CORNERPATH
+fi
+for file in $(ls $TEMPLATE_CORNER_PATH); do 
+    sed "s|^\.include |\.include $PDKPATH/|" ../corners/$file > "$PDKPATH/sky130_fd_pr_ngspice/latest/corners/$file"
+done
diff --git a/xschem/sub/xschem/scripts/get_pdk.sh b/xschem/sub/xschem/scripts/get_pdk.sh
new file mode 100755
index 0000000..1ca22b3
--- /dev/null
+++ b/xschem/sub/xschem/scripts/get_pdk.sh
@@ -0,0 +1,15 @@
+#!/bin/bash
+
+git clone https://github.com/google/skywater-pdk
+cd skywater-pdk
+# git submodule init libraries/sky130_fd_io/latest
+git submodule init libraries/sky130_fd_pr/latest
+git submodule init libraries/sky130_fd_sc_hd/latest
+# git submodule init libraries/sky130_fd_sc_hvl/latest
+# git submodule init libraries/sky130_fd_sc_hdll/latest
+# git submodule init libraries/sky130_fd_sc_hs/latest
+# git submodule init libraries/sky130_fd_sc_ms/latest
+# git submodule init libraries/sky130_fd_sc_ls/latest
+# git submodule init libraries/sky130_fd_sc_lp/latest
+git submodule update 
+cp -r libraries/sky130_fd_pr libraries/sky130_fd_pr_ngspice
diff --git a/xschem/sub/xschem/scripts/sky130_models.tcl b/xschem/sub/xschem/scripts/sky130_models.tcl
new file mode 100644
index 0000000..f5e4770
--- /dev/null
+++ b/xschem/sub/xschem/scripts/sky130_models.tcl
@@ -0,0 +1,21 @@
+proc sky130_models {} {
+  global SKYWATER_STDCELLS
+  set l {}
+  if {![info exists SKYWATER_STDCELLS]} {
+    puts "ERROR: SKYWATER_STDCELLS TCL variable undefined, please set in xschemrc and restart xschem"
+    return {***** ERROR: missing TCL var SKYWATER_STDCELLS}
+  }
+  foreach  i [xschem symbols] {
+    if { [regexp {stdcells} $i] }  {
+      set cell [lindex ${i} 1]
+      regsub {/latest *$} $SKYWATER_STDCELLS {} prefix
+      regsub {.*/} $prefix {} prefix
+      append prefix __
+      regsub {.*/} $cell {} cell
+      regsub {\.sym} $cell {.spice} spice
+      regsub {_[^_]+\.sym} $cell {} dir
+      append l .include\ $SKYWATER_STDCELLS/cells/$dir/$prefix$spice\n
+    }
+  }
+  return $l 
+}
diff --git a/xschem/sub/xschem/symbols/devices/adc_bridge.sym b/xschem/sub/xschem/symbols/devices/adc_bridge.sym
new file mode 100644
index 0000000..26f23d2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/adc_bridge.sym
@@ -0,0 +1,17 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=delay
+verilog_ignore=true
+vhdl_ignore=true
+format="@name [ @@s ] [ @@d ] @adc_bridge_model"
+template="name=A1 adc_bridge_model= adc_buff"
+}
+V {}
+S {}
+E {}
+L 4 -30 0 30 0 {}
+L 4 -10 -5 10 0 {}
+L 4 -10 5 10 0 {}
+B 5 27.5 -2.5 32.5 2.5 {name=d dir=out verilog_type=wire propag=1}
+B 5 -32.5 -2.5 -27.5 2.5 {name=s dir=in verilog_type=wire propag=0}
+T {@name} -25 -10 0 0 0.12 0.12 {}
+T {@adc_bridge_model} 0 -10 0 0 0.12 0.12 {}
diff --git a/xschem/sub/xschem/symbols/devices/ammeter.sym b/xschem/sub/xschem/symbols/devices/ammeter.sym
new file mode 100644
index 0000000..eb51401
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ammeter.sym
@@ -0,0 +1,18 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=current_probe
+format="@name @pinlist 0
+.save I( ?1 @name )"
+template="name=Vmeas"}
+V {}
+S {}
+E {}
+L 4 0 -30 0 30 {}
+L 4 -7.5 0 -0 10 {}
+L 4 -0 10 7.5 0 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout propag=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout propag=0}
+T {@current} 10 2.5 0 0 0.2 0.2 {layer=15}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/arch_declarations.sym b/xschem/sub/xschem/symbols/devices/arch_declarations.sym
new file mode 100644
index 0000000..88a1b39
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/arch_declarations.sym
@@ -0,0 +1,16 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=arch_declarations
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+
+signal AAA: std_logic;
+
+"}
+V {}
+S {}
+E {}
+L 4 -0 -10 355 -10 {}
+T {ARCHITECTURE DECLARATIONS} 5 -25 0 0 0.3 0.3 {}
+T {HIDDEN} 45 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/architecture.sym b/xschem/sub/xschem/symbols/devices/architecture.sym
new file mode 100644
index 0000000..cadb5a6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/architecture.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=architecture
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+vhdl_ignore=true
+template=" nothing here, use global schematic properties "}
+V {}
+S {}
+E {}
+L 4 0 -10 355 -10 {}
+T {ARCHITECTURE} 5 -30 0 0 0.3 0.3 {}
+T {@schprop@schverilogprop@schvhdlprop@schsymbolprop} 45 5 0 0 0.3 0.3 {font=monospace layer=8}
diff --git a/xschem/sub/xschem/symbols/devices/asrc.sym b/xschem/sub/xschem/symbols/devices/asrc.sym
new file mode 100644
index 0000000..a3b0c3e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/asrc.sym
@@ -0,0 +1,19 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist @function"
+template="name=B1 function=\\"v=tanh(v(1))\\""
+}
+V {}
+S {}
+E {}
+L 4 -20 -20 -10 -20 {}
+L 4 -15 -25 -15 -15 {}
+L 4 0 -30 0 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@function} 20 0 0 0 0.2 0.2 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/assign.sym b/xschem/sub/xschem/symbols/devices/assign.sym
new file mode 100644
index 0000000..ce5dc70
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/assign.sym
@@ -0,0 +1,17 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=delay
+function0="1"
+verilog_format="assign #@delay @@d =  @@s ;"
+vhdl_format=" @@d <=  @@s after @delay ns;"
+format="@name @pinlist 0"
+template="name=V1 delay=1"}
+V {}
+S {}
+E {}
+L 4 -30 0 30 0 {}
+L 4 -10 -5 10 0 {}
+L 4 -10 5 10 0 {}
+B 5 27.5 -2.5 32.5 2.5 {name=d dir=out verilog_type=wire }
+B 5 -32.5 -2.5 -27.5 2.5 {name=s dir=in verilog_type=wire goto=0 propag=0}
+T {@name @delay} -25 -10 0 0 0.1 0.1 {}
diff --git a/xschem/sub/xschem/symbols/devices/attributes.sym b/xschem/sub/xschem/symbols/devices/attributes.sym
new file mode 100644
index 0000000..f3fa1fb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/attributes.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=attributes
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+ attribute async_set_reset of RPTL : signal is "true";
+"}
+V {}
+S {}
+E {}
+L 4 -0 -10 355 -10 {}
+T {VHDL ATTRIBUTES} 5 -25 0 0 0.3 0.3 {}
+T {@prop_ptr} 45 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/bsource.sym b/xschem/sub/xschem/symbols/devices/bsource.sym
new file mode 100644
index 0000000..808ac9d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/bsource.sym
@@ -0,0 +1,21 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist @VAR = @FUNC "
+template="name=B1 VAR=I FUNC=\\"pwl(V(plus,minus),0,0, 1,10m, 2, 100m)\\""}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -15 {}
+L 4 -10 0 10 0 {}
+L 4 0 15 0 30 {}
+L 4 -20 -20 -10 -20 {}
+L 4 -15 -25 -15 -15 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@VAR
+@FUNC} 20 0 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/bus_connect.sym b/xschem/sub/xschem/symbols/devices/bus_connect.sym
new file mode 100644
index 0000000..01c1337
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/bus_connect.sym
@@ -0,0 +1,11 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=label
+format=".alias @lab"
+template="name=l1 lab=xxx"}
+V {}
+S {}
+E {}
+L 1 0 0 10 -10 {}
+B 5 9.375 -10.625 10.625 -9.375 {name=p dir=inout}
+T {@lab} 12.5 -12.5 3 0 0.27 0.27 {}
diff --git a/xschem/sub/xschem/symbols/devices/bus_connect_nolab.sym b/xschem/sub/xschem/symbols/devices/bus_connect_nolab.sym
new file mode 100644
index 0000000..b7a6b25
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/bus_connect_nolab.sym
@@ -0,0 +1,10 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=show_label
+template="name=r1"
+highlight=true}
+V {}
+S {}
+E {}
+L 1 0 0 10 -10 {}
+B 5 9.84375 -10.15625 10.15625 -9.84375 {name=x dir=inout}
diff --git a/xschem/sub/xschem/symbols/devices/capa-2.sym b/xschem/sub/xschem/symbols/devices/capa-2.sym
new file mode 100644
index 0000000..d86e224
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/capa-2.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=polarized_capacitor
+format="@name @pinlist @value m=@m"
+tedax_format="footprint @name @footprint 
+value @name @value
+device @name @device
+@comptag"
+verilog_ignore=true
+template="name=C1
+m=1
+value=1p
+footprint=1206
+device=polarized_capacitor
+}
+V {}
+S {}
+E {}
+L 4 0 5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout propag=0 pinnumber=2}
+A 4 0 26.25 21.25 61.92751306414704 56.14497387170592 {}
+T {@value} 15 0 0 0 0.25 0.2 {}
+T {@name} 15 -13.75 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -5 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/capa.sym b/xschem/sub/xschem/symbols/devices/capa.sym
new file mode 100644
index 0000000..d666ce8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/capa.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=capacitor
+format="@name @pinlist @value m=@m"
+tedax_format="footprint @name @footprint 
+value @name @value
+device @name @device
+@comptag"
+verilog_ignore=true
+template="name=C1
+m=1
+value=1p
+footprint=1206
+device=\\"ceramic capacitor\\""
+}
+V {}
+S {}
+E {}
+L 4 0 5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+L 4 -10 5 10 5 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout pinnumber=2}
+T {@#0:pinnumber} -5 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 16.25 0 1 0.2 0.2 {layer=13}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@value} 15 -6.25 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
+T {m=@m} 15 6.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/cccs.sym b/xschem/sub/xschem/symbols/devices/cccs.sym
new file mode 100644
index 0000000..756f1cb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/cccs.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vcvs
+format="@name @pinlist @vnam @value"
+template="name=F1 vnam=v1 value=1"}
+V {}
+S {}
+E {}
+L 4 0 -20 20 -0 {}
+L 4 -20 0 0 -20 {}
+L 4 -20 0 0 20 {}
+L 4 0 20 20 0 {}
+L 4 0 20 0 30 {}
+L 4 0 -30 -0 -20 {}
+L 4 -5 5 0 10 {}
+L 4 -5 5 5 5 {}
+L 4 0 10 5 5 {}
+L 4 0 -5 0 5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=in}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=in}
+T {@value} 25 10 0 0 0.2 0.2 {}
+T {@name} 25 -15 0 0 0.2 0.2 {}
+T {@vnam} 25 -2.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/ccvs.sym b/xschem/sub/xschem/symbols/devices/ccvs.sym
new file mode 100644
index 0000000..3dac8c5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ccvs.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vcvs
+format="@name @pinlist @vnam @value"
+template="name=H1 vnam=v1 value=1"}
+V {}
+S {}
+E {}
+L 4 0 -20 20 -0 {}
+L 4 -20 0 0 -20 {}
+L 4 -20 0 0 20 {}
+L 4 0 20 20 0 {}
+L 4 0 -30 0 30 {}
+L 4 10 -20 20 -20 {}
+L 4 15 -25 15 -15 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+T {@value} 25 10 0 0 0.2 0.2 {}
+T {@name} 25 -15 0 0 0.2 0.2 {}
+T {@vnam} 25 -2.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/code.sym b/xschem/sub/xschem/symbols/devices/code.sym
new file mode 100644
index 0000000..296db4f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/code.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=netlist_commands
+template="name=s1 only_toplevel=false value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 20 30 60 30 {}
+L 4 20 40 40 40 {}
+L 4 20 50 60 50 {}
+L 4 20 60 50 60 {}
+L 4 20 70 50 70 {}
+L 4 20 80 90 80 {}
+L 4 20 90 40 90 {}
+L 4 20 20 70 20 {}
+L 4 20 10 40 10 {}
+L 4 100 10 110 10 {}
+L 4 110 10 110 110 {}
+L 4 20 110 110 110 {}
+L 4 20 100 20 110 {}
+L 4 100 0 100 100 {}
+L 4 10 100 100 100 {}
+L 4 10 0 10 100 {}
+L 4 10 0 100 0 {}
+T {@name} 15 -25 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/code_shown.sym b/xschem/sub/xschem/symbols/devices/code_shown.sym
new file mode 100644
index 0000000..498ebb0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/code_shown.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=netlist_commands
+template="name=s1 only_toplevel=false value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 0 -10 70 -10 {}
+L 4 0 -10 0 10 {}
+T {@name} 5 -30 0 0 0.3 0.3 {}
+T {@value} 15 -5 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/conn_10x2.sym b/xschem/sub/xschem/symbols/devices/conn_10x2.sym
new file mode 100644
index 0000000..17999a8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/conn_10x2.sym
@@ -0,0 +1,83 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+verilog_ignore=true
+format="*connector(8,1) @pinlist"
+tedax_format="footprint @name @footprint"
+template="name=c1 footprint=connector(8,1)"
+}
+V {}
+S {}
+E {}
+L 4 -30 -20 -20 -20 {}
+L 4 20 -20 30 -20 {}
+L 4 -30 0 -20 0 {}
+L 4 20 0 30 0 {}
+L 4 -30 20 -20 20 {}
+L 4 20 20 30 20 {}
+L 4 -30 40 -20 40 {}
+L 4 20 40 30 40 {}
+L 4 -30 60 -20 60 {}
+L 4 20 60 30 60 {}
+L 4 -30 80 -20 80 {}
+L 4 20 80 30 80 {}
+L 4 -30 100 -20 100 {}
+L 4 20 100 30 100 {}
+L 4 -30 120 -20 120 {}
+L 4 20 120 30 120 {}
+L 4 -30 140 -20 140 {}
+L 4 20 140 30 140 {}
+L 4 -30 160 -20 160 {}
+L 4 20 160 30 160 {}
+L 4 0 -30 0 170 {}
+L 4 -20 150 20 150 {}
+L 4 -20 130 20 130 {}
+L 4 -20 110 20 110 {}
+L 4 -20 90 20 90 {}
+L 4 -20 70 20 70 {}
+L 4 -20 50 20 50 {}
+L 4 -20 30 20 30 {}
+L 4 -20 10 20 10 {}
+L 4 -20 -10 20 -10 {}
+B 5 -31.25 -21.25 -28.75 -18.75 {name=conn_1 dir=inout pinnumber=1}
+B 5 -31.25 -1.25 -28.75 1.25 {name=conn_2 dir=inout pinnumber=2}
+B 5 -31.25 18.75 -28.75 21.25 {name=conn_3 dir=inout pinnumber=3}
+B 5 -31.25 38.75 -28.75 41.25 {name=conn_4 dir=inout pinnumber=4}
+B 5 -31.25 58.75 -28.75 61.25 {name=conn_5 dir=inout pinnumber=5}
+B 5 -31.25 78.75 -28.75 81.25 {name=conn_6 dir=inout pinnumber=6}
+B 5 -31.25 98.75 -28.75 101.25 {name=conn_7 dir=inout pinnumber=7}
+B 5 -31.25 118.75 -28.75 121.25 {name=conn_8 dir=inout pinnumber=8}
+B 5 -31.25 138.75 -28.75 141.25 {name=conn_7 dir=inout pinnumber=9}
+B 5 -31.25 158.75 -28.75 161.25 {name=conn_8 dir=inout pinnumber=10}
+B 5 28.75 158.75 31.25 161.25 {name=conn_1 dir=inout pinnumber=11}
+B 5 28.75 138.75 31.25 141.25 {name=conn_2 dir=inout pinnumber=12}
+B 5 28.75 118.75 31.25 121.25 {name=conn_3 dir=inout pinnumber=13}
+B 5 28.75 98.75 31.25 101.25 {name=conn_4 dir=inout pinnumber=14}
+B 5 28.75 78.75 31.25 81.25 {name=conn_5 dir=inout pinnumber=15}
+B 5 28.75 58.75 31.25 61.25 {name=conn_6 dir=inout pinnumber=16}
+B 5 28.75 38.75 31.25 41.25 {name=conn_7 dir=inout pinnumber=17}
+B 5 28.75 18.75 31.25 21.25 {name=conn_8 dir=inout pinnumber=18}
+B 5 28.75 -1.25 31.25 1.25 {name=conn_7 dir=inout pinnumber=19}
+B 5 28.75 -21.25 31.25 -18.75 {name=conn_8 dir=inout pinnumber=20}
+P 4 5 -20 170 20 170 20 -30 -20 -30 -20 170 {}
+T {@name} -13.75 -43.75 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -23.75 -31.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -23.75 -11.25 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} -23.75 8.75 0 1 0.2 0.2 {layer=13}
+T {@#3:pinnumber} -23.75 28.75 0 1 0.2 0.2 {layer=13}
+T {@#4:pinnumber} -23.75 48.75 0 1 0.2 0.2 {layer=13}
+T {@#5:pinnumber} -23.75 68.75 0 1 0.2 0.2 {layer=13}
+T {@#6:pinnumber} -23.75 88.75 0 1 0.2 0.2 {layer=13}
+T {@#7:pinnumber} -23.75 108.75 0 1 0.2 0.2 {layer=13}
+T {@#8:pinnumber} -23.75 128.75 0 1 0.2 0.2 {layer=13}
+T {@#9:pinnumber} -23.75 148.75 0 1 0.2 0.2 {layer=13}
+T {@#10:pinnumber} 23.75 148.75 0 0 0.2 0.2 {layer=13}
+T {@#11:pinnumber} 23.75 128.75 0 0 0.2 0.2 {layer=13}
+T {@#12:pinnumber} 23.75 108.75 0 0 0.2 0.2 {layer=13}
+T {@#13:pinnumber} 23.75 88.75 0 0 0.2 0.2 {layer=13}
+T {@#14:pinnumber} 23.75 68.75 0 0 0.2 0.2 {layer=13}
+T {@#15:pinnumber} 23.75 48.75 0 0 0.2 0.2 {layer=13}
+T {@#16:pinnumber} 23.75 28.75 0 0 0.2 0.2 {layer=13}
+T {@#17:pinnumber} 23.75 8.75 0 0 0.2 0.2 {layer=13}
+T {@#18:pinnumber} 23.75 -11.25 0 0 0.2 0.2 {layer=13}
+T {@#19:pinnumber} 23.75 -31.25 0 0 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/conn_14x1.sym b/xschem/sub/xschem/symbols/devices/conn_14x1.sym
new file mode 100644
index 0000000..df10e8c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/conn_14x1.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+verilog_ignore=true
+format="*connector(8,1) @pinlist"
+tedax_format="footprint @name @footprint
+device @name @device
+value @name @value
+@comptag"
+template="name=c1 footprint=connector(14,1)"
+}
+V {}
+S {}
+E {}
+B 5 18.75 -131.25 21.25 -128.75 {name=conn_1 dir=inout pinnumber=1}
+B 5 18.75 -111.25 21.25 -108.75 {name=conn_2 dir=inout pinnumber=2}
+B 5 18.75 -91.25 21.25 -88.75 {name=conn_3 dir=inout pinnumber=3}
+B 5 18.75 -71.25 21.25 -68.75 {name=conn_4 dir=inout pinnumber=4}
+B 5 18.75 -51.25 21.25 -48.75 {name=conn_5 dir=inout pinnumber=5}
+B 5 18.75 -31.25 21.25 -28.75 {name=conn_6 dir=inout pinnumber=6}
+B 5 18.75 -11.25 21.25 -8.75 {name=conn_7 dir=inout pinnumber=7}
+B 5 18.75 8.75 21.25 11.25 {name=conn_8 dir=inout pinnumber=8}
+B 5 18.75 28.75 21.25 31.25 {name=conn_9 dir=inout pinnumber=9}
+B 5 18.75 48.75 21.25 51.25 {name=conn_10 dir=inout pinnumber=10}
+B 5 18.75 68.75 21.25 71.25 {name=conn_11 dir=inout pinnumber=11}
+B 5 18.75 88.75 21.25 91.25 {name=conn_12 dir=inout pinnumber=12}
+B 5 18.75 108.75 21.25 111.25 {name=conn_13 dir=inout pinnumber=13}
+B 5 18.75 128.75 21.25 131.25 {name=conn_14 dir=inout pinnumber=14
+}
+A 4 15 -130 5 270 360 {}
+A 4 15 -110 5 270 360 {}
+A 4 15 -90 5 270 360 {}
+A 4 15 -70 5 270 360 {}
+A 4 15 -50 5 270 360 {}
+A 4 15 -30 5 270 360 {}
+A 4 15 -10 5 270 360 {}
+A 4 15 10 5 270 360 {}
+A 4 15 30 5 270 360 {}
+A 4 15 50 5 270 360 {}
+A 4 15 70 5 270 360 {}
+A 4 15 90 5 270 360 {}
+A 4 15 110 5 270 360 {}
+A 4 15 130 5 270 360 {}
+P 4 5 10 140 -10 140 -10 -140 10 -140 10 140 {}
+T {@#0:pinnumber} 6.25 -136.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} 6.25 -116.25 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 6.25 -96.25 0 1 0.2 0.2 {layer=13}
+T {@name} -18.75 -153.75 0 0 0.2 0.2 {}
+T {@#3:pinnumber} 6.25 -76.25 0 1 0.2 0.2 {layer=13}
+T {@#4:pinnumber} 6.25 -56.25 0 1 0.2 0.2 {layer=13}
+T {@#5:pinnumber} 6.25 -36.25 0 1 0.2 0.2 {layer=13}
+T {@#6:pinnumber} 6.25 -16.25 0 1 0.2 0.2 {layer=13}
+T {@#7:pinnumber} 6.25 3.75 0 1 0.2 0.2 {layer=13}
+T {@#8:pinnumber} 6.25 23.75 0 1 0.2 0.2 {layer=13}
+T {@#9:pinnumber} 6.25 43.75 0 1 0.2 0.2 {layer=13}
+T {@#10:pinnumber} 6.25 63.75 0 1 0.2 0.2 {layer=13}
+T {@#11:pinnumber} 6.25 83.75 0 1 0.2 0.2 {layer=13}
+T {@#12:pinnumber} 6.25 103.75 0 1 0.2 0.2 {layer=13}
+T {@#13:pinnumber} 6.25 123.75 0 1 0.2 0.2 {layer=13}
+T {@value} -18.75 -173.75 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/conn_3x1.sym b/xschem/sub/xschem/symbols/devices/conn_3x1.sym
new file mode 100644
index 0000000..9cd49c1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/conn_3x1.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+format="*connector(3,1) @pinlist"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=c1 footprint=connector(3,1)"
+}
+V {}
+S {}
+E {}
+B 5 18.75 -21.25 21.25 -18.75 {name=conn_1 dir=inout pinnumber=1}
+B 5 18.75 -1.25 21.25 1.25 {name=conn_2 dir=inout pinnumber=2}
+B 5 18.75 18.75 21.25 21.25 {name=conn_3 dir=inout pinnumber=3}
+A 4 15 -20 5 270 360 {}
+A 4 15 0 5 270 360 {}
+A 4 15 20 5 270 360 {}
+P 4 5 10 30 -10 30 -10 -30 10 -30 10 30 {}
+T {@#0:pinnumber} 7.5 -23.75 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} 7.5 -3.75 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 7.5 16.25 0 1 0.2 0.2 {layer=13}
+T {@name} -18.75 -43.75 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/conn_4x1.sym b/xschem/sub/xschem/symbols/devices/conn_4x1.sym
new file mode 100644
index 0000000..5d60fe9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/conn_4x1.sym
@@ -0,0 +1,24 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+format="*connector(3,1) @pinlist"
+tedax_format="footprint @name @footprint"
+template="name=c1 footprint=connector(3,1)"
+}
+V {}
+S {}
+E {}
+B 5 18.75 -31.25 21.25 -28.75 {name=conn_1 dir=inout pinnumber=1}
+B 5 18.75 -11.25 21.25 -8.75 {name=conn_2 dir=inout pinnumber=2}
+B 5 18.75 8.75 21.25 11.25 {name=conn_3 dir=inout pinnumber=3}
+B 5 18.75 28.75 21.25 31.25 {name=conn_4 dir=inout pinnumber=4}
+A 4 15 -30 5 270 360 {}
+A 4 15 -10 5 270 360 {}
+A 4 15 10 5 270 360 {}
+A 4 15 30 5 270 360 {}
+P 4 5 10 40 -10 40 -10 -40 10 -40 10 40 {}
+T {@#0:pinnumber} 7.5 -33.75 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} 7.5 -13.75 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 7.5 6.25 0 1 0.2 0.2 {layer=13}
+T {@name} -18.75 -53.75 0 0 0.2 0.2 {}
+T {@#3:pinnumber} 7.5 26.25 0 1 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/conn_8x1.sym b/xschem/sub/xschem/symbols/devices/conn_8x1.sym
new file mode 100644
index 0000000..1f76228
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/conn_8x1.sym
@@ -0,0 +1,44 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+verilog_ignore=true
+format="*connector(8,1) @pinlist"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=c1 footprint=connector(8,1)"
+}
+V {}
+S {}
+E {}
+B 5 18.75 -21.25 21.25 -18.75 {name=conn_1 dir=inout pinnumber=1}
+B 5 18.75 -1.25 21.25 1.25 {name=conn_2 dir=inout pinnumber=2}
+B 5 18.75 18.75 21.25 21.25 {name=conn_3 dir=inout pinnumber=3}
+B 5 18.75 38.75 21.25 41.25 {name=conn_4 dir=inout pinnumber=4}
+B 5 18.75 58.75 21.25 61.25 {name=conn_5 dir=inout pinnumber=5}
+B 5 18.75 78.75 21.25 81.25 {name=conn_6 dir=inout pinnumber=6}
+B 5 18.75 98.75 21.25 101.25 {name=conn_7 dir=inout pinnumber=7}
+B 5 18.75 118.75 21.25 121.25 {name=conn_8 dir=inout pinnumber=8}
+A 4 15 -20 5 270 360 {}
+A 4 15 0 5 270 360 {}
+A 4 15 20 5 270 360 {}
+A 4 15 40 5 270 360 {}
+A 4 15 60 5 270 360 {}
+A 4 15 80 5 270 360 {}
+A 4 15 100 5 270 360 {}
+A 4 15 120 5 270 360 {}
+P 4 5 10 130 -10 130 -10 -30 10 -30 10 130 {}
+T {@#0:pinnumber} 6.25 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} 6.25 -6.25 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 6.25 13.75 0 1 0.2 0.2 {layer=13}
+T {@name} -18.75 -43.75 0 0 0.2 0.2 {}
+T {@#3:pinnumber} 6.25 33.75 0 1 0.2 0.2 {layer=13}
+T {@#4:pinnumber} 6.25 53.75 0 1 0.2 0.2 {layer=13}
+T {@#5:pinnumber} 6.25 73.75 0 1 0.2 0.2 {layer=13}
+T {@#6:pinnumber} 6.25 93.75 0 1 0.2 0.2 {layer=13}
+T {@#7:pinnumber} 6.25 113.75 0 1 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/connect.sym b/xschem/sub/xschem/symbols/devices/connect.sym
new file mode 100644
index 0000000..8cbd2c6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/connect.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=resistor
+format="@name @pinlist 0.01 m=@m"
+template="name=R1 m=1"}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 0 -30 -0 -15 {}
+L 4 0 -15 5 -15 {}
+L 4 5 -15 5 15 {}
+L 4 -5 15 5 15 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 -15 0 -15 {}
+L 4 -0 15 -0 30 {}
+L 4 -5 -10 -0 -15 {}
+L 4 -5 -5 5 -15 {}
+L 4 -5 -0 5 -10 {}
+L 4 -5 5 5 -5 {}
+L 4 -5 10 5 0 {}
+L 4 -5 15 5 5 {}
+L 4 0 15 5 10 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=out propag=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=in propag=0}
diff --git a/xschem/sub/xschem/symbols/devices/connector.sym b/xschem/sub/xschem/symbols/devices/connector.sym
new file mode 100644
index 0000000..7c210e3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/connector.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=connector
+format="*connector(1,1) @pinlist"
+tedax_format="footprint @name @footprint"
+template="name=c1 footprint=connector(1,1)"}
+V {}
+S {}
+E {}
+B 5 -1.25 -1.25 1.25 1.25 {name=conn_1 dir=inout pinnumber=1}
+A 4 -5 0 5 270 360 {}
+P 4 5 -10 10 -30 10 -30 -10 -10 -10 -10 10 {}
+T {@#0:pinnumber} -13.75 -1.25 0 1 0.1 0.1 {}
+T {@name} -38.75 -23.75 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/crystal-2.sym b/xschem/sub/xschem/symbols/devices/crystal-2.sym
new file mode 100644
index 0000000..bc08239
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/crystal-2.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.5_RC6 file_version=1.1}
+G {type=crystal
+format="@name @pinlist @symname"
+verilog_format="tran @name (@@P\\\\, @@M\\\\);"
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+@comptag"
+template="name=X1
+value=12MHz
+footprint=CRYSTAL\\ 300
+device=CRYSTAL"
+}
+V {}
+S {}
+E {}
+L 4 0 12.5 0 30 {}
+L 4 0 -30 0 -12.5 {}
+L 4 -15 12.5 15 12.5 {}
+L 4 -15 -12.5 15 -12.5 {}
+L 4 -30 -0 -15 -0 {}
+L 4 -15 0 -15 5 {}
+L 4 -18.75 5 -11.25 5 {}
+L 4 -18.75 7.5 -11.25 7.5 {}
+L 4 -15 7.5 -15 12.5 {}
+L 4 -15 -12.5 -15 -7.5 {}
+L 4 -18.75 -7.5 -11.25 -7.5 {}
+L 4 -18.75 -5 -11.25 -5 {}
+L 4 -15 -5 -15 0 {}
+B 4 -7.5 -7.5 7.5 7.5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=1}
+B 5 -32.5 -2.5 -27.5 2.5 {name=G dir=inout propag=0 pinnumber=2}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=3}
+T {@name} 25 -13.75 0 0 0.2 0.2 {}
+T {@value} 25 1.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} 5 -26.25 0 0 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 5 16.25 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -22.5 -13.75 0 1 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/crystal.sym b/xschem/sub/xschem/symbols/devices/crystal.sym
new file mode 100644
index 0000000..b86ba5a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/crystal.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=crystal
+format="@name @pinlist @symname"
+verilog_format="tran @name (@@P\\\\, @@M\\\\);"
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+@comptag"
+template="name=X1
+value=12MHz
+footprint=CRYSTAL\\ 300
+device=CRYSTAL"
+}
+V {}
+S {}
+E {}
+L 4 0 12.5 0 30 {}
+L 4 0 -30 0 -12.5 {}
+L 4 -15 12.5 15 12.5 {}
+L 4 -15 -12.5 15 -12.5 {}
+B 4 -7.5 -7.5 7.5 7.5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=2}
+T {@name} 25 -13.75 0 0 0.2 0.2 {}
+T {@value} 25 1.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -10 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/dac_bridge.sym b/xschem/sub/xschem/symbols/devices/dac_bridge.sym
new file mode 100644
index 0000000..d3366a2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/dac_bridge.sym
@@ -0,0 +1,17 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=delay
+verilog_ignore=true
+vhdl_ignore=true
+format="@name [ @@s ] [ @@d ] @dac_bridge_model"
+template="name=A1 dac_bridge_model= dac_buff"
+}
+V {}
+S {}
+E {}
+L 4 -30 0 30 0 {}
+L 4 -10 -5 10 0 {}
+L 4 -10 5 10 0 {}
+B 5 27.5 -2.5 32.5 2.5 {name=d dir=out verilog_type=wire propag=1}
+B 5 -32.5 -2.5 -27.5 2.5 {name=s dir=in verilog_type=wire propag=0}
+T {@name} -25 -10 0 0 0.12 0.12 {}
+T {@dac_bridge_model} 0 -10 0 0 0.12 0.12 {}
diff --git a/xschem/sub/xschem/symbols/devices/delay.sym b/xschem/sub/xschem/symbols/devices/delay.sym
new file mode 100644
index 0000000..2093165
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/delay.sym
@@ -0,0 +1,17 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=delay_eldo
+format="del@name @pinlist @del"
+template="name=d1 del=2e-9"}
+V {}
+S {}
+E {}
+L 4 -40 0 -30 0 {}
+L 4 -30 -10 -30 10 {}
+L 4 -30 10 30 10 {}
+L 4 30 -10 30 10 {}
+L 4 -30 -10 30 -10 {}
+L 4 30 -0 40 -0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=inp dir=in}
+B 5 37.5 -2.5 42.5 2.5 {name=outp dir=out}
+T {del=@del} -27.5 -3.75 0 0 0.15 0.15 {}
+T {@name} -25 -22.5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/delay_line.sym b/xschem/sub/xschem/symbols/devices/delay_line.sym
new file mode 100644
index 0000000..31f4044
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/delay_line.sym
@@ -0,0 +1,20 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=transmission_line
+format="@name @pinlist z0=@z0 td=@td"
+template="name=T1 z0=50 td=10n"}
+V {}
+S {}
+E {}
+L 4 -70 -15 70 -15 {}
+L 4 -70 -5 70 -5 {}
+L 4 -70 -5 -70 10 {}
+L 4 -80 10 -70 10 {}
+L 4 70 -5 70 10 {}
+L 4 70 10 80 10 {}
+L 4 -80 -10 80 -10 {}
+B 5 -82.5 -12.5 -77.5 -7.5 {name=nap dir=in}
+B 5 -82.5 7.5 -77.5 12.5 {name=nam dir=in}
+B 5 77.5 -12.5 82.5 -7.5 {name=nbp dir=out}
+B 5 77.5 7.5 82.5 12.5 {name=nbm dir=out}
+T {z0=@z0 delay=@td} -60 -30 0 0 0.25 0.2 {}
+T {@name} -40 -47.5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/diode.sym b/xschem/sub/xschem/symbols/devices/diode.sym
new file mode 100644
index 0000000..74450ed
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/diode.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=diode
+format="@name @pinlist @model area=@area"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=D1 model=D1N914 area=1"}
+V {}
+S {}
+E {}
+L 4 0 5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 5 10 5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout pinnumber=1 propag=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout pinnumber=2}
+P 4 4 -0 5 -10 -5 10 -5 0 5 {fill=true}
+T {@#0:pinnumber} -5 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 17.5 0 1 0.2 0.2 {layer=13}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@model} 15 -6.25 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/flash_cell.sym b/xschem/sub/xschem/symbols/devices/flash_cell.sym
new file mode 100644
index 0000000..6bd8a99
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/flash_cell.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=flash
+vhdl_stop=true
+format="@name @pinlist @model dvt=@dvt width=@width length=@length m=1" 
+template="name=X1 model=flash1 dvt=0 width=0.16u length=0.3u m=1"}
+V {}
+S {}
+E {}
+L 4 -15 -30 -15 30 {}
+L 4 -15 -20 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 -15 20 0 20 {}
+L 4 0 20 0 30 {}
+L 4 -30 -15 -30 15 {}
+L 4 -30 0 -30 5 {}
+L 4 -40 0 -32.5 0 {}
+L 4 -40 0 -30 0 {}
+L 4 -15 0 0 0 {}
+L 8 -22.5 -15 -22.5 15 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=D dir=inout}
+B 5 -42.5 -2.5 -37.5 2.5 {name=G dir=in}
+B 5 -2.5 27.5 2.5 32.5 {name=S dir=inout}
+B 5 -2.5 -2.5 2.5 2.5 {name=B dir=in}
+T {DVT=@dvt} -11 4 0 0 0.2 0.2 {}
+T {@name} -10 -12 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/generic_pin.sym b/xschem/sub/xschem/symbols/devices/generic_pin.sym
new file mode 100644
index 0000000..367bba5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/generic_pin.sym
@@ -0,0 +1,12 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=generic
+template="name=g1 generic_type=time value=\\"2 ns\\" lab=xxx"}
+V {}
+S {}
+E {}
+L 8 -15 -5 -5 -5 {}
+L 8 -5 -5 0 0 {}
+L 8 -5 5 0 0 {}
+L 8 -15 5 -5 5 {}
+L 8 -15 -5 -15 5 {}
+T {@lab : @generic_type := @value} -17.5 -7.5 0 1 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/gnd.sym b/xschem/sub/xschem/symbols/devices/gnd.sym
new file mode 100644
index 0000000..03ecaa3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/gnd.sym
@@ -0,0 +1,16 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=label
+function0="L"
+global=true
+format="*.alias @lab"
+template="name=l1 lab=GND"}
+V {}
+S {}
+E {}
+L 4 0 0 0 12.5 {}
+L 4 -5 12.5 5 12.5 {}
+L 4 0 17.5 5 12.5 {}
+L 4 -5 12.5 0 17.5 {}
+B 5 -2.5 -2.5 2.5 2.5 {name=p dir=inout goto=0}
+T {@lab} 7.5 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/ind.sym b/xschem/sub/xschem/symbols/devices/ind.sym
new file mode 100644
index 0000000..dc075e0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ind.sym
@@ -0,0 +1,74 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=inductor
+format="@name @pinlist @value m=@m"
+tedax_format="footprint @name @footprint 
+value @name @value
+device @name @device
+@comptag"
+template="name=L1
+m=1
+value=1n
+footprint=1206
+device=inductor"}
+V {}
+S {}
+E {}
+L 4 -5 -18.75 0 -21.25 {}
+L 4 -7.5 -16.25 -5 -18.75 {}
+L 4 -7.5 -16.25 -7.5 -13.75 {}
+L 4 -7.5 -13.75 -5 -11.25 {}
+L 4 -5 -11.25 0 -8.75 {}
+L 4 -5 -11.25 0 -13.75 {}
+L 4 -7.5 -8.75 -5 -11.25 {}
+L 4 -7.5 -8.75 -7.5 -6.25 {}
+L 4 -7.5 -6.25 -5 -3.75 {}
+L 4 -5 -3.75 0 -1.25 {}
+L 4 -5 -3.75 0 -6.25 {}
+L 4 -7.5 -1.25 -5 -3.75 {}
+L 4 -7.5 -1.25 -7.5 1.25 {}
+L 4 -7.5 1.25 -5 3.75 {}
+L 4 -5 3.75 0 6.25 {}
+L 4 -5 3.75 0 1.25 {}
+L 4 -7.5 6.25 -5 3.75 {}
+L 4 -7.5 6.25 -7.5 8.75 {}
+L 4 -7.5 8.75 -5 11.25 {}
+L 4 -5 11.25 0 13.75 {}
+L 4 -5 11.25 0 8.75 {}
+L 4 -7.5 13.75 -5 11.25 {}
+L 4 -7.5 13.75 -7.5 16.25 {}
+L 4 -7.5 16.25 -5 18.75 {}
+L 4 -5 18.75 0 21.25 {}
+L 4 0 -30 -0 -21.25 {}
+L 4 0 21.25 0 30 {}
+L 4 0 -13.75 2.5 -15 {}
+L 4 2.5 -15 6.5625 -14.0625 {}
+L 4 6.5625 -14.0625 7.5 -11.5625 {}
+L 4 6.25 -10 7.5 -11.5625 {}
+L 4 0 -8.75 6.25 -10 {}
+L 4 0 -6.25 2.5 -7.5 {}
+L 4 2.5 -7.5 6.5625 -6.5625 {}
+L 4 6.5625 -6.5625 7.5 -4.0625 {}
+L 4 6.25 -2.5 7.5 -4.0625 {}
+L 4 0 -1.25 6.25 -2.5 {}
+L 4 0 1.25 2.5 0 {}
+L 4 2.5 0 6.5625 0.9375 {}
+L 4 6.5625 0.9375 7.5 3.4375 {}
+L 4 6.25 5 7.5 3.4375 {}
+L 4 0 6.25 6.25 5 {}
+L 4 0 8.75 2.5 7.5 {}
+L 4 2.5 7.5 6.5625 8.4375 {}
+L 4 6.5625 8.4375 7.5 10.9375 {}
+L 4 6.25 12.5 7.5 10.9375 {}
+L 4 0 13.75 6.25 12.5 {}
+L 4 7.5 -26.25 7.5 -21.25 {}
+L 4 5 -23.75 10 -23.75 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout propag=0 pinnumber=2}
+T {@#0:pinnumber} -10 -27.5 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 17.5 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@value} 15 -3.75 0 0 0.2 0.2 {}
+T {m=@m} 15 11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/iopin.sym b/xschem/sub/xschem/symbols/devices/iopin.sym
new file mode 100644
index 0000000..19f8eae
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/iopin.sym
@@ -0,0 +1,11 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=iopin
+format="*.iopin @lab"
+template="name=p1 lab=xxx"
+}
+V {}
+S {}
+E {}
+B 5 -0.0098 -0.009765619999999999 0.0098 0.009765619999999999 {name=p dir=inout}
+P 5 7 0 0 5.625 -4.84375 10.7812 -4.84375 16.4062 -0 10.7812 4.84375 5.625 4.84375 -0 0 {fill=true}
+T {@lab} 19.8438 -8.75 0 0 0.33 0.33 {}
diff --git a/xschem/sub/xschem/symbols/devices/ipin.sym b/xschem/sub/xschem/symbols/devices/ipin.sym
new file mode 100644
index 0000000..348e415
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ipin.sym
@@ -0,0 +1,12 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=ipin
+format="*.ipin @lab"
+template="name=p1 lab=xxx"
+}
+V {}
+S {}
+E {}
+B 5 -0.009765619999999999 -0.009765619999999999 0.009765619999999999 0.009765619999999999 {name=p dir=out}
+P 5 6 -0 -0 -6.25 -5 -14.375 -5 -14.375 5 -6.25 5 0 0 {fill=true}
+T {@lab} -18.75 -8.75 0 1 0.33 0.33 {}
diff --git a/xschem/sub/xschem/symbols/devices/isource.sym b/xschem/sub/xschem/symbols/devices/isource.sym
new file mode 100644
index 0000000..27ea873
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/isource.sym
@@ -0,0 +1,20 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist @value"
+template="name=I0 value=1m"}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -15 {}
+L 4 0 15 0 30 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+P 4 7 -0 -7.5 -0 2.5 2.5 2.5 -0 10 -2.5 2.5 0 2.5 -0 -7.5 {fill=true}
+T {@name
+@value} 20 -10 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/isource_arith.sym b/xschem/sub/xschem/symbols/devices/isource_arith.sym
new file mode 100644
index 0000000..21b7cea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/isource_arith.sym
@@ -0,0 +1,24 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist CUR=' @CUR ' MIN=' @MIN ' MAX=' @MAX ' "
+template="name=G1 CUR=cos(V(IN)) MIN=-2 MAX=2"}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -15 {}
+L 4 -10 0 10 0 {}
+L 4 -20 12.5 -17.5 5 {}
+L 4 -22.5 5 -17.5 5 {}
+L 4 -22.5 5 -20 12.5 {}
+L 4 0 15 0 30 {}
+L 4 -20 -12.5 -20 5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@CUR
+MIN=@MIN
+MAX=@MAX} 20 0 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/isource_pwl.sym b/xschem/sub/xschem/symbols/devices/isource_pwl.sym
new file mode 100644
index 0000000..0102820
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/isource_pwl.sym
@@ -0,0 +1,40 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name  @@p @@m pwl(1) @@cp @@cm @TABLE"
+template="name=G1 TABLE=\\"1 0 2 1m\\""
+}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -20 0 0 -20 {}
+L 4 -20 0 0 20 {}
+L 4 0 20 20 0 {}
+L 4 0 -20 20 0 {}
+L 4 -40 -20 -35 -20 {}
+L 4 -30 -20 -25 -20 {}
+L 4 -20 -20 -15 -20 {}
+L 4 -40 20 -35 20 {}
+L 4 -30 20 -25 20 {}
+L 4 -20 20 -15 20 {}
+L 4 0 20 0 30 {}
+L 4 0 -30 0 -20 {}
+L 4 -5 5 0 10 {}
+L 4 -5 5 5 5 {}
+L 4 0 10 5 5 {}
+L 4 0 -5 0 5 {}
+L 4 -37.5 -12.5 -32.5 -12.5 {}
+L 4 -35 -15 -35 -10 {}
+L 4 -37.5 12.5 -32.5 12.5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=cp dir=in}
+B 5 -42.5 17.5 -37.5 22.5 {name=cm dir=in}
+T {pwl(1)=@TABLE} 20 0 0 0 0.2 0.2 {}
+T {@name} 20 -15 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} -45 -32.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/isource_table.sym b/xschem/sub/xschem/symbols/devices/isource_table.sym
new file mode 100644
index 0000000..531efe5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/isource_table.sym
@@ -0,0 +1,23 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist TABLE \{ @CTRL \} = @TABLE"
+template="name=G1 CTRL=\\"V(plus,minus)\\" TABLE=\\"(0, 0) (1, 100m) (2, 300m)\\""}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -15 {}
+L 4 -10 0 10 0 {}
+L 4 -20 12.5 -17.5 5 {}
+L 4 -22.5 5 -17.5 5 {}
+L 4 -22.5 5 -20 12.5 {}
+L 4 0 15 0 30 {}
+L 4 -20 -12.5 -20 5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@CTRL
+@TABLE} 20 0 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/jumper.sym b/xschem/sub/xschem/symbols/devices/jumper.sym
new file mode 100644
index 0000000..c2d99f2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/jumper.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=jumper
+format="* @name @pinlist"
+verilog_format="// @name (@@P\\\\, @@M\\\\);"
+tedax_format="footprint @name @footprint
+device @name @device"
+template="name=J1
+footprint=JUMPER2
+device=JUMPER"
+}
+V {}
+S {}
+E {}
+L 4 0 15 0 30 {}
+L 4 0 -30 0 -15 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=2}
+A 4 0 12.5 2.5 270 360 {}
+A 4 0 -12.5 2.5 270 360 {}
+T {@name} 15 -13.75 0 0 0.2 0.2 {}
+T {@value} 15 1.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -10 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/k.sym b/xschem/sub/xschem/symbols/devices/k.sym
new file mode 100644
index 0000000..7d15129
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/k.sym
@@ -0,0 +1,13 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=coupler
+format="@name @L1 @L2 @K"
+template="name=K1 K=0.9 L1=L1 L2=L2"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {}
+L 4 -5 -30 -5 30 {}
+T {K=@K} -20 60 0 0 0.25 0.2 {}
+T {@name} -20 42.5 0 0 0.2 0.2 {}
+T {@L1} -10 -10 0 1 0.25 0.2 {}
+T {@L2} 10 -10 0 0 0.25 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/lab_generic.sym b/xschem/sub/xschem/symbols/devices/lab_generic.sym
new file mode 100644
index 0000000..01ae745
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/lab_generic.sym
@@ -0,0 +1,9 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=label
+format=".alias @lab"
+template="name=l1 lab=lll value=xxx"}
+V {}
+S {}
+E {}
+B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in}
+T {@lab = @value} -7.5 -5 0 1 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/lab_pin.sym b/xschem/sub/xschem/symbols/devices/lab_pin.sym
new file mode 100644
index 0000000..e80c512
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/lab_pin.sym
@@ -0,0 +1,10 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=label
+format="*.alias @lab"
+template="name=l1 sig_type=std_logic lab=xxx"}
+V {}
+S {}
+E {}
+B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in}
+T {@lab} -7.5 -8.125 0 1 0.33 0.33 {}
diff --git a/xschem/sub/xschem/symbols/devices/lab_show.sym b/xschem/sub/xschem/symbols/devices/lab_show.sym
new file mode 100644
index 0000000..f2a1516
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/lab_show.sym
@@ -0,0 +1,12 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=show_label
+template="name=l1"
+net_name=true
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 0 0 {}
+B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in}
+T {@#0:net_name} -22.5 -30.625 0 1 0.33 0.33 {}
diff --git a/xschem/sub/xschem/symbols/devices/lab_wire.sym b/xschem/sub/xschem/symbols/devices/lab_wire.sym
new file mode 100644
index 0000000..dd9788a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/lab_wire.sym
@@ -0,0 +1,9 @@
+v {xschem version=2.9.5_RC6 file_version=1.1}
+G {type=label
+format="*.alias @lab"
+template="name=l1 sig_type=std_logic lab=xxx"}
+V {}
+S {}
+E {}
+B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in}
+T {@lab} -2.5 -1.25 2 0 0.27 0.27 {}
diff --git a/xschem/sub/xschem/symbols/devices/launcher.sym b/xschem/sub/xschem/symbols/devices/launcher.sym
new file mode 100644
index 0000000..dd05f20
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/launcher.sym
@@ -0,0 +1,15 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=launcher
+format="** @descr : @url"
+verilog_ignore=true
+vhdl_ignore=true
+spice_ignore=true
+template="name=h1
+descr=google 
+url=www.google.com"}
+V {}
+S {}
+E {}
+P 4 9 -0 -0 -30 -12.5 -30 -5 -60 -5 -50 0 -60 5 -30 5 -30 12.5 -0 0 {fill=true}
+T {@descr} 10 0 0 0 0.4 0.4 {vcenter=true}
diff --git a/xschem/sub/xschem/symbols/devices/led.sym b/xschem/sub/xschem/symbols/devices/led.sym
new file mode 100644
index 0000000..93f5669
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/led.sym
@@ -0,0 +1,34 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=diode
+format="@spiceprefix@name @pinlist @model"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=X1 model=XXX device=XXX"}
+V {}
+S {}
+E {}
+L 4 0 5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -20 5 20 5 {}
+L 4 -30 -2.5 -20 -12.5 {}
+L 4 -30 -12.5 -30 -2.5 {}
+L 4 -45 2.5 -30 -12.5 {}
+L 4 -35 10 -25 0 {}
+L 4 -35 0 -35 10 {}
+L 4 -50 15 -35 0 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout pinnumber=2}
+P 4 4 -0 5 -10 -5 10 -5 -0 5 {fill=true}
+T {@name} 7.5 -20 0 0 0.2 0.2 {}
+T {@value} 7.5 12.5 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -5 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/netlist.sym b/xschem/sub/xschem/symbols/devices/netlist.sym
new file mode 100644
index 0000000..374468b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/netlist.sym
@@ -0,0 +1,13 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=netlist_commands
+template="name=s1 value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 0 -10 70 -10 {}
+L 4 0 -10 0 10 {}
+T {NETLIST} 5 -25 0 0 0.3 0.3 {}
+T {@value} 15 -5 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/netlist_at_end.sym b/xschem/sub/xschem/symbols/devices/netlist_at_end.sym
new file mode 100644
index 0000000..ba40f94
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/netlist_at_end.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=netlist_commands
+place=end
+template="name=s1 value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 0 -10 70 -10 {}
+L 4 0 -10 0 10 {}
+T {@name} 5 -25 0 0 0.3 0.3 {}
+T {@value} 15 -5 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/netlist_not_shown.sym b/xschem/sub/xschem/symbols/devices/netlist_not_shown.sym
new file mode 100644
index 0000000..4250d2d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/netlist_not_shown.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=netlist_commands
+template="name=s1 only_toplevel=false value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 100 10 110 10 {}
+L 4 110 10 110 110 {}
+L 4 20 110 110 110 {}
+L 4 20 100 20 110 {}
+L 4 100 0 100 100 {}
+L 4 10 100 100 100 {}
+L 4 10 0 10 100 {}
+L 4 10 0 100 0 {}
+L 4 20 30 60 30 {}
+L 4 20 40 40 40 {}
+L 4 20 50 60 50 {}
+L 4 20 60 50 60 {}
+L 4 20 70 50 70 {}
+L 4 20 80 90 80 {}
+L 4 20 90 40 90 {}
+L 4 20 20 70 20 {}
+L 4 20 10 40 10 {}
+T {@name} 15 -25 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/netlist_not_shown_at_end.sym b/xschem/sub/xschem/symbols/devices/netlist_not_shown_at_end.sym
new file mode 100644
index 0000000..6ff33f8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/netlist_not_shown_at_end.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=netlist_commands
+place=end
+vhdl_ignore=true
+verilog_ignore=true
+template="name=s1 value=blabla"
+format="
+@value
+"}
+V {}
+S {}
+E {}
+L 4 100 0 100 100 {}
+L 4 10 100 100 100 {}
+L 4 10 0 10 100 {}
+L 4 10 0 100 0 {}
+L 4 100 10 110 10 {}
+L 4 110 10 110 110 {}
+L 4 20 110 110 110 {}
+L 4 20 100 20 110 {}
+L 4 20 30 60 30 {}
+L 4 20 40 40 40 {}
+L 4 20 50 60 50 {}
+L 4 20 60 50 60 {}
+L 4 20 70 50 70 {}
+L 4 20 80 90 80 {}
+L 4 20 90 40 90 {}
+L 4 20 20 70 20 {}
+L 4 20 10 40 10 {}
+T {@name} 15 -25 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/netlist_options.sym b/xschem/sub/xschem/symbols/devices/netlist_options.sym
new file mode 100644
index 0000000..8bb2f4d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/netlist_options.sym
@@ -0,0 +1,16 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=netlist_options
+template="
+bus_replacement_char="[]"
+top_subckt=false
+spiceprefix=true
+hiersep=.
+"
+}
+V {}
+S {}
+E {}
+L 4 -0 -10 355 -10 {}
+T {NETLIST_OPTIONS} 5 -25 0 0 0.3 0.3 {}
+T {@prop_ptr} 45 5 0 0 0.3 0.3 {layer=8}
diff --git a/xschem/sub/xschem/symbols/devices/ngspice_get_expr.sym b/xschem/sub/xschem/symbols/devices/ngspice_get_expr.sym
new file mode 100644
index 0000000..9a7d9cb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ngspice_get_expr.sym
@@ -0,0 +1,15 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=raw_data_show
+vhdl_ignore=true
+spice_ignore=false
+verilog_ignore=true
+tedax_ignore=true
+template="name=r1 node=xxx
+descr=\\"I=\\""}
+V {}
+S {}
+E {}
+A 15 0 0 1.875 90 360 {fill=true}
+T {tcleval(@node\\)} 6.875 -16.09375 0 0 0.2 0.2 {layer=15}
+T {@descr} 6.875 -28.59375 0 0 0.2 0.2 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/ngspice_get_value.sym b/xschem/sub/xschem/symbols/devices/ngspice_get_value.sym
new file mode 100644
index 0000000..c50b9d9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ngspice_get_value.sym
@@ -0,0 +1,15 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=raw_data_show
+vhdl_ignore=true
+spice_ignore=false
+verilog_ignore=true
+tedax_ignore=true
+template="name=r1 node=xxx
+descr=\\"I=\\""}
+V {}
+S {}
+E {}
+A 15 0 0 1.875 90 360 {fill=true}
+T {tcleval([ ngspice::get_node [subst -nocommand \{@node\\\}] ] )} 4.375 -8.59375 0 0 0.2 0.2 {vcenter=true layer=15}
+T {@descr} 4.375 -21.09375 0 0 0.2 0.2 {vcenter=true layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/ngspice_probe.sym b/xschem/sub/xschem/symbols/devices/ngspice_probe.sym
new file mode 100644
index 0000000..c09e716
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/ngspice_probe.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=ngprobe
+vhdl_ignore=true
+spice_ignore=false
+verilog_ignore=true
+tedax_ignore=true
+template="name=r1"}
+V {}
+S {}
+E {}
+L 15 -0 -0 5 -5 {}
+B 5 -0.46875 -0.46875 0.46875 0.46875 {name=p dir=xxx}
+T {tcleval( [ ngspice::get_node v([set path]@@p\\) ] )} 6.875 -13.59375 0 0 0.2 0.2 {vcenter=true layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/nmos-sub.sym b/xschem/sub/xschem/symbols/devices/nmos-sub.sym
new file mode 100644
index 0000000..c63e31d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/nmos-sub.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.5_RC8 file_version=1.1}
+G {type=nmos
+format="@name @pinlist @substrate @model w=@w l=@l m=@m"
+template="name=M1 model=nmos substrate=VSS w=5u l=0.18u m=1"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 0 -5 5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 -20 0 -5 0 {}
+L 4 10 0 20 0 {}
+L 4 5 -5 10 0 {}
+L 4 5 5 10 -0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=s dir=inout}
+T {@w\\/@l\\/@m} 7.5 -17.5 0 0 0.2 0.2 {}
+T {@name} 7.5 6.25 0 0 0.2 0.2 {}
+T {D} 25 -27.5 0 0 0.15 0.15 {}
+T {@substrate} 22.5 -3.125 0 0 0.1 0.1 {layer=4}
diff --git a/xschem/sub/xschem/symbols/devices/nmos.sym b/xschem/sub/xschem/symbols/devices/nmos.sym
new file mode 100644
index 0000000..a81cbe4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/nmos.sym
@@ -0,0 +1,35 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @model @extra m=@m"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=M1 model=M2N7002 device=2N7002 footprint=SOT23 m=1"
+verilog_format="@symname #@del @name ( @@d , @@s , @@g );"}
+V {}
+S {}
+E {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 0 -5 5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 -20 0 -5 0 {}
+L 4 5 -27.5 5 27.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=d dir=inout pinnumber=3}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in pinnumber=1}
+B 5 17.5 27.5 22.5 32.5 {name=s dir=inout pinnumber=2}
+T {@#0:pinnumber} 25 -27.5 0 0 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 25 17.5 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -8.75 6.25 0 1 0.2 0.2 {layer=13}
+T {@device} 21.25 -11.25 0 0 0.2 0.2 {}
+T {@name} 21.25 3.75 0 0 0.2 0.2 {}
+T {D} 10 -17.5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/nmos3.sym b/xschem/sub/xschem/symbols/devices/nmos3.sym
new file mode 100644
index 0000000..a335f7a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/nmos3.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=nmos
+format="@name @pinlist @model m=@m"
+template="name=X1 model=irf540 m=1"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 -5 -15 -5 15 {}
+L 4 -20 0 -5 0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=d dir=inout pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in pinnumber=2}
+B 5 17.5 27.5 22.5 32.5 {name=s dir=inout pinnumber=3}
+T {D} 7.5 -17.5 0 0 0.2 0.2 {}
+T {@name} 8.75 6.25 0 0 0.2 0.2 {}
+T {@model x @m} 8.75 -6.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} 25 -27.5 0 0 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 25 17.5 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 6.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 25 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} 25 32.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -25 -12.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/nmos4.sym b/xschem/sub/xschem/symbols/devices/nmos4.sym
new file mode 100644
index 0000000..e6f48c7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/nmos4.sym
@@ -0,0 +1,31 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @model w=@w l=@l @extra m=@m"
+template="name=M1 model=nmos w=5u l=0.18u m=1"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 0 -5 5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 -20 0 -5 0 {}
+L 4 10 0 20 0 {}
+L 4 5 -5 10 0 {}
+L 4 5 5 10 -0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=s dir=inout}
+B 5 17.5 -2.5 22.5 2.5 {name=b dir=in}
+T {@w\\/@l\\/@m} 7.5 -18.75 0 0 0.2 0.2 {}
+T {@spiceprefix@name} 7.5 7.5 0 0 0.2 0.2 {}
+T {D} 25 -27.5 0 0 0.15 0.15 {}
+T {@#0:net_name} 25 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} 25 32.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -25 -12.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} 25 0.625 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/noconn.sym b/xschem/sub/xschem/symbols/devices/noconn.sym
new file mode 100644
index 0000000..14e3045
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/noconn.sym
@@ -0,0 +1,15 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=noconn
+format="* noconn "
+vhdl_ignore=true
+verilog_ignore=true
+spice_ignore=true
+template="name=l1"}
+V {}
+S {}
+E {}
+L 4 -3.75 0 0 -0 {}
+B 5 -1.25 -1.25 1.25 1.25 {name=p dir=inout}
+T {@#0:net_name} -20.625 -4.375 0 1 0.15 0.15 {layer=15}
+T {NC} -16.25 -4.375 0 0 0.15 0.15 { layer=4}
diff --git a/xschem/sub/xschem/symbols/devices/npn.sym b/xschem/sub/xschem/symbols/devices/npn.sym
new file mode 100644
index 0000000..981507b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/npn.sym
@@ -0,0 +1,39 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=npn
+format="@name @pinlist  @model area=@area m=@m"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=Q1
+model=MMBT2222
+device=MMBT2222
+footprint=SOT23
+area=1
+m=1"
+}
+V {}
+S {}
+E {}
+L 4 0 -30 0 30 {}
+L 4 -20 0 -12.5 0 {}
+L 4 -20 0 0 0 {}
+L 4 -0 10 8.75 18.75 {}
+L 4 0 -10 20 -30 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=C dir=inout pinnumber=3}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=in pinnumber=1}
+B 5 17.5 27.5 22.5 32.5 {name=E dir=inout pinnumber=2}
+P 4 4 20 30 13.75 13.75 3.75 23.75 20 30 {fill=true}
+T {@model} 20 -12.5 0 0 0.2 0.2 {}
+T {@name} 20 0 0 0 0.2 0.2 {}
+T {@#0:pinnumber} 25 -25 0 0 0.2 0.2 {layer=13}
+T {@#2:pinnumber} 25 12.5 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 6.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 25 -33.75 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} 25 23.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -6.25 -12.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/opin.sym b/xschem/sub/xschem/symbols/devices/opin.sym
new file mode 100644
index 0000000..461973d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/opin.sym
@@ -0,0 +1,12 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=opin
+format="*.opin @lab"
+template="name=p1 lab=xxx"
+}
+V {}
+S {}
+E {}
+B 5 -0.009765619999999999 -0.009765619999999999 0.009765619999999999 0.009765619999999999 {name=p dir=in}
+P 5 6 -0 -5 0 5 8.125 5 14.375 0 8.125 -5 -0 -5 {fill=true}
+T {@lab} 20 -8.75 0 0 0.33 0.33 {}
diff --git a/xschem/sub/xschem/symbols/devices/package.sym b/xschem/sub/xschem/symbols/devices/package.sym
new file mode 100644
index 0000000..d3b2984
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/package.sym
@@ -0,0 +1,47 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=package
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+    library ieee;
+    use ieee.std_logic_1164.all;
+    use ieee.std_logic_arith.all;
+    use ieee.std_logic_unsigned.all;
+ 
+    package aaa is
+      type real_vector is array(natural range <>) of real;
+      constant dx : real := 0.001 ;
+ 
+    procedure assegna(
+        signal A      : inout real;
+        signal A_OLD  : in real;
+               A_VAL  : in real
+    );
+ 
+    end aaa; -- end package declaration
+ 
+ 
+    package body aaa is
+ 
+ 
+    procedure assegna(
+        signal A      : inout real;
+        signal A_OLD  : in real;
+               A_VAL  : in real ) is
+    constant tdelay: time := 0.01 ns;
+    begin
+     if (A /=  A_VAL) then
+        A <= A_OLD+dx, A_VAL after tdelay;
+     end if;
+    end assegna;
+ 
+ 
+    end aaa; -- end package body
+"}
+V {}
+S {}
+E {}
+L 4 0 -10 355 -10 {}
+T {PACKAGE} 5 -25 0 0 0.3 0.3 {}
+T {@prop_ptr} 25 5 0 0 0.18 0.12 {}
diff --git a/xschem/sub/xschem/symbols/devices/package_not_shown.sym b/xschem/sub/xschem/symbols/devices/package_not_shown.sym
new file mode 100644
index 0000000..b32a1b6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/package_not_shown.sym
@@ -0,0 +1,49 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=package
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+
+-- THIS IS A TEMPLATE, REPLACE WITH ACTUAL CODE OR REMOVE INSTANCE!!
+    library ieee;
+    use ieee.std_logic_1164.all;
+    use ieee.std_logic_arith.all;
+    use ieee.std_logic_unsigned.all;
+ 
+    package aaa is
+      type real_vector is array(natural range <>) of real;
+      constant dx : real := 0.001 ;
+ 
+    procedure assegna(
+        signal A      : inout real;
+        signal A_OLD  : in real;
+               A_VAL  : in real
+    );
+ 
+    end aaa; -- end package declaration
+ 
+ 
+    package body aaa is
+ 
+ 
+    procedure assegna(
+        signal A      : inout real;
+        signal A_OLD  : in real;
+               A_VAL  : in real ) is
+    constant tdelay: time := 0.01 ns;
+    begin
+     if (A /=  A_VAL) then
+        A <= A_OLD+dx, A_VAL after tdelay;
+     end if;
+    end assegna;
+ 
+ 
+    end aaa; -- end package body
+"}
+V {}
+S {}
+E {}
+L 4 0 -10 355 -10 {}
+T {PACKAGE} 5 -25 0 0 0.3 0.3 {}
+T {HIDDEN} 135 -5 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/param.sym b/xschem/sub/xschem/symbols/devices/param.sym
new file mode 100644
index 0000000..f299d3c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/param.sym
@@ -0,0 +1,9 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=spice_parameters
+template="name=s1 value=\\"w=10u\\""
+format=".param @value"}
+V {}
+S {}
+E {}
+L 4 0 -10 90 -10 {}
+T {PARAMETER   @value} 5 -25 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/param_agauss.sym b/xschem/sub/xschem/symbols/devices/param_agauss.sym
new file mode 100644
index 0000000..5551fb6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/param_agauss.sym
@@ -0,0 +1,18 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=spice_parameters
+
+template="name=s1
+sigma=1u 
+param=W 
+value=10u 
+"
+
+format=".param @param = agauss(@value , @sigma , 1)"}
+V {}
+S {}
+E {}
+L 4 0 -10 140 -10 {}
+T {   PARAMETER   
+   name: @param
+  value: @value
+1-sigma: @sigma} 5 -25 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/parax_cap.sym b/xschem/sub/xschem/symbols/devices/parax_cap.sym
new file mode 100644
index 0000000..41809c9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/parax_cap.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=parax_cap
+format="@name @pinlist @gnd @value m=@m"
+verilog_ignore=true
+template="name=C1 gnd=0 value=4f m=1"}
+V {}
+S {}
+E {}
+L 4 0 -10 0 0 {}
+L 4 -5 0 5 0 {}
+L 4 -5 5 5 5 {}
+L 4 0 5 0 12.5 {}
+L 4 -2.5 12.5 2.5 12.5 {}
+L 4 -2.5 12.5 0 15 {}
+L 4 0 15 2.5 12.5 {}
+B 5 -2.5 -12.5 2.5 -7.5 {name=p dir=in}
+T {@value} 10 13.75 0 0 0.2 0.15 {}
+T {@name} 10 3.75 0 0 0.2 0.15 {}
+T {@gnd} -5 7.5 0 1 0.2 0.15 {}
+T {m=@m} 10 -6.25 0 0 0.2 0.15 {}
+T {@#0:net_name} 5 -22.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/pmos-sub.sym b/xschem/sub/xschem/symbols/devices/pmos-sub.sym
new file mode 100644
index 0000000..38a8015
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmos-sub.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.5_RC8 file_version=1.1}
+G {type=pmos
+format="@name @pinlist @substrate @model w=@w l=@l m=@m"
+template="name=M1 model=pmos substrate=VCC w=5u l=0.18u m=1"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {11}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 -5 -5 -0 {}
+L 4 -7.5 -5 -5 -2.5 {}
+L 4 -10 -5 -7.5 -5 {}
+L 4 -12.5 -2.5 -10 -5 {}
+L 4 -12.5 -2.5 -12.5 2.5 {}
+L 4 -12.5 2.5 -10 5 {}
+L 4 -10 5 -7.5 5 {}
+L 4 -7.5 5 -5 2.5 {}
+L 4 -20 0 -12.5 -0 {}
+L 4 10 0 20 0 {}
+L 4 5 -5 10 0 {}
+L 4 5 5 10 -0 {}
+B 5 17.5 27.5 22.5 32.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=s dir=inout}
+T {@w\\/@l\\/@m} 7.5 -17.5 0 0 0.2 0.2 {}
+T {@name} 7.5 6.25 0 0 0.2 0.2 {999}
+T {D} 25 20 0 0 0.15 0.15 {}
+T {@substrate} 22.5 -3.125 0 0 0.1 0.1 {layer=4}
diff --git a/xschem/sub/xschem/symbols/devices/pmos.sym b/xschem/sub/xschem/symbols/devices/pmos.sym
new file mode 100644
index 0000000..a747c05
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmos.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @model @extra m=@m"
+verilog_format="@symname #@del @name ( @@d , @@s , @@g );"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=M1 
+model=DMP2035U 
+device=DMP2035U 
+m=1"
+}
+V {}
+S {}
+E {}
+L 4 5 -27.5 5 27.5 {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -20 0 -12.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout pinnumber=3}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in pinnumber=1}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout pinnumber=2}
+A 4 -8.75 0 3.75 270 360 {}
+T {@device} 18.75 -13.75 0 0 0.2 0.2 {}
+T {@name} 18.75 0 0 0 0.2 0.2 {}
+T {D} 7.5 8.75 0 0 0.2 0.2 {}
+T {@#2:pinnumber} 25 -28.75 0 0 0.2 0.2 {layer=13}
+T {@#0:pinnumber} 25 18.75 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -13.75 6.25 0 1 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/pmos3.sym b/xschem/sub/xschem/symbols/devices/pmos3.sym
new file mode 100644
index 0000000..4bb834c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmos3.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=pmos
+format="@name @pinlist @model"
+template="name=X1 model=irf5305"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {11}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 -5 -5 -0 {}
+L 4 -20 -0 -12.5 -0 {}
+B 5 17.5 27.5 22.5 32.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=s dir=inout}
+A 4 -8.75 0 3.75 270 360 {}
+T {@model} 7.5 -17.5 0 0 0.2 0.2 {}
+T {@name} 7.5 5 0 0 0.2 0.2 {999}
+T {D} 25 20 0 0 0.15 0.15 {}
+T {@#2:net_name} 25 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#0:net_name} 25 32.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -25 -12.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/pmos4.sym b/xschem/sub/xschem/symbols/devices/pmos4.sym
new file mode 100644
index 0000000..5bc5300
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmos4.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @model w=@w l=@l @extra m=@m"
+template="name=M1 model=pmos w=5u l=0.18u m=1"}
+V {}
+S {}
+E {}
+L 4 5 -30 5 30 {11}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 -5 -5 -0 {}
+L 4 -7.5 -5 -5 -2.5 {}
+L 4 -10 -5 -7.5 -5 {}
+L 4 -12.5 -2.5 -10 -5 {}
+L 4 -12.5 -2.5 -12.5 2.5 {}
+L 4 -12.5 2.5 -10 5 {}
+L 4 -10 5 -7.5 5 {}
+L 4 -7.5 5 -5 2.5 {}
+L 4 -20 0 -12.5 -0 {}
+L 4 10 0 20 0 {}
+L 4 5 -5 10 0 {}
+L 4 5 5 10 -0 {}
+B 5 17.5 27.5 22.5 32.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=s dir=inout}
+B 5 17.5 -2.5 22.5 2.5 {name=b dir=in}
+T {@w\\/@l\\/@m} 7.5 -17.5 0 0 0.2 0.2 {}
+T {@spiceprefix@name} 7.5 7.5 0 0 0.2 0.2 {}
+T {D} 25 20 0 0 0.15 0.15 {}
+T {@#2:net_name} 25 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#0:net_name} 25 32.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -25 -12.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} 25 1.25 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/pmoshv4.sym b/xschem/sub/xschem/symbols/devices/pmoshv4.sym
new file mode 100644
index 0000000..469a6fd
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmoshv4.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=pmos
+format="@name @pinlist @model w=@w l=@l number=@m"
+template="name=X1 model=tepbsim3 m=1 w=5u l=0.7u"}
+V {}
+S {}
+E {}
+L 4 5 -27.5 5 27.5 {11}
+L 4 5 20 20 20 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -20 0 -12.5 -0 {}
+L 4 10 0 20 0 {}
+L 4 5 -5 10 0 {}
+L 4 5 5 10 -0 {}
+L 4 20 20 20 30 {}
+B 5 17.5 27.5 22.5 32.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=s dir=inout}
+B 5 17.5 -2.5 22.5 2.5 {name=b dir=in}
+A 4 -8.75 -0 3.75 180 360 {}
+T {@w\\/@l\\/@m} 7.5 5 0 0 0.25 0.2 {}
+T {@name} 7.5 -17.5 0 0 0.2 0.2 {999}
+T {HV} -20 -20 0 0 0.2 0.2 {}
+T {D} 11.875 23.125 0 0 0.15 0.15 {}
diff --git a/xschem/sub/xschem/symbols/devices/pmosnat.sym b/xschem/sub/xschem/symbols/devices/pmosnat.sym
new file mode 100644
index 0000000..0842daa
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pmosnat.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=pmos
+format="@name @pinlist vdd @model number=@m w=@w l=@l"
+template="name=X1 model=npbsim3 m=1 w=5u l=2u"}
+V {}
+S {}
+E {}
+L 4 5 20 20 20 {}
+L 4 20 20 20 30 {}
+L 4 5 -20 20 -20 {}
+L 4 20 -30 20 -20 {}
+L 4 -5 -15 -5 15 {}
+L 4 -5 -5 -5 -0 {}
+L 4 -7.5 -5 -5 -2.5 {}
+L 4 -10 -5 -7.5 -5 {}
+L 4 -12.5 -2.5 -10 -5 {}
+L 4 -12.5 -2.5 -12.5 2.5 {}
+L 4 -12.5 2.5 -10 5 {}
+L 4 -10 5 -7.5 5 {}
+L 4 -7.5 5 -5 2.5 {}
+L 4 -20 0 -12.5 -0 {}
+L 4 10 -20 10 20 {}
+L 4 5 -27.5 5 27.5 {}
+B 5 17.5 27.5 22.5 32.5 {name=d dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=g dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=s dir=inout}
+T {@w\\/@l\\/@m} 12.5 -17.5 0 0 0.25 0.2 {}
+T {@name} 12.5 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/pnp.sym b/xschem/sub/xschem/symbols/devices/pnp.sym
new file mode 100644
index 0000000..d07b8fe
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/pnp.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=pnp
+format="@spiceprefix@name @pinlist @model area=@area m=@m"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=Q1
+model=Q2N2907
+device=2N2907
+footprint=TO92
+area=1
+m=1"}
+V {}
+S {}
+E {}
+L 4 0 -30 0 30 {}
+L 4 -20 0 0 0 {}
+L 4 10 -20 20 -30 {}
+L 4 0 10 20 30 {}
+B 5 17.5 27.5 22.5 32.5 {name=C dir=inout pinnumber=3}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=in pinnumber=1}
+B 5 17.5 -32.5 22.5 -27.5 {name=E dir=inout pinnumber=2}
+P 4 4 0 -10 15 -15 5 -25 0 -10 {fill=true}
+T {@model} 20 -12.5 0 0 0.2 0.2 {}
+T {@name} 20 0 0 0 0.2 0.2 {}
+T {@#2:pinnumber} 25 -25 0 0 0.2 0.2 {layer=13}
+T {@#0:pinnumber} 25 12.5 0 0 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 6.25 0 1 0.2 0.2 {layer=13}
+T {@#2:net_name} 25 -33.75 0 0 0.15 0.15 {layer=15}
+T {@#0:net_name} 25 23.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} -6.25 -12.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/port_attributes.sym b/xschem/sub/xschem/symbols/devices/port_attributes.sym
new file mode 100644
index 0000000..b2dc921
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/port_attributes.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=port_attributes
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+ attribute async_set_reset of RPTL : signal is "true";
+"}
+V {}
+S {}
+E {}
+L 4 -0 -10 355 -10 {}
+T {VHDL PORT ATTRIBUTES} 5 -25 0 0 0.3 0.3 {}
+T {@prop_ptr} 45 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/res.sym b/xschem/sub/xschem/symbols/devices/res.sym
new file mode 100644
index 0000000..007e5db
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/res.sym
@@ -0,0 +1,45 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=resistor
+format="@name @pinlist @value m=@m"
+
+verilog_format="tran @name (@@P\\\\, @@M\\\\);"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=R1
+value=1k
+footprint=1206
+device=resistor
+m=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=2}
+T {@name} -15 -13.75 0 1 0.2 0.2 {}
+T {@value} 15 -6.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -10 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
+T {m=@m} -15 1.25 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/res_ac.sym b/xschem/sub/xschem/symbols/devices/res_ac.sym
new file mode 100644
index 0000000..788f52b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/res_ac.sym
@@ -0,0 +1,35 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=resistor
+format="@name @pinlist @value ac=@ac m=@m"
+verilog_format="tran @name (@@P\\\\, @@M\\\\);"
+template="name=R1
+value=1k
+ac=1k
+m=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=2}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@value} 15 -6.25 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -10 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 16.25 0 1 0.2 0.2 {layer=13}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
+T {m=@m} 15 6.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/rgb_led.sym b/xschem/sub/xschem/symbols/devices/rgb_led.sym
new file mode 100644
index 0000000..d8b02ab
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/rgb_led.sym
@@ -0,0 +1,44 @@
+v {xschem version=2.9.5_RC6 file_version=1.1}
+G {type=diode
+format="@spiceprefix@name @pinlist @model"
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+@comptag"
+template="name=X1 model=XXX device=XXX"}
+V {}
+S {}
+E {}
+L 4 5 -30 30 -30 {}
+L 4 -30 -30 -5 -30 {}
+L 4 5 0 30 0 {}
+L 4 -30 0 -5 0 {}
+L 4 5 -10 5 10 {}
+L 4 5 30 30 30 {}
+L 4 -30 30 -5 30 {}
+L 4 -20 -45 -20 45 {}
+L 4 -20 45 20 45 {}
+L 4 20 -45 20 45 {}
+L 4 -20 -45 20 -45 {}
+L 7 5 20 5 40 {}
+L 12 5 -40 5 -20 {}
+B 5 -32.5 -32.5 -27.5 -27.5 {name=b dir=inout pinnumber=1}
+B 5 -32.5 -2.5 -27.5 2.5 {name=g dir=inout pinnumber=2}
+B 5 -32.5 27.5 -27.5 32.5 {name=r dir=inout pinnumber=3}
+B 5 27.5 27.5 32.5 32.5 {name=gr dir=inout pinnumber=4}
+B 5 27.5 -2.5 32.5 2.5 {name=gg dir=inout pinnumber=5}
+B 5 27.5 -32.5 32.5 -27.5 {name=gb dir=inout pinnumber=6}
+P 7 4 -5 23.75 5 30 -5 36.25 -5 23.75 {}
+P 11 4 -5 -6.25 5 0 -5 6.25 -5 -6.25 {}
+P 12 4 -5 -36.25 5 -30 -5 -23.75 -5 -36.25 {}
+T {@name} -17.5 -60 0 0 0.2 0.2 {}
+T {@value} -17.5 -77.5 0 0 0.2 0.2 {}
+T {@#0:pinnumber} -25 -46.25 0 1 0.2 0.2 {layer=13}
+T {B} -15 -30 0 0 0.25 0.25 {}
+T {G} -15 0 0 0 0.25 0.25 {}
+T {R} -15 30 0 0 0.25 0.25 {}
+T {@#1:pinnumber} -25 -16.25 0 1 0.2 0.2 {layer=13}
+T {@#2:pinnumber} -25 13.75 0 1 0.2 0.2 {layer=13}
+T {@#5:pinnumber} 25 -46.25 0 0 0.2 0.2 {layer=13}
+T {@#4:pinnumber} 25 -16.25 0 0 0.2 0.2 {layer=13}
+T {@#3:pinnumber} 25 13.75 0 0 0.2 0.2 {layer=13}
diff --git a/xschem/sub/xschem/symbols/devices/spice_probe.sym b/xschem/sub/xschem/symbols/devices/spice_probe.sym
new file mode 100644
index 0000000..bd52712
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/spice_probe.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=probe
+vhdl_ignore=true
+spice_ignore=false
+verilog_ignore=true
+tedax_ignore=true
+format=".save @attrs v( @@p )"
+template="name=p1 attrs=\\"\\""}
+V {}
+S {}
+E {}
+L 15 3.75 -8.75 10 -16.25 {}
+L 15 0 -0 3.75 -8.75 {}
+L 15 10 -27.5 10 -13.75 {}
+L 15 7.5 -16.25 28.75 -16.25 {}
+L 15 12.5 -20 17.5 -20 {}
+L 15 17.5 -23.75 17.5 -20 {}
+L 15 17.5 -23.75 21.25 -23.75 {}
+L 15 21.25 -23.75 21.25 -20 {}
+L 15 21.25 -20 25 -20 {}
+L 15 25 -23.75 25 -20 {}
+L 15 25 -23.75 27.8125 -23.75 {}
+B 5 -0.46875 -0.46875 0.46875 0.46875 {name=p dir=xxx}
+T {@voltage} 12.5 -37.5 0 0 0.2 0.2 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/spice_probe_vdiff.sym b/xschem/sub/xschem/symbols/devices/spice_probe_vdiff.sym
new file mode 100644
index 0000000..d6faa2e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/spice_probe_vdiff.sym
@@ -0,0 +1,23 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=differential_probe
+format=".save v( @@p , @@m )"
+template="name=p1"}
+V {}
+S {}
+E {}
+L 15 0 -17.5 0 -12.5 {}
+L 15 -2.5 -15 2.5 -15 {}
+L 15 -2.5 15 2.5 15 {}
+L 15 -5 0 0 0 {}
+L 15 0 -3.75 0 0 {}
+L 15 0 -3.75 3.75 -3.75 {}
+L 15 3.75 -3.75 3.75 0 {}
+L 15 3.75 0 7.5 0 {}
+L 15 7.5 -3.75 7.5 0 {}
+L 15 7.5 -3.75 10.3125 -3.75 {}
+L 15 -7.5 -7.5 -7.5 6.25 {}
+L 15 -10 3.75 11.25 3.75 {}
+B 5 -1.25 -21.25 1.25 -18.75 {name=p dir=in}
+B 5 -1.25 18.75 1.25 21.25 {name=m dir=in}
+T {@voltage} 15 -5 0 0 0.2 0.2 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/sqwsource.sym b/xschem/sub/xschem/symbols/devices/sqwsource.sym
new file mode 100644
index 0000000..ae8b850
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/sqwsource.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vsource
+format="@name @pinlist \\\\
+pulse 0 @vhi \\\\
+'0.495/ @freq ' \\\\
+'0.01/@freq ' \\\\
+'0.01/@freq ' \\\\
+'0.49/@freq ' \\\\
+'1/@freq '"
+template="name=V1 vhi=3 freq=1e6"
+}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -0 15 -0 30 {}
+L 4 0 -30 -0 -15 {}
+L 4 -10 5 -5 5 {}
+L 4 -5 5 -2.5 -10 {}
+L 4 -2.5 -10 2.5 -10 {}
+L 4 2.5 -10 5 5 {}
+L 4 5 5 10 5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {V=@vhi} 20 -6.25 0 0 0.2 0.2 {}
+T {@name} 20 -18.75 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -30 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
+T {f=@freq Hz} 20 6.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/switch.sym b/xschem/sub/xschem/symbols/devices/switch.sym
new file mode 100644
index 0000000..11a5297
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/switch.sym
@@ -0,0 +1,24 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=switch
+format="@name @@P @@M vcr pwl(1) @@CP @@CM @TABLE"
+template="name=G1 TABLE=\\"1.0 1G 2.0 10\\""
+}
+V {}
+S {}
+E {}
+L 4 0 10 0 30 {}
+L 4 -10 -10 0 10 {}
+L 4 0 -30 0 -10 {}
+L 4 -40 0 -5 0 {}
+L 4 -5 -25 -5 -20 {}
+L 4 -7.5 -22.5 -2.5 -22.5 {}
+L 4 -10 0 -10 20 {}
+L 4 -40 20 -10 20 {}
+L 4 -32.5 -7.5 -32.5 -2.5 {}
+L 4 -35 -5 -30 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=CP dir=in }
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout }
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout }
+B 5 -42.5 17.5 -37.5 22.5 {name=CM dir=in }
+T {@name} 5 -13 2 1 0.2 0.2 {}
+T {@TABLE} 5 2 2 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/switch_ngspice.sym b/xschem/sub/xschem/symbols/devices/switch_ngspice.sym
new file mode 100644
index 0000000..a594b1f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/switch_ngspice.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=switch
+format="@name @@P @@M @@CP @@CM @model"
+template="name=S1 model=SWITCH1"
+}
+V {}
+S {}
+E {}
+L 4 0 10 0 30 {}
+L 4 -10 -10 0 10 {}
+L 4 0 -30 0 -10 {}
+L 4 -40 0 -5 0 {}
+L 4 -5 -25 -5 -20 {}
+L 4 -7.5 -22.5 -2.5 -22.5 {}
+L 4 -10 0 -10 20 {}
+L 4 -40 20 -10 20 {}
+L 4 -32.5 -7.5 -32.5 -2.5 {}
+L 4 -35 -5 -30 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=CP dir=in }
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout }
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout }
+B 5 -42.5 17.5 -37.5 22.5 {name=CM dir=in }
+T {@name} 5 -13 2 1 0.2 0.2 {}
+T {@model} 5 -2 0 0 0.2 0.2 {}
+T {@#1:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#0:net_name} -45 -12.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/switch_v_xyce.sym b/xschem/sub/xschem/symbols/devices/switch_v_xyce.sym
new file mode 100644
index 0000000..931da32
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/switch_v_xyce.sym
@@ -0,0 +1,24 @@
+v {xschem version=2.9.5_RC8 file_version=1.1}
+G {type=switch
+format="@name @@P @@M @@CP @@CM @model @state"
+template="name=S1 model=SWMOD1 state=OFF"
+}
+V {}
+S {}
+E {}
+L 4 0 10 0 30 {}
+L 4 -10 -10 0 10 {}
+L 4 0 -30 0 -10 {}
+L 4 -40 0 -5 0 {}
+L 4 -5 -25 -5 -20 {}
+L 4 -7.5 -22.5 -2.5 -22.5 {}
+L 4 -10 0 -10 20 {}
+L 4 -40 20 -10 20 {}
+L 4 -32.5 -7.5 -32.5 -2.5 {}
+L 4 -35 -5 -30 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=CP dir=in }
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout }
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout }
+B 5 -42.5 17.5 -37.5 22.5 {name=CM dir=in }
+T {@name} 5 -13 2 1 0.2 0.2 {}
+T {@model} 5 -2 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/title-2.sym b/xschem/sub/xschem/symbols/devices/title-2.sym
new file mode 100644
index 0000000..d8c39b4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/title-2.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.7 file_version=1.2}
+G {}
+K {type=logo
+template="name=l1 author=\\"Stefan Schippers\\" rev=1.0"
+verilog_ignore=true
+vhdl_ignore=true
+spice_ignore=true
+tedax_ignore=true}
+V {}
+S {}
+E {}
+L 4 385 0 3430 0 {}
+L 4 0 0 65 0 {}
+L 4 3430 -2350 3430 0 {}
+L 4 0 -2350 3430 -2350 {}
+L 4 0 -2350 0 0 {}
+L 4 2110 -160 2110 0 {}
+L 4 2110 -160 3430 -160 {}
+L 4 2110 -80 3430 -80 {}
+L 4 2740 -80 2740 0 {}
+L 4 2740 -160 2740 -80 {}
+L 4 2450 -80 2450 0 {}
+P 5 13 165 -30 135 0 165 30 145 30 125 10 105 30 85 30 115 0 85 -30 105 -30 125 -10 145 -30 165 -30 {fill=true}
+T {SCHEM} 165 -25 0 0 1 1 {}
+T {@time_last_modified} 2750 -60 0 0 0.8 0.8 {}
+T {@author} 2120 -140 0 0 0.8 0.8 {}
+T {Page @page of @pages} 2120 -60 0 0 0.8 0.8 {}
+T {@title} 2750 -120 0 0 0.6 0.6 {vcenter=true}
+T {Rev. @rev} 2460 -60 0 0 0.8 0.8 {}
diff --git a/xschem/sub/xschem/symbols/devices/title.sym b/xschem/sub/xschem/symbols/devices/title.sym
new file mode 100644
index 0000000..9efcc8d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/title.sym
@@ -0,0 +1,18 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=logo
+template="name=l1 author=\\"Stefan Schippers\\""
+verilog_ignore=true
+vhdl_ignore=true
+spice_ignore=true
+tedax_ignore=true}
+V {}
+S {}
+E {}
+L 6 225 0 1020 0 {}
+L 6 -160 0 -95 0 {}
+P 5 13 5 -30 -25 0 5 30 -15 30 -35 10 -55 30 -75 30 -45 0 -75 -30 -55 -30 -35 -10 -15 -30 5 -30 {fill=true}
+T {@schname} 235 5 0 0 0.4 0.4 {}
+T {@author} 235 -25 0 0 0.4 0.4 {}
+T {@time_last_modified} 1020 -25 0 1 0.4 0.3 {}
+T {SCHEM} 5 -25 0 0 1 1 {}
diff --git a/xschem/sub/xschem/symbols/devices/use.sym b/xschem/sub/xschem/symbols/devices/use.sym
new file mode 100644
index 0000000..d66bd99
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/use.sym
@@ -0,0 +1,18 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=use
+spice_ignore=true
+verilog_ignore=true
+tedax_ignore=true
+template="
+    library ieee;
+    use ieee.std_logic_1164.all;
+    use ieee.std_logic_arith.all;
+    use ieee.std_logic_unsigned.all;
+
+"}
+V {}
+S {}
+E {}
+L 4 -0 -10 355 -10 {}
+T {VHDL USE} 5 -25 0 0 0.3 0.3 {}
+T {@prop_ptr} 45 5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/var_res.sym b/xschem/sub/xschem/symbols/devices/var_res.sym
new file mode 100644
index 0000000..a8569c7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/var_res.sym
@@ -0,0 +1,45 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=resistor
+format="@name @#0 @#1 @value m=@m"
+verilog_format="tran @name (@@P\\\\, @@M\\\\);"
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device"
+template="name=R1
+value=1k
+footprint=1206
+device=variable\\\\ resistor
+m=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -20 -5 -10 0 {}
+L 4 -20 -5 -20 5 {}
+L 4 -20 5 -10 -0 {}
+L 4 -30 0 -20 -0 {}
+B 5 -32.5 -2.5 -27.5 2.5 {name=C dir=inout propag=1 pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=0 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=1 pinnumber=3}
+T {@name} 15 -13.75 0 0 0.2 0.2 {}
+T {@value} 15 1.25 0 0 0.2 0.2 {}
+T {@#2:pinnumber} -10 -28.75 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -10 18.75 0 1 0.2 0.2 {layer=13}
+T {@#0:pinnumber} -30 3.75 0 1 0.2 0.2 {layer=13}
+T {@#2:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#0:net_name} -35 -12.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vccs.sym b/xschem/sub/xschem/symbols/devices/vccs.sym
new file mode 100644
index 0000000..d867851
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vccs.sym
@@ -0,0 +1,39 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vccs
+format="@name @pinlist @value"
+template="name=G1 value=1e-6"}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -20 -0 -0 -20 {}
+L 4 -20 -0 -0 20 {}
+L 4 -0 20 20 0 {}
+L 4 0 -20 20 0 {}
+L 4 -40 -20 -35 -20 {}
+L 4 -30 -20 -25 -20 {}
+L 4 -20 -20 -15 -20 {}
+L 4 -40 20 -35 20 {}
+L 4 -30 20 -25 20 {}
+L 4 -20 20 -15 20 {}
+L 4 0 20 0 30 {}
+L 4 0 -30 0 -20 {}
+L 4 -5 5 0 10 {}
+L 4 -5 5 5 5 {}
+L 4 0 10 5 5 {}
+L 4 0 -5 0 5 {}
+L 4 -37.5 -12.5 -32.5 -12.5 {}
+L 4 -35 -15 -35 -10 {}
+L 4 -37.5 12.5 -32.5 12.5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=cp dir=in}
+B 5 -42.5 17.5 -37.5 22.5 {name=cm dir=in}
+T {@value} 20 5 0 0 0.2 0.2 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} -45 -32.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vcr.sym b/xschem/sub/xschem/symbols/devices/vcr.sym
new file mode 100644
index 0000000..ce0c921
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vcr.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name  @@p @@m @function @@cp @@cm @TABLE"
+template="name=G1 function=\\"vcr pwl(1)\\" TABLE=\\"1 0 2 3\\""
+}
+V {}
+S {}
+E {}
+L 4 -5 15 5 15 {}
+L 4 15 -5 15 5 {}
+L 4 -5 -15 5 -15 {}
+L 4 -15 -5 -15 5 {}
+L 4 5 -15 11.25 -11.25 {}
+L 4 11.25 -11.25 15 -5 {}
+L 4 11.25 11.25 15 5 {}
+L 4 5 15 11.25 11.25 {}
+L 4 -11.25 11.25 -5 15 {}
+L 4 -15 5 -11.25 11.25 {}
+L 4 -15 -5 -11.25 -11.25 {}
+L 4 -11.25 -11.25 -5 -15 {}
+L 4 0 -30 0 -15 {}
+L 4 0 15 0 30 {}
+L 4 0 -15 0 15 {}
+L 4 -20 -20 -10 -20 {}
+L 4 -15 -25 -15 -15 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=cp dir=in}
+B 5 -42.5 17.5 -37.5 22.5 {name=cm dir=in}
+T {pwl(1)=@TABLE} 20 0 0 0 0.2 0.2 {}
+T {@function} 20 -12.5 0 0 0.2 0.2 {}
+T {@name} 20 -25 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} -45 -32.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vcvs.sym b/xschem/sub/xschem/symbols/devices/vcvs.sym
new file mode 100644
index 0000000..97cb2da
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vcvs.sym
@@ -0,0 +1,31 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vcvs
+format="@name @pinlist @value"
+template="name=E1 value=3"}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -0 -30 -0 30 {}
+L 4 -20 -0 -0 -20 {}
+L 4 -20 -0 -0 20 {}
+L 4 -0 20 20 0 {}
+L 4 0 -20 20 0 {}
+L 4 -40 -20 -35 -20 {}
+L 4 -30 -20 -25 -20 {}
+L 4 -20 -20 -15 -20 {}
+L 4 -40 20 -35 20 {}
+L 4 -30 20 -25 20 {}
+L 4 -20 20 -15 20 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=cp dir=in}
+B 5 -42.5 17.5 -37.5 22.5 {name=cm dir=in}
+T {@value} 20 5 0 0 0.2 0.2 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} -45 -32.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vdd.sym b/xschem/sub/xschem/symbols/devices/vdd.sym
new file mode 100644
index 0000000..e98e95e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vdd.sym
@@ -0,0 +1,14 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=label
+function0="H"
+global=true
+format="*.alias @lab"
+template="name=l1 lab=VDD"}
+V {}
+S {}
+E {}
+L 4 0 -20 0 0 {}
+L 4 -10 -20 10 -20 {}
+B 5 -2.5 -2.5 2.5 2.5 {name=p dir=inout verilog_type=wire goto=0}
+T {@lab} -12.5 -35 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/devices/verilog_delay.sch b/xschem/sub/xschem/symbols/devices/verilog_delay.sch
new file mode 100644
index 0000000..f9a8ae2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/verilog_delay.sch
@@ -0,0 +1,11 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {}
+V {// This is a transport (non inertial) delay
+reg x;
+always @(inp) x <= #del inp;
+assign outp = x;
+}
+S {}
+E {}
+C {devices/ipin.sym} 60 -50 0 0 {name=p1 lab=inp}
+C {devices/opin.sym} 160 -50 0 0 {name=p2 lab=outp verilog_type=wire}
diff --git a/xschem/sub/xschem/symbols/devices/verilog_delay.sym b/xschem/sub/xschem/symbols/devices/verilog_delay.sym
new file mode 100644
index 0000000..a8adb6a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/verilog_delay.sym
@@ -0,0 +1,21 @@
+v {xschem version=2.9.5_RC5 file_version=1.1}
+G {type=subcircuit
+format="@name @pinlist @symname"
+template="name=d1 del=200"}
+V {}
+S {}
+E {}
+L 4 -40 0 -30 0 {}
+L 4 -30 -5 -30 5 {}
+L 4 -30 5 30 5 {}
+L 4 30 -5 30 5 {}
+L 4 -30 -5 30 -5 {}
+L 4 30 -0 40 -0 {}
+L 6 -0 -2.5 20 -0 {}
+L 6 -0 -2.5 0 2.5 {}
+L 6 0 2.5 20 -0 {}
+L 6 -25 0 -0 -0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=inp dir=in}
+B 5 37.5 -2.5 42.5 2.5 {name=outp dir=out verilog_type=wire}
+T {del=@del} -37.5 6.25 0 0 0.15 0.12 {}
+T {@name} -20 -12.5 0 0 0.12 0.12 {}
diff --git a/xschem/sub/xschem/symbols/devices/verilog_preprocessor.sym b/xschem/sub/xschem/symbols/devices/verilog_preprocessor.sym
new file mode 100644
index 0000000..41bc27d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/verilog_preprocessor.sym
@@ -0,0 +1,16 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=verilog_preprocessor
+vhdl_ignore=true
+spice_ignore=true
+tedax_ignore=true
+template="name=s1  string=\\"`include \\\\\\"file\\\\\\"\\""
+verilog_format="@string"
+}
+V {}
+S {}
+E {}
+L 4 0 -10 70 -10 {}
+L 4 0 -10 0 10 {}
+T {PREPROCESSOR DIRECTIVE} 5 -25 0 0 0.3 0.3 {}
+T {@string} 15 -5 0 0 0.3 0.3 {font=monospace}
diff --git a/xschem/sub/xschem/symbols/devices/verilog_timescale.sym b/xschem/sub/xschem/symbols/devices/verilog_timescale.sym
new file mode 100644
index 0000000..82c8e48
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/verilog_timescale.sym
@@ -0,0 +1,15 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=timescale
+spice_ignore=true
+vhdl_ignore=true
+tedax_ignore=true
+template="name=s1 timestep=\\"100ps\\" precision=\\"100ps\\" "
+verilog_format="`timescale @timestep / @precision"}
+V {}
+S {}
+E {}
+L 4 0 -10 70 -10 {}
+L 4 0 -10 0 10 {}
+T {TIMESCALE} 5 -25 0 0 0.3 0.3 {}
+T {`timescale @timestep\\/@precision} 15 -5 0 0 0.3 0.3 {}
diff --git a/xschem/sub/xschem/symbols/devices/vsource.sym b/xschem/sub/xschem/symbols/devices/vsource.sym
new file mode 100644
index 0000000..e8309da
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vsource.sym
@@ -0,0 +1,18 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=vsource
+format="@name @pinlist @value"
+template="name=V1 value=3"}
+V {}
+S {}
+E {}
+L 4 2.5 -22.5 7.5 -22.5 {}
+L 4 5 -25 5 -20 {}
+L 4 -0 -30 -0 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@name} 20 -18.75 0 0 0.2 0.2 {}
+T {@value} 20 -6.25 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vsource_arith.sym b/xschem/sub/xschem/symbols/devices/vsource_arith.sym
new file mode 100644
index 0000000..4aab3b0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vsource_arith.sym
@@ -0,0 +1,19 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name @pinlist VOL=' @VOL '"
+template="name=E1 VOL=cos(V(IN))"
+}
+V {}
+S {}
+E {}
+L 4 -20 -20 -10 -20 {}
+L 4 -15 -25 -15 -15 {}
+L 4 0 -30 0 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+A 4 0 0 15 270 360 {}
+T {@VOL} 20 0 0 0 0.2 0.2 {}
+T {@name} 20 -17.5 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/vsource_pwl.sym b/xschem/sub/xschem/symbols/devices/vsource_pwl.sym
new file mode 100644
index 0000000..22fe237
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/vsource_pwl.sym
@@ -0,0 +1,23 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=isource
+format="@name  @@p @@m pwl(1) @@cp @@cm @TABLE"
+template="name=E1 TABLE=\\"1 0 2 3\\""
+}
+V {}
+S {}
+E {}
+L 4 -20 -20 -10 -20 {}
+L 4 -15 -25 -15 -15 {}
+L 4 -0 -30 -0 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=cp dir=in}
+B 5 -42.5 17.5 -37.5 22.5 {name=cm dir=in}
+A 4 0 0 15 270 360 {}
+T {pwl(1)=@TABLE} 20 0 0 0 0.2 0.2 {}
+T {@name} 20 -15 0 0 0.2 0.2 {}
+T {@#0:net_name} 5 -42.5 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 5 32.5 0 0 0.15 0.15 {layer=15}
+T {@#2:net_name} -45 -32.5 0 1 0.15 0.15 {layer=15}
+T {@#3:net_name} -45 22.5 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/devices/zener.sym b/xschem/sub/xschem/symbols/devices/zener.sym
new file mode 100644
index 0000000..5668ed6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/devices/zener.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.8 file_version=1.2}
+G {}
+K {type=diode
+format="@spiceprefix@name @pinlist @model"
+
+tedax_format="footprint @name @footprint
+value @name @value
+device @name @device
+spicedev @name @spicedev
+spiceval @name @spiceval
+comptag @name @comptag"
+
+template="name=X1 model=XXX device=XXX"}
+V {}
+S {}
+E {}
+L 4 0 5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -20 5 20 5 {}
+L 4 20 -5 20 5 {}
+L 4 -20 5 -20 15 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=p dir=inout pinnumber=1}
+B 5 -2.5 27.5 2.5 32.5 {name=m dir=inout pinnumber=2}
+P 4 4 -0 5 -10 -5 10 -5 -0 5 {fill=true}
+T {@#0:pinnumber} -5 -26.25 0 1 0.2 0.2 {layer=13}
+T {@#1:pinnumber} -5 17.5 0 1 0.2 0.2 {layer=13}
+T {@name} 15 -18.75 0 0 0.2 0.2 {}
+T {@#0:net_name} 10 -28.75 0 0 0.15 0.15 {layer=15}
+T {@#1:net_name} 10 20 0 0 0.15 0.15 {layer=15}
+T {@model} 15 6.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_1.sym b/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_1.sym
new file mode 100644
index 0000000..7e3cea9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_1.sym
@@ -0,0 +1,21 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=capacitor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L MF=@MF m=@MF"
+template="name=C1 model=cap_mim_m3_1 W=1 L=1 MF=1 spiceprefix=X"
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {MF=@MF} 17.5 18.75 0 0 0.2 0.2 {layer=13}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_2.sym b/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_2.sym
new file mode 100644
index 0000000..4dfc3e7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/cap_mim_m3_2.sym
@@ -0,0 +1,21 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=capacitor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L MF=@MF m=@MF"
+template="name=C1 model=cap_mim_m3_2 W=1 L=1 MF=1 spiceprefix=X"
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {MF=@MF} 17.5 18.75 0 0 0.2 0.2 {layer=13}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/cap_var_hvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/cap_var_hvt.sym
new file mode 100644
index 0000000..95e4fc1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/cap_var_hvt.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=varactor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L VM=@VM"
+template="name=C1 model=cap_var_hvt W=0.5 L=0.5 VM=1 spiceprefix=X"
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+L 4 -15 20 0 20 {}
+L 4 -40 20 -25 20 {}
+L 4 -15 10 -15 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+B 5 -42.5 17.5 -37.5 22.5 {name=b dir=inout}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+P 4 4 -15 20 -25 10 -25 30 -15 20 {fill=true}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {n+} -10 10 0 0 0.15 0.15 {}
+T {b} -35 8.75 0 0 0.15 0.15 {layer=7}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@W / @L * @VM} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/cap_var_lvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/cap_var_lvt.sym
new file mode 100644
index 0000000..039be1d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/cap_var_lvt.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=varactor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L VM=@VM"
+template="name=C1 model=cap_var_lvt W=0.5 L=0.5 VM=1 spiceprefix=X"
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+L 4 -15 20 0 20 {}
+L 4 -40 20 -25 20 {}
+L 4 -15 10 -15 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+B 5 -42.5 17.5 -37.5 22.5 {name=b dir=inout}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+P 4 4 -15 20 -25 10 -25 30 -15 20 {fill=true}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {n+} -10 10 0 0 0.15 0.15 {}
+T {b} -35 8.75 0 0 0.15 0.15 {layer=7}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@W / @L * @VM} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/diode.sym b/xschem/sub/xschem/symbols/sky130_primitives/diode.sym
new file mode 100644
index 0000000..2c7132f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/diode.sym
@@ -0,0 +1,55 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=diode
+format="@name @pinlist sky130_fd_pr__@model area=@area"
+template="name=D1
+model=diode_pw2nd_05v5
+area=1
+"
+
+available_models="
+sky130_fd_pr__diode_pw2nd_05v5
+sky130_fd_pr__diode_pw2nd_11v0
+sky130_fd_pr__diode_pw2nd_05v5_nvt
+sky130_fd_pr__diode_pw2nd_05v5_lvt
+sky130_fd_pr__diode_pd2nw_05v5
+sky130_fd_pr__diode_pd2nw_11v0
+sky130_fd_pr__diode_pd2nw_05v5_hvt
+sky130_fd_pr__diode_pd2nw_05v5_lvt
+sky130_fd_pr__model__parasitic__rf_diode_ps2nw
+sky130_fd_pr__model__parasitic__rf_diode_pw2dn
+sky130_fd_pr__model__parasitic__diode_pw2dn
+sky130_fd_pr__model__parasitic__diode_ps2dn
+sky130_fd_pr__model__parasitic__diode_ps2nw
+"
+}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -5 {}
+L 4 0 5 0 30 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 27.5 2.5 32.5 {name=d0 dir=inout propag=1}
+B 5 -2.5 -32.5 2.5 -27.5 {name=d1 dir=inout pinnumber=2}
+P 4 4 0 -5 -10 5 10 5 0 -5 {fill=true}
+T {@name} 15 25 2 1 0.2 0.2 {}
+T {@model} 15 -12.5 2 1 0.2 0.2 {}
+T {@symname} 15 7.5 2 1 0.2 0.2 {}
+T {d0} -5 26.25 2 0 0.15 0.15 {layer=7}
+T {d1} -5 -17.5 2 0 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/lvsdiode.sym b/xschem/sub/xschem/symbols/sky130_primitives/lvsdiode.sym
new file mode 100644
index 0000000..77c6071
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/lvsdiode.sym
@@ -0,0 +1,47 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=diode
+format="@name @pinlist sky130_fd_pr__@model area=@area"
+template="name=D1
+model=diode_pw2nd_05v5
+area=1
+"
+
+available_models="
+sky130_fd_pr__diode_pw2nd_05v5
+sky130_fd_pr__diode_pw2nd_11v0
+sky130_fd_pr__diode_pd2nw_05v5
+sky130_fd_pr__diode_pd2nw_11v0
+sky130_fd_pr__model__parasitic__diode_ps2dn
+"
+}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -5 {}
+L 4 0 5 0 30 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 27.5 2.5 32.5 {name=d0 dir=inout propag=1}
+B 5 -2.5 -32.5 2.5 -27.5 {name=d1 dir=inout pinnumber=2}
+P 4 4 0 -5 -10 5 10 5 0 -5 {fill=true}
+T {@name} 15 25 2 1 0.2 0.2 {}
+T {@model} 15 -12.5 2 1 0.2 0.2 {}
+T {d0} -5 26.25 2 0 0.15 0.15 {layer=7}
+T {d1} -5 -17.5 2 0 0.15 0.15 {layer=7}
+T {@symname} 15 7.5 2 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8.sym
new file mode 100644
index 0000000..c3b703f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8.sym
@@ -0,0 +1,58 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 2.5 0 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8_lvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8_lvt.sym
new file mode 100644
index 0000000..e640f2a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_01v8_lvt.sym
@@ -0,0 +1,59 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+body=GND
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 2.5 0 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {LVT} 5 17.5 0 1 0.15 0.15 { layer=4}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_03v3_nvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_03v3_nvt.sym
new file mode 100644
index 0000000..b8eef3f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_03v3_nvt.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 -20 -0 -2.5 0 {}
+L 4 7.5 -22.5 7.5 -12.5 {}
+L 4 7.5 12.5 7.5 22.5 {}
+L 4 7.5 -5 7.5 5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {3V} 5 17.5 0 1 0.2 0.2 { layer=4}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_05v0_nvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_05v0_nvt.sym
new file mode 100644
index 0000000..f3a9aa0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_05v0_nvt.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_05v0_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 -20 -0 -2.5 0 {}
+L 4 7.5 -22.5 7.5 -12.5 {}
+L 4 7.5 12.5 7.5 22.5 {}
+L 4 7.5 -5 7.5 5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_20v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_20v0.sym
new file mode 100644
index 0000000..7d9be15
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_20v0.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W m=@mult"
+template="name=M1
+L=2.95
+W=29.41
+body=GND
+mult=1
+model=nfet_20v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 -17.5 20 -17.5 {}
+L 4 8.75 17.5 15 17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 2.5 -15 8.75 -15 {}
+L 4 2.5 -5 8.75 -5 {}
+L 4 2.5 -8.75 8.75 -8.75 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -10 3.75 -8.75 {}
+L 4 7.5 -8.75 8.75 -10 {}
+L 4 7.5 -15 8.75 -13.75 {}
+L 4 2.5 -13.75 3.75 -15 {}
+L 4 7.5 -13.75 7.8125 -14.0625 {}
+L 4 3.4375 -9.6875 3.75 -10 {}
+L 4 3.4375 -14.0625 3.75 -13.75 {}
+L 4 7.5 -10 7.8125 -9.6875 {}
+B 4 4.375 -13.125 6.875 -10.625 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d10v5.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d10v5.sym
new file mode 100644
index 0000000..7e340a4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d10v5.sym
@@ -0,0 +1,58 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 -2.5 0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d16v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d16v0.sym
new file mode 100644
index 0000000..7d67e9c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet3_g5v0d16v0.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d16v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 2.5 -7.5 7.5 -7.5 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -15 7.5 -15 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8.sym
new file mode 100644
index 0000000..924daa5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1 
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 2.5 0 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8_lvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8_lvt.sym
new file mode 100644
index 0000000..b61bfab
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_01v8_lvt.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 2.5 0 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {LVT} 5 17.5 0 1 0.15 0.15 { layer=4}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_03v3_nvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_03v3_nvt.sym
new file mode 100644
index 0000000..bc792c8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_03v3_nvt.sym
@@ -0,0 +1,63 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 -20 -0 -2.5 0 {}
+L 4 7.5 -22.5 7.5 -12.5 {}
+L 4 7.5 12.5 7.5 22.5 {}
+L 4 7.5 -5 7.5 5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {3V} 5 17.5 0 1 0.2 0.2 { layer=4}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_05v0_nvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_05v0_nvt.sym
new file mode 100644
index 0000000..0668b3a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_05v0_nvt.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.9
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_05v0_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 -20 -0 -2.5 0 {}
+L 4 7.5 -22.5 7.5 -12.5 {}
+L 4 7.5 12.5 7.5 22.5 {}
+L 4 7.5 -5 7.5 5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0.sym
new file mode 100644
index 0000000..d44b6f7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W m=@mult"
+template="name=M1
+L=2.95
+W=29.41
+mult=1
+model=nfet_20v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 -17.5 20 -17.5 {}
+L 4 8.75 17.5 15 17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 2.5 -15 8.75 -15 {}
+L 4 2.5 -5 8.75 -5 {}
+L 4 2.5 -8.75 8.75 -8.75 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -10 3.75 -8.75 {}
+L 4 7.5 -8.75 8.75 -10 {}
+L 4 7.5 -15 8.75 -13.75 {}
+L 4 2.5 -13.75 3.75 -15 {}
+L 4 7.5 -13.75 7.8125 -14.0625 {}
+L 4 3.4375 -9.6875 3.75 -10 {}
+L 4 3.4375 -14.0625 3.75 -13.75 {}
+L 4 7.5 -10 7.8125 -9.6875 {}
+B 4 4.375 -13.125 6.875 -10.625 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_iso.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_iso.sym
new file mode 100644
index 0000000..0602b5b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_iso.sym
@@ -0,0 +1,64 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L m=@mult"
+template="name=M1
+L=1.5
+W=30
+mult=1
+model=nfet_20v0_iso
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 -17.5 20 -17.5 {}
+L 4 8.75 17.5 15 17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 2.5 -15 8.75 -15 {}
+L 4 2.5 -5 8.75 -5 {}
+L 4 2.5 -8.75 8.75 -8.75 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -10 3.75 -8.75 {}
+L 4 7.5 -8.75 8.75 -10 {}
+L 4 7.5 -15 8.75 -13.75 {}
+L 4 2.5 -13.75 3.75 -15 {}
+L 4 7.5 -13.75 7.8125 -14.0625 {}
+L 4 3.4375 -9.6875 3.75 -10 {}
+L 4 3.4375 -14.0625 3.75 -13.75 {}
+L 4 7.5 -10 7.8125 -9.6875 {}
+B 4 4.375 -13.125 6.875 -10.625 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+B 5 -12.5 17.5 -7.5 22.5 {name=sub dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {sub} -6.25 20 0 0 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_nvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_nvt.sym
new file mode 100644
index 0000000..252de18
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_nvt.sym
@@ -0,0 +1,64 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L m=@mult"
+template="name=M1
+L=1.5
+W=30
+mult=1
+model=nfet_20v0_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 -17.5 20 -17.5 {}
+L 4 8.75 17.5 15 17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 2.5 -15 8.75 -15 {}
+L 4 2.5 -5 8.75 -5 {}
+L 4 2.5 -8.75 8.75 -8.75 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -10 3.75 -8.75 {}
+L 4 7.5 -8.75 8.75 -10 {}
+L 4 7.5 -15 8.75 -13.75 {}
+L 4 2.5 -13.75 3.75 -15 {}
+L 4 7.5 -13.75 7.8125 -14.0625 {}
+L 4 3.4375 -9.6875 3.75 -10 {}
+L 4 3.4375 -14.0625 3.75 -13.75 {}
+L 4 7.5 -10 7.8125 -9.6875 {}
+B 4 4.375 -13.125 6.875 -10.625 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+B 5 -12.5 17.5 -7.5 22.5 {name=sub dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {sub} -6.25 20 0 0 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_zvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_zvt.sym
new file mode 100644
index 0000000..adb374c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_20v0_zvt.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L m=@mult"
+template="name=M1
+L=5
+W=30
+mult=1
+model=nfet_20v0_zvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 -17.5 20 -17.5 {}
+L 4 8.75 17.5 15 17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 2.5 -15 8.75 -15 {}
+L 4 2.5 -5 8.75 -5 {}
+L 4 2.5 -8.75 8.75 -8.75 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -10 3.75 -8.75 {}
+L 4 7.5 -8.75 8.75 -10 {}
+L 4 7.5 -15 8.75 -13.75 {}
+L 4 2.5 -13.75 3.75 -15 {}
+L 4 7.5 -13.75 7.8125 -14.0625 {}
+L 4 3.4375 -9.6875 3.75 -10 {}
+L 4 3.4375 -14.0625 3.75 -13.75 {}
+L 4 7.5 -10 7.8125 -9.6875 {}
+B 4 4.375 -13.125 6.875 -10.625 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym
new file mode 100644
index 0000000..43b8142
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d10v5.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 -2.5 0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d16v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d16v0.sym
new file mode 100644
index 0000000..39408da
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/nfet_g5v0d16v0.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.7
+W=5.0
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d16v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 2.5 -7.5 7.5 -7.5 {}
+L 4 -20 0 -2.5 0 {}
+L 4 2.5 -15 7.5 -15 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8.sym
new file mode 100644
index 0000000..fa16b0b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8.sym
@@ -0,0 +1,59 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -7.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_hvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_hvt.sym
new file mode 100644
index 0000000..b265606
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_hvt.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8_hvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -7.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {HVT} 5 17.5 0 1 0.15 0.15 { layer=4}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_lvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_lvt.sym
new file mode 100644
index 0000000..9071713
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_01v8_lvt.sym
@@ -0,0 +1,60 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 -0 -7.5 -0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {LVT} 5 17.5 0 1 0.15 0.15 { layer=4}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_20v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_20v0.sym
new file mode 100644
index 0000000..f06e041
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_20v0.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W m=@mult"
+template="name=M1
+L=0.5
+W=30
+body=VDD
+mult=1
+model=pfet_20v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 17.5 20 17.5 {}
+L 4 13.75 -17.5 20 -17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 2.5 8.75 8.75 8.75 {}
+L 4 2.5 15 8.75 15 {}
+L 4 2.5 13.75 3.75 15 {}
+L 4 7.5 15 8.75 13.75 {}
+L 4 7.5 8.75 8.75 10 {}
+L 4 2.5 10 3.75 8.75 {}
+L 4 7.5 10 7.8125 9.6875 {}
+L 4 3.4375 14.0625 3.75 13.75 {}
+L 4 3.4375 9.6875 3.75 10 {}
+L 4 7.5 13.75 7.8125 14.0625 {}
+L 4 2.5 5 8.75 5 {}
+B 4 4.375 10.625 6.875 13.125 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 13.75 -20 8.75 -17.5 13.75 -15 13.75 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d10v5.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d10v5.sym
new file mode 100644
index 0000000..84ea3d8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d10v5.sym
@@ -0,0 +1,59 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d16v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d16v0.sym
new file mode 100644
index 0000000..04b90d8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet3_g5v0d16v0.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist @body sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d16v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 2.5 7.5 7.5 7.5 {}
+L 4 2.5 15 7.5 15 {}
+L 4 -20 0 -12.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8.sym
new file mode 100644
index 0000000..42767b9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -7.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_hvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_hvt.sym
new file mode 100644
index 0000000..382577a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_hvt.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8_hvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -7.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
+T {HVT} 5 17.5 0 1 0.15 0.15 { layer=4}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_lvt.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_lvt.sym
new file mode 100644
index 0000000..ab05049
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_01v8_lvt.sym
@@ -0,0 +1,62 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.35
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 -0 -7.5 -0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {LVT} 5 17.5 0 1 0.15 0.15 { layer=4}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_20v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_20v0.sym
new file mode 100644
index 0000000..a204907
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_20v0.sym
@@ -0,0 +1,63 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W m=@mult"
+template="name=M1
+L=0.5
+W=30
+mult=1
+model=pfet_20v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 8.75 17.5 20 17.5 {}
+L 4 13.75 -17.5 20 -17.5 {}
+L 4 8.75 -22.5 8.75 22.5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 2.5 8.75 8.75 8.75 {}
+L 4 2.5 15 8.75 15 {}
+L 4 2.5 13.75 3.75 15 {}
+L 4 7.5 15 8.75 13.75 {}
+L 4 7.5 8.75 8.75 10 {}
+L 4 2.5 10 3.75 8.75 {}
+L 4 7.5 10 7.8125 9.6875 {}
+L 4 3.4375 14.0625 3.75 13.75 {}
+L 4 3.4375 9.6875 3.75 10 {}
+L 4 7.5 13.75 7.8125 14.0625 {}
+L 4 2.5 5 8.75 5 {}
+B 4 4.375 10.625 6.875 13.125 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 13.75 -20 8.75 -17.5 13.75 -15 13.75 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d10v5.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d10v5.sym
new file mode 100644
index 0000000..f1cfeca
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d10v5.sym
@@ -0,0 +1,61 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d16v0.sym b/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d16v0.sym
new file mode 100644
index 0000000..6ae1b9b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pfet_g5v0d16v0.sym
@@ -0,0 +1,63 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.66
+W=5.0
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d16v0
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 2.5 7.5 7.5 7.5 {}
+L 4 2.5 15 7.5 15 {}
+L 4 -20 0 -12.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 11.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/pnp_05v5.sym b/xschem/sub/xschem/symbols/sky130_primitives/pnp_05v5.sym
new file mode 100644
index 0000000..4c26104
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/pnp_05v5.sym
@@ -0,0 +1,42 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {type=pnp
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model"
+template="name=Q1
+model=pnp_05v5_W0p68L0p68
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 0 -30 0 30 {}
+L 4 -20 0 0 0 {}
+L 4 10 -20 20 -30 {}
+L 4 0 10 20 30 {}
+B 5 17.5 27.5 22.5 32.5 {name=c dir=inout pinnumber=3}
+B 5 -22.5 -2.5 -17.5 2.5 {name=b dir=in pinnumber=1}
+B 5 17.5 -32.5 22.5 -27.5 {name=e dir=inout pinnumber=2}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=body dir=in}
+P 4 4 0 -10 15 -15 5 -25 0 -10 {fill=true}
+P 5 4 20 -3.75 12.5 0 20 3.75 20 -3.75 {fill=true}
+T {pnp05v5} 22.5 15 0 0 0.2 0.2 {}
+T {@name} 22.5 -26.25 0 0 0.2 0.2 {}
+T {e} 10 -35.625 0 0 0.15 0.15 {layer=7}
+T {c} 10 26.875 0 0 0.15 0.15 {layer=7}
+T {b} -10.625 -10.625 0 1 0.15 0.15 {layer=7}
+T {body} 42.5 -10.625 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_generic_m4 b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_m4
new file mode 100644
index 0000000..ad74d77
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_m4
@@ -0,0 +1,45 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=poly_resistor
+format="@name @pinlist sky130_fd_pr__@model W=@W L=@L m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_generic_m4
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_generic_nd.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_nd.sym
new file mode 100644
index 0000000..3466396
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_nd.sym
@@ -0,0 +1,59 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=n_diffusion_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+rho=120
+W=1
+L=1
+model=res_generic_nd
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 -8.75 -27.5 -8.75 -17.5 {}
+L 4 -8.75 17.5 -8.75 27.5 {}
+L 4 -15 22.5 -12.5 22.5 {}
+L 4 -15 -22.5 -15 22.5 {}
+L 4 -15 -22.5 -12.5 -22.5 {}
+L 4 -20 -0 -15 0 {}
+L 4 -8.75 22.5 0 22.5 {}
+L 4 -8.75 -22.5 0 -22.5 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+P 4 4 -12.5 -25 -12.5 -20 -8.75 -22.5 -12.5 -25 {}
+P 4 4 -12.5 20 -12.5 25 -8.75 22.5 -12.5 20 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_generic_pd.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_pd.sym
new file mode 100644
index 0000000..8bfef1b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_pd.sym
@@ -0,0 +1,58 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=p_diffusion_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_generic_pd
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+L 4 -12.5 -27.5 -12.5 -17.5 {}
+L 4 -12.5 17.5 -12.5 27.5 {}
+L 4 -15 22.5 -12.5 22.5 {}
+L 4 -15 -22.5 -15 22.5 {}
+L 4 -15 -22.5 -12.5 -22.5 {}
+L 4 -20 -0 -15 0 {}
+L 4 -8.75 22.5 0 22.5 {}
+L 4 -8.75 -22.5 0 -22.5 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+P 4 4 -8.75 -25 -8.75 -20 -12.5 -22.5 -8.75 -25 {}
+P 4 4 -8.75 20 -8.75 25 -12.5 22.5 -8.75 20 {}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_generic_po.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_po.sym
new file mode 100644
index 0000000..5c2b047
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_generic_po.sym
@@ -0,0 +1,46 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_generic_po
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_high_po.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po.sym
new file mode 100644
index 0000000..482eb4d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_high_po
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p35.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p35.sym
new file mode 100644
index 0000000..a64b194
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p35.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=0.35
+L=1
+model=res_high_po_0p35
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p69.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p69.sym
new file mode 100644
index 0000000..9d7d5e2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_0p69.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=0.69
+L=1
+model=res_high_po_0p69
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_1p41.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_1p41.sym
new file mode 100644
index 0000000..08eef4e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_high_po_1p41.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1.41
+L=1
+model=res_high_po_1p41
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_iso_pw.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_iso_pw.sym
new file mode 100644
index 0000000..db9fd10
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_iso_pw.sym
@@ -0,0 +1,49 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pwell_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+rho=3050
+W=2.65
+L=2.65
+model=res_iso_pw
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po.sym
new file mode 100644
index 0000000..5a403c0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po.sym
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_p-_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_xhigh_po
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout pinnumber=2 propag=1}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
+T {@#0:net_name} -10 16.25 0 1 0.15 0.15 {layer=15}
+T {@#1:net_name} -10 -25 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p35.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p35.sym
new file mode 100644
index 0000000..1629ea3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p35.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_p-_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=0.35
+L=1
+model=res_xhigh_po_0p35
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p69.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p69.sym
new file mode 100644
index 0000000..784c2ec
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_0p69.sym
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_p-_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=0.69
+L=1
+model=res_xhigh_po_0p69
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
+T {@#0:net_name} -10 16.25 0 1 0.15 0.15 {layer=15}
+T {@#1:net_name} -10 -25 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_1p41.sym b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_1p41.sym
new file mode 100644
index 0000000..a5e1b7a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/res_xhigh_po_1p41.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.8 file_version=1.2
+
+* Copyright 2020 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_poly_p-_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1.41
+L=1
+model=res_xhigh_po_1p41
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout propag=1 pinnumber=2}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_primitives/vpp_cap.sym b/xschem/sub/xschem/symbols/sky130_primitives/vpp_cap.sym
new file mode 100644
index 0000000..16e8f96
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_primitives/vpp_cap.sym
@@ -0,0 +1,43 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=capacitor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=C1
+model=cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5
+W=1
+L=1 
+mult=1 
+spiceprefix=X"
+
+available_models="
+cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5
+cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5
+cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5
+cap_vpp_04p4x04p6_m1m2m3_shieldl1m5_floatm4
+cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4
+cap_vpp_11p5x11p7_m1m2m3_shieldl1m5_floatm4
+cap_vpp_11p5x11p7_l1m1m2m3_shieldm4
+cap_vpp_06p8x06p1_l1m1m2m3_shieldpom4
+cap_vpp_06p8x06p1_m1m2m3_shieldl1m4
+cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5
+"
+
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+B 5 -22.5 -12.5 -17.5 -7.5 {name=top dir=in}
+B 5 -22.5 7.5 -17.5 12.5 {name=sub dir=in}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {@name} 20 -28.75 0 0 0.2 0.2 {}
+T {mult=@mult} 20 16.25 0 0 0.2 0.2 {}
+T {@model} 5 -13.75 0 0 0.1 0.1 {}
+T {top} -15 -17.5 0 0 0.15 0.15 {layer=7}
+T {sub} -15 7.5 0 0 0.15 0.15 {layer=7}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_1.sym
new file mode 100644
index 0000000..33a9f8e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_1.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2111o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_2.sym
new file mode 100644
index 0000000..34a35e1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_2.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2111o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_4.sym
new file mode 100644
index 0000000..f43b796
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111o_4.sym
@@ -0,0 +1,47 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 & 2 3 4 | | |"
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2111o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_0.sym
new file mode 100644
index 0000000..f127180
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_0.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2111oi_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 67.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_1.sym
new file mode 100644
index 0000000..a7d2430
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_1.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2111oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 67.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_2.sym
new file mode 100644
index 0000000..21d4cfe
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_2.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2111oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 67.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_4.sym
new file mode 100644
index 0000000..c83a8ea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2111oi_4.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 & 2 3 4 | | | ~"
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2111oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 40 -30 40 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 -7.5 80 {}
+L 4 -7.5 20 -7.5 80 {}
+L 4 -7.5 20 10 20 {}
+L 4 -80 0 13.671875 0 {}
+L 4 67.5 0 80 0 {}
+L 4 -30 10 -30 40 {}
+L 4 -30 10 12.5 10 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_1.sym
new file mode 100644
index 0000000..844abcb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_1.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a211o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_2.sym
new file mode 100644
index 0000000..b11acde
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_2.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a211o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_4.sym
new file mode 100644
index 0000000..18979a7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211o_4.sym
@@ -0,0 +1,44 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 & 2 3 | |"
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a211o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_1.sym
new file mode 100644
index 0000000..90f21c5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_1.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a211oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_2.sym
new file mode 100644
index 0000000..8ffc977
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_2.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a211oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_4.sym
new file mode 100644
index 0000000..a152641
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a211oi_4.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a211oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 60 -10 60 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 20 -30 20 {}
+L 4 -30 0 -30 20 {}
+L 4 -30 0 13.4375 0 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_1.sym
new file mode 100644
index 0000000..eae8365
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_1.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21bo_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_2.sym
new file mode 100644
index 0000000..05b04c4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_2.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21bo_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_4.sym
new file mode 100644
index 0000000..d9eb89f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21bo_4.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 & 2 ~ |"
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21bo_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_0.sym
new file mode 100644
index 0000000..d384dda
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_0.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21boi_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_1.sym
new file mode 100644
index 0000000..beae210
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_1.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21boi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_2.sym
new file mode 100644
index 0000000..8161467
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_2.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21boi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_4.sym
new file mode 100644
index 0000000..61f11dc
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21boi_4.sym
@@ -0,0 +1,39 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 & 2 ~ | ~"
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21boi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 -0.3125 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 4.6875 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_1.sym
new file mode 100644
index 0000000..7687ac6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_1.sym
@@ -0,0 +1,36 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_2.sym
new file mode 100644
index 0000000..8784cca
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_2.sym
@@ -0,0 +1,36 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_4.sym
new file mode 100644
index 0000000..1689c4a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21o_4.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 & 2 |"
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a21o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_1.sym
new file mode 100644
index 0000000..b23659a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_1.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_2.sym
new file mode 100644
index 0000000..63a176a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_2.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_4.sym
new file mode 100644
index 0000000..12fb88b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a21oi_4.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 & 2 | ~"
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a21oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -50 -40 {}
+L 4 -80 0 -50 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -80 40 -10 40 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -10 20 -10 40 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 36.25 -35 0 0 0.2 0.2 {}
+T {@symname} -11.25 -50 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_1.sym
new file mode 100644
index 0000000..db47c5e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a221o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_2.sym
new file mode 100644
index 0000000..3f02588
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a221o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_4.sym
new file mode 100644
index 0000000..3d2b658
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221o_4.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a221o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_1.sym
new file mode 100644
index 0000000..0d7f54d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_1.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a221oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+L 4 67.5 -0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_2.sym
new file mode 100644
index 0000000..c07e4f5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_2.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a221oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+L 4 67.5 -0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_4.sym
new file mode 100644
index 0000000..e4cee0d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a221oi_4.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a221oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -50 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -90 -40 -90 {}
+L 4 -50 -90 -50 -30 {}
+L 4 -50 -30 -40 -30 {}
+L 4 -80 0 -50 0 {}
+L 4 -80 40 -50 40 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -50 -10 -50 50 {}
+L 4 -50 50 -40 50 {}
+L 4 -12.5 -60 -7.5 -60 {}
+L 4 -7.5 -60 -7.5 -20 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 0 80 {}
+L 4 0 20 10 20 {}
+L 4 -12.5 20 -7.5 20 {}
+L 4 -7.5 0 -7.5 20 {}
+L 4 -7.5 0 13.671875 0 {}
+L 4 67.5 -0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -60 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -42.5 20 30 270 180 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a222oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a222oi_1.sym
new file mode 100644
index 0000000..3743bdf
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a222oi_1.sym
@@ -0,0 +1,58 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @@C2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a222oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -100 -50 -100 {}
+L 4 -80 -60 -50 -60 {}
+L 4 67.5 0 80 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -110 -35 -110 {}
+L 4 -50 -110 -50 -50 {}
+L 4 -50 -50 -35 -50 {}
+L 4 -80 -20 -50 -20 {}
+L 4 -80 20 -50 20 {}
+L 4 -50 -30 -35 -30 {}
+L 4 -50 -30 -50 30 {}
+L 4 -50 30 -35 30 {}
+L 4 -80 60 -50 60 {}
+L 4 -80 100 -50 100 {}
+L 4 -50 50 -35 50 {}
+L 4 -50 50 -50 110 {}
+L 4 -50 110 -35 110 {}
+L 4 -5 0 13.75 0 {}
+L 4 1.25 -23.75 8.359375 -23.75 {}
+L 4 1.25 -80 1.25 -23.75 {}
+L 4 -5 -80 1.25 -80 {}
+L 4 1.25 23.75 8.359375 23.75 {}
+L 4 1.25 23.75 1.25 80 {}
+L 4 -5 80 1.25 80 {}
+B 5 -82.5 -102.5 -77.5 -97.5 {name=A1 dir=in goto=6 propag=6 }
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A2 dir=in goto=6 propag=6 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=B1 dir=in goto=6 propag=6 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B2 dir=in goto=6 propag=6 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=6 propag=6 }
+B 5 -82.5 97.5 -77.5 102.5 {name=C2 dir=in goto=6 propag=6 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -80 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 0 30 270 180 {}
+A 4 -35 80 30 270 180 {}
+T {A1} -75 -114 0 0 0.2 0.2 {}
+T {A2} -75 -74 0 0 0.2 0.2 {}
+T {B1} -75 -34 0 0 0.2 0.2 {}
+T {B2} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {C2} -75 86 0 0 0.2 0.2 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} 8.75 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_1.sym
new file mode 100644
index 0000000..d134849
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_1.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a22o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_2.sym
new file mode 100644
index 0000000..58f4e39
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_2.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a22o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_4.sym
new file mode 100644
index 0000000..4700af8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22o_4.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a22o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_1.sym
new file mode 100644
index 0000000..6262133
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_1.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a22oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 67.5 0 80 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_2.sym
new file mode 100644
index 0000000..b95ac1c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_2.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a22oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 67.5 0 80 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_4.sym
new file mode 100644
index 0000000..8621f4f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a22oi_4.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 & 2 3 & | ~"
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a22oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -50 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 67.5 0 80 0 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_1.sym
new file mode 100644
index 0000000..8eda9d0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_1.sym
@@ -0,0 +1,48 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2bb2o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -60 -60 -60 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_2.sym
new file mode 100644
index 0000000..6228795
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_2.sym
@@ -0,0 +1,48 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2bb2o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -60 -60 -60 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_4.sym
new file mode 100644
index 0000000..b615f31
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2o_4.sym
@@ -0,0 +1,49 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 ~ 1 ~ & 2 3 & |"
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a2bb2o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -60 -60 -60 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_1.sym
new file mode 100644
index 0000000..4cf6653
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_1.sym
@@ -0,0 +1,49 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2bb2oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_2.sym
new file mode 100644
index 0000000..879e242
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_2.sym
@@ -0,0 +1,49 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2bb2oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_4.sym
new file mode 100644
index 0000000..abd17ef
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a2bb2oi_4.sym
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 ~ 1 ~ & 2 3 & | ~"
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a2bb2oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -35 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -35 -10 {}
+L 4 -80 20 -50 20 {}
+L 4 -80 60 -50 60 {}
+L 4 -50 10 -35 10 {}
+L 4 -50 10 -50 70 {}
+L 4 -50 70 -35 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -35 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -35 40 30 270 180 {}
+A 4 -55 -20 5 0 360 {}
+A 4 -55 -60 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {@name} 15 -42 0 0 0.2 0.2 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@symname} -1.25 -65 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_1.sym
new file mode 100644
index 0000000..84ac341
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a311o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_2.sym
new file mode 100644
index 0000000..732b577
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a311o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_4.sym
new file mode 100644
index 0000000..850db64
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311o_4.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a311o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_1.sym
new file mode 100644
index 0000000..0726865
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a311oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_2.sym
new file mode 100644
index 0000000..3fe7643
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a311oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_4.sym
new file mode 100644
index 0000000..db72d88
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a311oi_4.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a311oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 -80 80 -10 80 {}
+L 4 -7.5 -20 10 -20 {}
+L 4 -7.5 -40 -7.5 -20 {}
+L 4 -12.5 -40 -7.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -20 0 13.4375 0 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_1.sym
new file mode 100644
index 0000000..8cb2a39
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_1.sym
@@ -0,0 +1,45 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a31o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+
+function4="0 1 2 & & 3 |"}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_2.sym
new file mode 100644
index 0000000..74260c8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_2.sym
@@ -0,0 +1,44 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 & & 3 |"
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a31o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_4.sym
new file mode 100644
index 0000000..a2c5d04
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31o_4.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a31o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_1.sym
new file mode 100644
index 0000000..b1e7b60
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_1.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a31oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_2.sym
new file mode 100644
index 0000000..9ab8168
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_2.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a31oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_4.sym
new file mode 100644
index 0000000..633aaf4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a31oi_4.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a31oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -50 -20 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 -50 -50 10 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -50 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -50 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_1.sym
new file mode 100644
index 0000000..8ad3204
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a32o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_2.sym
new file mode 100644
index 0000000..8133bd7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a32o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_4.sym
new file mode 100644
index 0000000..50581e2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32o_4.sym
@@ -0,0 +1,51 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 & & 3 4 | |"
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a32o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_1.sym
new file mode 100644
index 0000000..17ccfe1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a32oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_2.sym
new file mode 100644
index 0000000..09d45c3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a32oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_4.sym
new file mode 100644
index 0000000..ca064dd
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a32oi_4.sym
@@ -0,0 +1,52 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 & & 3 4 | | ~"
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a32oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -50 -40 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -70 -40 -70 {}
+L 4 -50 -70 -50 -10 {}
+L 4 -50 -10 -40 -10 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 40 -10 40 {}
+L 4 -10 0 -10 40 {}
+L 4 -10 0 13.671875 0 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -50 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -50 -60 {}
+L 4 -80 80 0 80 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 0 -40 0 -20 {}
+L 4 -12.5 -40 0 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -40 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41o_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_1.sym
new file mode 100644
index 0000000..05848ef
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a41o_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41o_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_2.sym
new file mode 100644
index 0000000..56a1fc2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a41o_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41o_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_4.sym
new file mode 100644
index 0000000..cb17e0f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41o_4.sym
@@ -0,0 +1,51 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 3 & & & 4 |"
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\a41o_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {X} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_1.sym
new file mode 100644
index 0000000..9eb48ab
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a41oi_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_2.sym
new file mode 100644
index 0000000..1470705
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a41oi_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_4.sym
new file mode 100644
index 0000000..bf11a8c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/a41oi_4.sym
@@ -0,0 +1,52 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 3 & & & 4 | ~"
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\a41oi_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -55 -80 {}
+L 4 5 -30 12.5 -30 {}
+L 4 5 30 12.5 30 {}
+L 4 -50 -50 -40 -50 {}
+L 4 -50 10 -40 10 {}
+L 4 -12.5 -20 10 -20 {}
+L 4 -80 70 -20 70 {}
+L 4 -20 20 -20 70 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 40 -55 40 {}
+L 4 -55 5 -55 40 {}
+L 4 -55 5 -50 5 {}
+L 4 -55 -80 -55 -45 {}
+L 4 -55 -45 -50 -45 {}
+L 4 -50 -50 -50 10 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -10 -60 0 {}
+L 4 -60 -10 -50 -10 {}
+L 4 -80 -40 -60 -40 {}
+L 4 -60 -40 -60 -30 {}
+L 4 -60 -30 -50 -30 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 67.5 -77.5 72.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -42.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -42.5 -20 30 270 180 {}
+A 4 7.857142857142861 25.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 7.857142857142861 -25.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A4} -75 26 0 0 0.2 0.2 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 56 0 0 0.2 0.2 {}
+T {Y} 70 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2_0.sym
new file mode 100644
index 0000000..14def4b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2_0.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2_1.sym
new file mode 100644
index 0000000..27ec538
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2_1.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 &"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+
+}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2_2.sym
new file mode 100644
index 0000000..f0a95b5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2_4.sym
new file mode 100644
index 0000000..4c338f0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2_4.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 &"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_1.sym
new file mode 100644
index 0000000..57a6427
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_1.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {A_N} -60 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_2.sym
new file mode 100644
index 0000000..4aab431
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_2.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {A_N} -60 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and2b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_4.sym
new file mode 100644
index 0000000..50e4c49
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and2b_4.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\and2b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {A_N} -60 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3_1.sym
new file mode 100644
index 0000000..79a2d38
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+function3="0 1 2 & &"}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3_2.sym
new file mode 100644
index 0000000..f483c57
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3_2.sym
@@ -0,0 +1,32 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3_4.sym
new file mode 100644
index 0000000..678b18c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3_4.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 2 & &"
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_1.sym
new file mode 100644
index 0000000..ceaf07c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_1.sym
@@ -0,0 +1,32 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_2.sym
new file mode 100644
index 0000000..b268709
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_2.sym
@@ -0,0 +1,32 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and3b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_4.sym
new file mode 100644
index 0000000..c713f5c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and3b_4.sym
@@ -0,0 +1,32 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\and3b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -20 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4_1.sym
new file mode 100644
index 0000000..85d8e63
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4_1.sym
@@ -0,0 +1,40 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 & & &"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4_2.sym
new file mode 100644
index 0000000..e5e415d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4_2.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4_4.sym
new file mode 100644
index 0000000..34470fe
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4_4.sym
@@ -0,0 +1,40 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 & & &"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_1.sym
new file mode 100644
index 0000000..960a9c7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_1.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_2.sym
new file mode 100644
index 0000000..d62ee02
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_2.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_4.sym
new file mode 100644
index 0000000..c1f29c0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4b_4.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_1.sym
new file mode 100644
index 0000000..08cb2cb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_1.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B_N @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4bb_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+A 4 -35 -10 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -60 -74 0 0 0.2 0.2 {}
+T {B_N} -60 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_2.sym
new file mode 100644
index 0000000..90b1f14
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_2.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B_N @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4bb_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+A 4 -35 -10 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -60 -74 0 0 0.2 0.2 {}
+T {B_N} -60 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_4.sym
new file mode 100644
index 0000000..4bca031
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/and4bb_4.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B_N @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\and4bb_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 35 0 60 0 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 5 0 30 270 180 {}
+A 4 -35 -25 5 0 360 {}
+A 4 -35 -10 5 0 360 {}
+T {D} -55 46 0 0 0.2 0.2 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -60 -74 0 0 0.2 0.2 {}
+T {B_N} -60 -34 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_1.sym
new file mode 100644
index 0000000..54476d1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_1.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_12.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_12.sym
new file mode 100644
index 0000000..8a01480
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_12.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_12"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_16.sym
new file mode 100644
index 0000000..655b1ad
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_16.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_2.sym
new file mode 100644
index 0000000..3b46c52
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_2.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {function1="0"
+type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_4.sym
new file mode 100644
index 0000000..f460141
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_4.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0"
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_6.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_6.sym
new file mode 100644
index 0000000..a44b9db
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_6.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_6"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/buf_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/buf_8.sym
new file mode 100644
index 0000000..84a307f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/buf_8.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0"
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\buf_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_16.sym
new file mode 100644
index 0000000..b447118
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_16.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\bufbuf_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -5 -20 -5 20 {}
+L 4 -5 -20 25 0 {}
+L 4 -5 20 25 0 {}
+L 4 25 0 40 0 {}
+L 4 -20 -10 -20 10 {}
+L 4 -20 -10 -10 0 {}
+L 4 -20 10 -10 0 {}
+L 4 -10 0 -5 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -35 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_8.sym
new file mode 100644
index 0000000..fef18f0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/bufbuf_8.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\bufbuf_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -5 -20 -5 20 {}
+L 4 -5 -20 25 0 {}
+L 4 -5 20 25 0 {}
+L 4 25 0 40 0 {}
+L 4 -20 -10 -20 10 {}
+L 4 -20 -10 -10 0 {}
+L 4 -20 10 -10 0 {}
+L 4 -10 0 -5 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -35 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_16.sym
new file mode 100644
index 0000000..f27273f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_16.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\bufinv_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -5 -20 -5 20 {}
+L 4 -5 -20 20 0 {}
+L 4 -5 20 20 0 {}
+L 4 -20 -10 -20 10 {}
+L 4 -20 -10 -10 0 {}
+L 4 -20 10 -10 0 {}
+L 4 -10 0 -5 0 {}
+L 4 -40 0 -20 0 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} 2.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_8.sym
new file mode 100644
index 0000000..d995ab7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/bufinv_8.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\bufinv_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -5 -20 -5 20 {}
+L 4 -5 -20 20 0 {}
+L 4 -5 20 20 0 {}
+L 4 -20 -10 -20 10 {}
+L 4 -20 -10 -10 0 {}
+L 4 -20 10 -10 0 {}
+L 4 -10 0 -5 0 {}
+L 4 -40 0 -20 0 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} 2.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_1.sym
new file mode 100644
index 0000000..fa19d81
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_1.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0"
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkbuf_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_16.sym
new file mode 100644
index 0000000..d0832f4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_16.sym
@@ -0,0 +1,22 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0"
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkbuf_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_2.sym
new file mode 100644
index 0000000..f1b8bd9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_2.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkbuf_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_4.sym
new file mode 100644
index 0000000..4e70c56
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_4.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkbuf_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_8.sym
new file mode 100644
index 0000000..25bedbb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkbuf_8.sym
@@ -0,0 +1,21 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkbuf_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_1.sym
new file mode 100644
index 0000000..40dcdd1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s15_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_2.sym
new file mode 100644
index 0000000..9513af0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s15_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s15_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_1.sym
new file mode 100644
index 0000000..60a73ce
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s18_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_2.sym
new file mode 100644
index 0000000..66dc909
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s18_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s18_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_1.sym
new file mode 100644
index 0000000..2b74053
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s25_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_2.sym
new file mode 100644
index 0000000..5b57490
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s25_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s25_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_1.sym
new file mode 100644
index 0000000..e23fe00
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s50_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_2.sym
new file mode 100644
index 0000000..df751fe
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkdlybuf4s50_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\clkdlybuf4s50_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_1.sym
new file mode 100644
index 0000000..5a0d057
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_1.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinv_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_16.sym
new file mode 100644
index 0000000..102c540
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_16.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinv_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_2.sym
new file mode 100644
index 0000000..2658d99
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_2.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinv_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_4.sym
new file mode 100644
index 0000000..1c64437
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_4.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinv_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_8.sym
new file mode 100644
index 0000000..2caeb81
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinv_8.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinv_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_2.sym
new file mode 100644
index 0000000..455872d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_2.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinvlp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_4.sym
new file mode 100644
index 0000000..909d802
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/clkinvlp_4.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\clkinvlp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/conb_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/conb_1.sym
new file mode 100644
index 0000000..eb92901
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/conb_1.sym
@@ -0,0 +1,24 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function0="H"
+function1="L"
+format="@name @VGND @VNB @VPB @VPWR @@HI @@LO @prefix\\\\conb_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -30 40 -30 {}
+L 4 -20 30 40 30 {}
+L 4 -20 -30 -20 30 {}
+L 4 40 -30 40 30 {}
+L 4 40 -20 60 -20 {}
+L 4 40 20 60 20 {}
+B 5 57.5 -22.5 62.5 -17.5 {name=HI dir=out goto=0 propag=0}
+B 5 57.5 17.5 62.5 22.5 {name=LO dir=out goto=1 propag=1}
+T {@symname} -10 -56 0 0 0.3 0.3 {hcenter=true}
+T {@name} 45 -42 0 0 0.2 0.2 {}
+T {HI} 35 -24 0 1 0.2 0.2 {}
+T {LO} 35 16 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/decap_12.sym b/xschem/sub/xschem/symbols/sky130_stdcells/decap_12.sym
new file mode 100644
index 0000000..1428e3a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/decap_12.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\decap_12"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/decap_3.sym b/xschem/sub/xschem/symbols/sky130_stdcells/decap_3.sym
new file mode 100644
index 0000000..25029b1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/decap_3.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\decap_3"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/decap_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/decap_4.sym
new file mode 100644
index 0000000..fbf9a10
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/decap_4.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\decap_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/decap_6.sym b/xschem/sub/xschem/symbols/sky130_stdcells/decap_6.sym
new file mode 100644
index 0000000..f0ba4f2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/decap_6.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\decap_6"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/decap_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/decap_8.sym
new file mode 100644
index 0000000..6c0110f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/decap_8.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\decap_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_1.sym
new file mode 100644
index 0000000..9ac01c0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfbbn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 70 -30 90 -30 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK_N dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=RESET_B dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SET_B dir=in }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q_N dir=out }
+T {@symname} 0 -16 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+T {CLK_N} -65 -34 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {RESET_B} -65 6 0 0 0.2 0.2 {}
+T {SET_B} -65 26 0 0 0.2 0.2 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
+T {Q_N} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_2.sym
new file mode 100644
index 0000000..eac133e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbn_2.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfbbn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 70 -30 90 -30 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK_N dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=RESET_B dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SET_B dir=in }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q_N dir=out }
+T {@symname} 0 -16 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+T {CLK_N} -65 -34 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {RESET_B} -65 6 0 0 0.2 0.2 {}
+T {SET_B} -65 26 0 0 0.2 0.2 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
+T {Q_N} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfbbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbp_1.sym
new file mode 100644
index 0000000..60f9880
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfbbp_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfbbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 70 -30 90 -30 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=RESET_B dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SET_B dir=in }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q_N dir=out }
+T {@symname} 0 -16 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {RESET_B} -65 6 0 0 0.2 0.2 {}
+T {SET_B} -65 26 0 0 0.2 0.2 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
+T {Q_N} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_1.sym
new file mode 100644
index 0000000..c46835c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="1 0 2 & & 0 ~ 2 3 & & |"
+function4="3 ~"
+format="@name @@CLK @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfrbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+L 4 70 0 90 0 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in goto=3 clock=1}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in goto=3 clock=2}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out goto=4}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_2.sym
new file mode 100644
index 0000000..b1145d4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrbp_2.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfrbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+L 4 70 0 90 0 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrtn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtn_1.sym
new file mode 100644
index 0000000..cc32793
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtn_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfrtn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK_N dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK_N} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_1.sym
new file mode 100644
index 0000000..8485bf6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=stdcell
+function3="3 1 0 M L 2 ~ M"
+format="@name @@CLK @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfrtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in 
+goto=3 clock=1}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in 
+goto=3
+clock=2}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_2.sym
new file mode 100644
index 0000000..5326980
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfrtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_4.sym
new file mode 100644
index 0000000..6c478a7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfrtp_4.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfrtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_1.sym
new file mode 100644
index 0000000..4273062
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_1.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfsbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {SET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+L 4 70 0 90 0 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_2.sym
new file mode 100644
index 0000000..c238e26
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfsbp_2.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfsbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {SET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+L 4 70 0 90 0 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_1.sym
new file mode 100644
index 0000000..c464d7f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfstp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {SET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_2.sym
new file mode 100644
index 0000000..79ab4a3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfstp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {SET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_4.sym
new file mode 100644
index 0000000..3988f87
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfstp_4.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfstp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {SET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_1.sym
new file mode 100644
index 0000000..c47bd36
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfxbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=D dir=in }
+L 4 -90 10 -70 10 {}
+T {D} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
+B 5 87.5 7.5 92.5 12.5 {name=Q_N dir=out }
+L 4 70 10 90 10 {}
+T {Q_N} 65 6 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_2.sym
new file mode 100644
index 0000000..8dc0962
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfxbp_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dfxbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=D dir=in }
+L 4 -90 10 -70 10 {}
+T {D} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
+B 5 87.5 7.5 92.5 12.5 {name=Q_N dir=out }
+L 4 70 10 90 10 {}
+T {Q_N} 65 6 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_1.sym
new file mode 100644
index 0000000..bf703e3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_1.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="1 0 & 0 ~ 2 & |"
+format="@name @@CLK @@D @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfxtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in goto=2 clock=1}
+B 5 -92.5 7.5 -87.5 12.5 {name=D dir=in }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+T {D} -65 6 0 0 0.2 0.2 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_2.sym
new file mode 100644
index 0000000..a5f25d7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_2.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@CLK @@D @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfxtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=D dir=in }
+L 4 -90 10 -70 10 {}
+T {D} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_4.sym
new file mode 100644
index 0000000..1feb730
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dfxtp_4.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 d ~ 2 & x 1 & |"
+format="@name @@CLK @@D @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dfxtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=false}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in goto=2 clock=1}
+B 5 -92.5 7.5 -87.5 12.5 {name=D dir=in }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+T {D} -65 6 0 0 0.2 0.2 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/diode_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/diode_2.sym
new file mode 100644
index 0000000..098c603
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/diode_2.sym
@@ -0,0 +1,14 @@
+K {type=primitive
+format="@name @@DIODE @VGND @VNB @VPB @VPWR @prefix\\\\diode_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DIODE dir=in }
+L 4 -90 0 -70 0 {}
+T {DIODE} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_1.sym
new file mode 100644
index 0000000..70800ea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_1.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@CLK @@GATE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\dlclkp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=GCLK dir=out }
+L 4 70 -10 90 -10 {}
+T {GCLK} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_2.sym
new file mode 100644
index 0000000..a71f9a9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_2.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@CLK @@GATE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\dlclkp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=GCLK dir=out }
+L 4 70 -10 90 -10 {}
+T {GCLK} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_4.sym
new file mode 100644
index 0000000..37c6455
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlclkp_4.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@CLK @@GATE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\dlclkp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=CLK dir=in }
+L 4 -90 -10 -70 -10 {}
+T {CLK} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=GCLK dir=out }
+L 4 70 -10 90 -10 {}
+T {GCLK} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_1.sym
new file mode 100644
index 0000000..63fedcb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="1 d ~ 3 & x 0 & | 2 &"
+function4="3 ~"
+format="@name @@D @@GATE_N @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlrbn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+L 4 70 0 90 0 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in  goto=3}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE_N dir=in  goto=3}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in  goto=3}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+T {@symname} 0 -26 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {GATE_N} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_2.sym
new file mode 100644
index 0000000..b41bb5a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbn_2.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@D @@GATE_N @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlrbn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+L 4 70 0 90 0 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE_N dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+T {@symname} 0 -26 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {GATE_N} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_1.sym
new file mode 100644
index 0000000..b0ebd67
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="1 d ~ 3 & x 0 & | 2 &"
+function4="3 ~"
+format="@name @@D @@GATE @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlrbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+L 4 70 0 90 0 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in  goto=3}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in  goto=3}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in goto=3}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out  goto=4}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_2.sym
new file mode 100644
index 0000000..b7d1c30
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrbp_2.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@D @@GATE @@RESET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlrbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+L 4 70 0 90 0 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_1.sym
new file mode 100644
index 0000000..8e91e7a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_1.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="1 d ~ 0 & x 3 & | 2 &"
+format="@name @@D @@GATE_N @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in 
+goto=3}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE_N dir=in 
+goto=3}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+T {@symname} 20 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {GATE_N} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_2.sym
new file mode 100644
index 0000000..8510f2b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE_N @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE_N dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE_N} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_4.sym
new file mode 100644
index 0000000..40ca74d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtn_4.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE_N @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtn_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE_N dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE_N} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_1.sym
new file mode 100644
index 0000000..be09780
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_1.sym
@@ -0,0 +1,33 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="1 d ~ 3 & x 0 & | 2 &"
+format="@name @@D @@GATE @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+
+
+xfunction3="0 1 2 & & 1 ~ 2 3 & & |"}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in 
+goto=3}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in 
+goto=3}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_2.sym
new file mode 100644
index 0000000..fe527ef
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_4.sym
new file mode 100644
index 0000000..178f893
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlrtp_4.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE @@RESET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlrtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=RESET_B dir=in }
+L 4 -90 20 -70 20 {}
+T {RESET_B} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_1.sym
new file mode 100644
index 0000000..df922e8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE_N @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlxbn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE_N dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE_N} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
+B 5 87.5 7.5 92.5 12.5 {name=Q_N dir=out }
+L 4 70 10 90 10 {}
+T {Q_N} 65 6 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_2.sym
new file mode 100644
index 0000000..c115213
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbn_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE_N @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlxbn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE_N dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE_N} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
+B 5 87.5 7.5 92.5 12.5 {name=Q_N dir=out }
+L 4 70 10 90 10 {}
+T {Q_N} 65 6 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbp_1.sym
new file mode 100644
index 0000000..14290bc
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxbp_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@D @@GATE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\dlxbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
+B 5 87.5 7.5 92.5 12.5 {name=Q_N dir=out }
+L 4 70 10 90 10 {}
+T {Q_N} 65 6 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_1.sym
new file mode 100644
index 0000000..b105510
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_1.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="1 d ~ 0 & x 2 & |"
+format="@name @@D @@GATE_N @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlxtn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=false
+}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in 
+goto=2}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE_N dir=in 
+goto=2}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {GATE_N} -65 6 0 0 0.2 0.2 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_2.sym
new file mode 100644
index 0000000..aa377bf
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_2.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@D @@GATE_N @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlxtn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE_N dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE_N} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_4.sym
new file mode 100644
index 0000000..c6cf59c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtn_4.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@D @@GATE_N @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlxtn_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE_N dir=in }
+L 4 -90 10 -70 10 {}
+T {GATE_N} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+L 4 70 -10 90 -10 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlxtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtp_1.sym
new file mode 100644
index 0000000..477647d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlxtp_1.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="1 d ~ 2 & x 0 & |"
+format="@name @@D @@GATE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\dlxtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=false
+}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in 
+goto=2}
+B 5 -92.5 7.5 -87.5 12.5 {name=GATE dir=in 
+goto=2}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {GATE} -65 6 0 0 0.2 0.2 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd1_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd1_1.sym
new file mode 100644
index 0000000..6e2b799
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd1_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlygate4sd1_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd2_1.sym
new file mode 100644
index 0000000..9d4d6c2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd2_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlygate4sd2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd3_1.sym
new file mode 100644
index 0000000..f406e51
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlygate4sd3_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlygate4sd3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s2s_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s2s_1.sym
new file mode 100644
index 0000000..887b2d8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s2s_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlymetal6s2s_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s4s_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s4s_1.sym
new file mode 100644
index 0000000..ed8e8f3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s4s_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlymetal6s4s_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s6s_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s6s_1.sym
new file mode 100644
index 0000000..fbdeba0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/dlymetal6s6s_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\dlymetal6s6s_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -17.5 10 -10 10 {}
+L 4 -10 5 -10 10 {}
+L 4 -10 5 -2.5 5 {}
+L 4 -12.5 0 -5 0 {}
+L 4 -5 -5 -5 0 {}
+L 4 -5 -5 2.5 -5 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_1.sym
new file mode 100644
index 0000000..fd4533c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_1.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="1 d ~ 0 & x 2 & |"
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\ebufn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_2.sym
new file mode 100644
index 0000000..b59f59b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_2.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 ~ &"
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\ebufn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_4.sym
new file mode 100644
index 0000000..7e36201
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_4.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\ebufn_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_8.sym
new file mode 100644
index 0000000..935d051
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ebufn_8.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\ebufn_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 20 0 40 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/edfxbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/edfxbp_1.sym
new file mode 100644
index 0000000..76d877b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/edfxbp_1.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\edfxbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=DE dir=in }
+L 4 -90 20 -70 20 {}
+T {DE} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=Q_N dir=out }
+L 4 70 0 90 0 {}
+T {Q_N} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/edfxtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/edfxtp_1.sym
new file mode 100644
index 0000000..e962ba6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/edfxtp_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\edfxtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=D dir=in }
+L 4 -90 0 -70 0 {}
+T {D} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=DE dir=in }
+L 4 -90 20 -70 20 {}
+T {DE} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q dir=out }
+L 4 70 -20 90 -20 {}
+T {Q} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvn_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_0.sym
new file mode 100644
index 0000000..656f777
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_0.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvn_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_1.sym
new file mode 100644
index 0000000..9e95442
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_2.sym
new file mode 100644
index 0000000..35293e3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvn_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_4.sym
new file mode 100644
index 0000000..548dc8f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_4.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvn_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvn_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_8.sym
new file mode 100644
index 0000000..458f9b5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvn_8.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE_B @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvn_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 20.625 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE_B dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 0 15.625 5 0 360 {}
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE_B} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_1.sym
new file mode 100644
index 0000000..65a4a8d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_1.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="1 d 0 & x ~ 2 ~ & | ~"
+format="@name @@A @@TE @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 10 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_2.sym
new file mode 100644
index 0000000..ae40f72
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_2.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 10 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_4.sym
new file mode 100644
index 0000000..82d826b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_4.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 10 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/einvp_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_8.sym
new file mode 100644
index 0000000..ddeae0d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/einvp_8.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@TE @VGND @VNB @VPB @VPWR @@Z @prefix\\\\einvp_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 -40 0 -20 0 {}
+L 4 -40 40 0 40 {}
+L 4 0 10 0 40 {}
+L 4 30 0 40 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=2 propag=2 }
+B 5 -42.5 37.5 -37.5 42.5 {name=TE dir=in goto=2 propag=2 }
+B 5 37.5 -2.5 42.5 2.5 {name=Z dir=out }
+A 4 25 0 5 0 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {TE} -35 26 0 0 0.2 0.2 {}
+T {Z} 35 -14 0 1 0.2 0.2 {}
+T {@name} 7.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -30 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fa_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fa_1.sym
new file mode 100644
index 0000000..51f977a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fa_1.sym
@@ -0,0 +1,39 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 ^ ^"
+function3="0 d 1 d r & r r ^ 2 & |"
+format="@name @@A @@B @@CIN @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\fa_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+
+
+}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in 
+goto=3,4 propag=3,4}
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in
+goto=3,4 propag=3,4}
+B 5 -62.5 37.5 -57.5 42.5 {name=CIN dir=in
+goto=3,4 propag=3,4}
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CIN} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
+T {@name} -25 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fa_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fa_2.sym
new file mode 100644
index 0000000..65d6ee2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fa_2.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@CIN @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\fa_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=CIN dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CIN} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
+T {@name} -25 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fa_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fa_4.sym
new file mode 100644
index 0000000..efe3d89
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fa_4.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@CIN @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\fa_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=CIN dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CIN} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
+T {@name} -25 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fah_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fah_1.sym
new file mode 100644
index 0000000..263b9d7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fah_1.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@CI @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\fah_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=CI dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} -25 -22 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CI} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fahcin_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fahcin_1.sym
new file mode 100644
index 0000000..ce1a840
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fahcin_1.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@CIN @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\fahcin_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=CIN dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CIN} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
+T {@name} -25 -22 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fahcon_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fahcon_1.sym
new file mode 100644
index 0000000..b02c72a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fahcon_1.sym
@@ -0,0 +1,31 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@CI @VGND @VNB @VPB @VPWR @@COUT_N @@SUM @prefix\\\\fahcon_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=CI dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT_N dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} -25 -22 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {CI} -35 36 0 0 0.2 0.2 {}
+T {COUT_N} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fill_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fill_1.sym
new file mode 100644
index 0000000..bbc776d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fill_1.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\fill_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fill_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fill_2.sym
new file mode 100644
index 0000000..80cd428
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fill_2.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\fill_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fill_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fill_4.sym
new file mode 100644
index 0000000..f718cfb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fill_4.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\fill_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/fill_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/fill_8.sym
new file mode 100644
index 0000000..35fe1ef
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/fill_8.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\fill_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ha_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ha_1.sym
new file mode 100644
index 0000000..92edfb8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ha_1.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\ha_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=B dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} -25 -22 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ha_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ha_2.sym
new file mode 100644
index 0000000..d15dd0d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ha_2.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\ha_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=B dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} -25 -22 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/ha_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/ha_4.sym
new file mode 100644
index 0000000..2b43a27
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/ha_4.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@COUT @@SUM @prefix\\\\ha_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 40 -40 40 {}
+L 4 40 -40 60 -40 {}
+L 4 40 40 60 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in }
+B 5 -62.5 37.5 -57.5 42.5 {name=B dir=in }
+B 5 57.5 -42.5 62.5 -37.5 {name=COUT dir=out }
+B 5 57.5 37.5 62.5 42.5 {name=SUM dir=out }
+T {@symname} -10 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} -25 -22 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 36 0 0 0.2 0.2 {}
+T {COUT} 35 -44 0 1 0.2 0.2 {}
+T {SUM} 35 36 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_1.sym
new file mode 100644
index 0000000..3963bf3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_1.sym
@@ -0,0 +1,25 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0 ~"
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+
+}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1}
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 36.25 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_12.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_12.sym
new file mode 100644
index 0000000..961911d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_12.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_12"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_16.sym
new file mode 100644
index 0000000..648e5db
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_16.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_2.sym
new file mode 100644
index 0000000..f322562
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_2.sym
@@ -0,0 +1,23 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function1="0 ~"
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_4.sym
new file mode 100644
index 0000000..309a811
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_4.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_6.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_6.sym
new file mode 100644
index 0000000..4c24562
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_6.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_6"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/inv_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/inv_8.sym
new file mode 100644
index 0000000..12bec00
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/inv_8.sym
@@ -0,0 +1,22 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@Y @prefix\\\\inv_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -20 -20 -20 20 {}
+L 4 -20 -20 20 0 {}
+L 4 -20 20 20 0 {}
+L 4 30 0 40 0 {}
+L 4 -40 0 -20 0 {}
+B 5 -42.5 -2.5 -37.5 2.5 {name=A dir=in goto=1 propag=1 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 25 0 5 180 360 {}
+T {A} -35 -14 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} 2.5 15 0 0 0.2 0.2 {}
+T {@symname} -7.5 -25 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_bleeder_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_bleeder_1.sym
new file mode 100644
index 0000000..6f24ed6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_bleeder_1.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@SHORT @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_bleeder_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SHORT dir=in }
+T {@symname} 0 -31 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {SHORT} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_1.sym
new file mode 100644
index 0000000..b7997f0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_clkbufkapwr_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_16.sym
new file mode 100644
index 0000000..8e65cf3
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_16.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_clkbufkapwr_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_2.sym
new file mode 100644
index 0000000..942cda6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_clkbufkapwr_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_4.sym
new file mode 100644
index 0000000..a58dcf0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_4.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_clkbufkapwr_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_8.sym
new file mode 100644
index 0000000..637f02d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkbufkapwr_8.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_clkbufkapwr_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_1.sym
new file mode 100644
index 0000000..2e06837
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@Y @prefix\\\\lpflow_clkinvkapwr_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=Y dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {Y} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_16.sym
new file mode 100644
index 0000000..6adcdf0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_16.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@Y @prefix\\\\lpflow_clkinvkapwr_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=Y dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {Y} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_2.sym
new file mode 100644
index 0000000..6152882
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@Y @prefix\\\\lpflow_clkinvkapwr_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=Y dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {Y} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_4.sym
new file mode 100644
index 0000000..1674685
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_4.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@Y @prefix\\\\lpflow_clkinvkapwr_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=Y dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {Y} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_8.sym
new file mode 100644
index 0000000..baea633
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_clkinvkapwr_8.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@KAPWR @VGND @VNB @VPB @VPWR @@Y @prefix\\\\lpflow_clkinvkapwr_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=KAPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=Y dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {KAPWR} -65 6 0 0 0.2 0.2 {}
+T {Y} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_12.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_12.sym
new file mode 100644
index 0000000..24a6ff6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_12.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@KAPWR @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_decapkapwr_12"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=KAPWR dir=in }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {KAPWR} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_3.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_3.sym
new file mode 100644
index 0000000..d5be1b8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_3.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@KAPWR @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_decapkapwr_3"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=KAPWR dir=in }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {KAPWR} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_4.sym
new file mode 100644
index 0000000..31b8bc0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_4.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@KAPWR @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_decapkapwr_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=KAPWR dir=in }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {KAPWR} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_6.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_6.sym
new file mode 100644
index 0000000..cb8accd
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_6.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@KAPWR @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_decapkapwr_6"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=KAPWR dir=in }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {KAPWR} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_8.sym
new file mode 100644
index 0000000..a37a160
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_decapkapwr_8.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@KAPWR @VGND @VNB @VPB @VPWR @prefix\\\\lpflow_decapkapwr_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 -90 0 -70 0 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=KAPWR dir=in }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {KAPWR} -65 -4 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0n_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0n_1.sym
new file mode 100644
index 0000000..19d0bec
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0n_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP_B @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_inputiso0n_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP_B dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} -5 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP_B} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0p_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0p_1.sym
new file mode 100644
index 0000000..da5d8b9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso0p_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_inputiso0p_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} -5 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1n_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1n_1.sym
new file mode 100644
index 0000000..b8e43af
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1n_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP_B @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_inputiso1n_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP_B dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} -5 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP_B} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1p_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1p_1.sym
new file mode 100644
index 0000000..0f8cf3f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputiso1p_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_inputiso1p_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputisolatch_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputisolatch_1.sym
new file mode 100644
index 0000000..9cfab4a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_inputisolatch_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@D @@SLEEP_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\lpflow_inputisolatch_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP_B dir=in }
+B 5 87.5 -12.5 92.5 -7.5 {name=Q dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP_B} -65 6 0 0 0.2 0.2 {}
+T {Q} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_1.sym
new file mode 100644
index 0000000..aee0f0a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrc_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_16.sym
new file mode 100644
index 0000000..5f4dfb0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_16.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrc_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_2.sym
new file mode 100644
index 0000000..7412ca8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrc_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_4.sym
new file mode 100644
index 0000000..db81bf2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_4.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrc_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_8.sym
new file mode 100644
index 0000000..0b081ba
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrc_8.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrc_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=SLEEP dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {SLEEP} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrckapwr_16.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrckapwr_16.sym
new file mode 100644
index 0000000..694c6ee
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_isobufsrckapwr_16.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@SLEEP @@KAPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_isobufsrckapwr_16"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=A dir=in goto=3 propag=3 }
+B 5 -92.5 -2.5 -87.5 2.5 {name=SLEEP dir=in goto=3 propag=3 }
+B 5 -92.5 17.5 -87.5 22.5 {name=KAPWR dir=in goto=3 propag=3 }
+B 5 87.5 -22.5 92.5 -17.5 {name=X dir=out }
+T {@symname} 0 -56 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+T {A} -65 -24 0 0 0.2 0.2 {}
+T {SLEEP} -65 -4 0 0 0.2 0.2 {}
+T {KAPWR} -65 16 0 0 0.2 0.2 {}
+T {X} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_1.sym
new file mode 100644
index 0000000..a2b8d9d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VPB @@VPWRIN @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_hl_isowell_tap_1"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=VPWRIN dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {VPWRIN} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_2.sym
new file mode 100644
index 0000000..92dd5ea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VPB @@VPWRIN @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_hl_isowell_tap_2"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=VPWRIN dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {VPWRIN} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_4.sym
new file mode 100644
index 0000000..60fbeaf
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_hl_isowell_tap_4.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @VGND @VPB @@VPWRIN @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_hl_isowell_tap_4"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=VPWRIN dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {VPWRIN} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_4.sym
new file mode 100644
index 0000000..b2c1bea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_4.sym
@@ -0,0 +1,20 @@
+K {type=primitive
+format="@name @@A @@LOWLVPWR @VGND @VNB @VPB @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_isowell_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+L 4 -90 -10 -70 -10 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=LOWLVPWR dir=in goto=2 propag=2 }
+L 4 -90 10 -70 10 {}
+T {LOWLVPWR} -65 6 0 0 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+L 4 70 -10 90 -10 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_1.sym
new file mode 100644
index 0000000..dcc3658
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_1.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@LOWLVPWR @VGND @VPB @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_isowell_tap_1"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=LOWLVPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {LOWLVPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_2.sym
new file mode 100644
index 0000000..6823409
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_2.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@LOWLVPWR @VGND @VPB @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_isowell_tap_2"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=LOWLVPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} 0 -41 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {LOWLVPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_4.sym
new file mode 100644
index 0000000..e029558
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/lpflow_lsbuf_lh_isowell_tap_4.sym
@@ -0,0 +1,25 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@LOWLVPWR @VGND @VPB @VPWR @@X @prefix\\\\lpflow_lsbuf_lh_isowell_tap_4"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -20 70 -20 {}
+L 4 -70 20 70 20 {}
+L 4 -70 -20 -70 20 {}
+L 4 70 -20 70 20 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 70 -10 90 -10 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=A dir=in goto=2 propag=2 }
+B 5 -92.5 7.5 -87.5 12.5 {name=LOWLVPWR dir=in goto=2 propag=2 }
+B 5 87.5 -12.5 92.5 -7.5 {name=X dir=out }
+T {@symname} -10 -46 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -32 0 0 0.2 0.2 {}
+T {A} -65 -14 0 0 0.2 0.2 {}
+T {LOWLVPWR} -65 6 0 0 0.2 0.2 {}
+T {X} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/macro_sparecell.sym b/xschem/sub/xschem/symbols/sky130_stdcells/macro_sparecell.sym
new file mode 100644
index 0000000..fdd597c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/macro_sparecell.sym
@@ -0,0 +1,19 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @@LO @prefix\\\\macro_sparecell"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+L 4 70 0 90 0 {}
+B 5 87.5 -2.5 92.5 2.5 {name=LO dir=out }
+T {@symname} 0 -36 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+T {LO} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/maj3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_1.sym
new file mode 100644
index 0000000..7356912
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_1.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\maj3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 0 60 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+T {@symname} 0 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} 45 -62 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {C} -35 36 0 0 0.2 0.2 {}
+T {X} 35 -4 0 1 0.2 0.2 {}
+T {> 1} -10 -10 0 0 0.4 0.4 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/maj3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_2.sym
new file mode 100644
index 0000000..948da70
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_2.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\maj3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 0 60 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+T {@symname} 0 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} 45 -62 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {C} -35 36 0 0 0.2 0.2 {}
+T {X} 35 -4 0 1 0.2 0.2 {}
+T {> 1} -10 -10 0 0 0.4 0.4 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/maj3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_4.sym
new file mode 100644
index 0000000..0b88c79
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/maj3_4.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\maj3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -50 40 -50 {}
+L 4 -40 50 40 50 {}
+L 4 -40 -50 -40 50 {}
+L 4 40 -50 40 50 {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -40 0 {}
+L 4 -60 40 -40 40 {}
+L 4 40 0 60 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+T {@symname} 0 -76 0 0 0.3 0.3 {hcenter=true}
+T {@name} 45 -62 0 0 0.2 0.2 {}
+T {A} -35 -44 0 0 0.2 0.2 {}
+T {B} -35 -4 0 0 0.2 0.2 {}
+T {C} -35 36 0 0 0.2 0.2 {}
+T {X} 35 -4 0 1 0.2 0.2 {}
+T {> 1} -10 -10 0 0 0.4 0.4 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_1.sym
new file mode 100644
index 0000000..4408d8b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_1.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_2.sym
new file mode 100644
index 0000000..774fd15
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_2.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_4.sym
new file mode 100644
index 0000000..a1e0011
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_4.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_8.sym
new file mode 100644
index 0000000..18a548e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2_8.sym
@@ -0,0 +1,29 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux2_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {X} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_1.sym
new file mode 100644
index 0000000..7392359
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_1.sym
@@ -0,0 +1,30 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@Y @prefix\\\\mux2i_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+L 4 27.5 0 40 0 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 22.5 0 5 0 360 {}
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_2.sym
new file mode 100644
index 0000000..3eec83e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_2.sym
@@ -0,0 +1,30 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@Y @prefix\\\\mux2i_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+L 4 27.5 0 40 0 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 22.5 0 5 0 360 {}
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_4.sym
new file mode 100644
index 0000000..16dca01
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux2i_4.sym
@@ -0,0 +1,30 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@S @VGND @VNB @VPB @VPWR @@Y @prefix\\\\mux2i_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 0 60 {}
+L 4 -20 -30 -20 30 {}
+L 4 -20 30 17.5 15 {}
+L 4 17.5 -15 17.5 15 {}
+L 4 -20 -30 17.5 -15 {}
+L 4 0 22.5 0 60 {}
+L 4 27.5 0 40 0 {}
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A0 dir=in goto=3 propag=3 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -42.5 57.5 -37.5 62.5 {name=S dir=in goto=3 propag=3 }
+B 5 37.5 -2.5 42.5 2.5 {name=Y dir=out }
+A 4 22.5 0 5 0 360 {}
+T {A0} -35 -34 0 0 0.2 0.2 {}
+T {A1} -35 6 0 0 0.2 0.2 {}
+T {Y} 35 -14 0 1 0.2 0.2 {}
+T {@name} -18.75 -5 0 0 0.2 0.2 {}
+T {@symname} -15 -45 0 0 0.2 0.2 {}
+T {S} -35 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux4_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_1.sym
new file mode 100644
index 0000000..12668c6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_1.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@A2 @@A3 @@S0 @@S1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux4_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -60 -20 -60 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 100 -10 100 {}
+L 4 -20 -70 -20 70 {}
+L 4 -20 70 17.5 55 {}
+L 4 17.5 -55 17.5 55 {}
+L 4 -20 -70 17.5 -55 {}
+L 4 -10 66.015625 -10 100 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 -20 60 {}
+L 4 -40 130 10 130 {}
+L 4 10 58.125 10 130 {}
+B 5 -42.5 -62.5 -37.5 -57.5 {name=A0 dir=in goto=6 propag=6 }
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A1 dir=in goto=6 propag=6 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A2 dir=in goto=6 propag=6 }
+B 5 -42.5 57.5 -37.5 62.5 {name=A3 dir=in goto=6 propag=6 }
+B 5 -42.5 97.5 -37.5 102.5 {name=S0 dir=in goto=6 propag=6 }
+B 5 -42.5 127.5 -37.5 132.5 {name=S1 dir=in goto=6 propag=6 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -74 0 0 0.2 0.2 {}
+T {A1} -35 -34 0 0 0.2 0.2 {}
+T {X} 35 -24 0 1 0.2 0.2 {}
+T {@name} -18.75 -15 0 0 0.2 0.2 {}
+T {@symname} -15 -85 0 0 0.2 0.2 {}
+T {S0} -35 86 0 0 0.2 0.2 {}
+T {A2} -35 6 0 0 0.2 0.2 {}
+T {A3} -35 46 0 0 0.2 0.2 {}
+T {S1} -35 116 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux4_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_2.sym
new file mode 100644
index 0000000..5468983
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_2.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@A2 @@A3 @@S0 @@S1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux4_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -60 -20 -60 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 100 -10 100 {}
+L 4 -20 -70 -20 70 {}
+L 4 -20 70 17.5 55 {}
+L 4 17.5 -55 17.5 55 {}
+L 4 -20 -70 17.5 -55 {}
+L 4 -10 66.015625 -10 100 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 -20 60 {}
+L 4 -40 130 10 130 {}
+L 4 10 58.125 10 130 {}
+B 5 -42.5 -62.5 -37.5 -57.5 {name=A0 dir=in goto=6 propag=6 }
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A1 dir=in goto=6 propag=6 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A2 dir=in goto=6 propag=6 }
+B 5 -42.5 57.5 -37.5 62.5 {name=A3 dir=in goto=6 propag=6 }
+B 5 -42.5 97.5 -37.5 102.5 {name=S0 dir=in goto=6 propag=6 }
+B 5 -42.5 127.5 -37.5 132.5 {name=S1 dir=in goto=6 propag=6 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -74 0 0 0.2 0.2 {}
+T {A1} -35 -34 0 0 0.2 0.2 {}
+T {X} 35 -24 0 1 0.2 0.2 {}
+T {@name} -18.75 -15 0 0 0.2 0.2 {}
+T {@symname} -15 -85 0 0 0.2 0.2 {}
+T {S0} -35 86 0 0 0.2 0.2 {}
+T {A2} -35 6 0 0 0.2 0.2 {}
+T {A3} -35 46 0 0 0.2 0.2 {}
+T {S1} -35 116 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/mux4_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_4.sym
new file mode 100644
index 0000000..ecb0c69
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/mux4_4.sym
@@ -0,0 +1,39 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A0 @@A1 @@A2 @@A3 @@S0 @@S1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\mux4_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 17.5 0 40 0 {}
+L 4 -40 -60 -20 -60 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 100 -10 100 {}
+L 4 -20 -70 -20 70 {}
+L 4 -20 70 17.5 55 {}
+L 4 17.5 -55 17.5 55 {}
+L 4 -20 -70 17.5 -55 {}
+L 4 -10 66.015625 -10 100 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 60 -20 60 {}
+L 4 -40 130 10 130 {}
+L 4 10 58.125 10 130 {}
+B 5 -42.5 -62.5 -37.5 -57.5 {name=A0 dir=in goto=6 propag=6 }
+B 5 -42.5 -22.5 -37.5 -17.5 {name=A1 dir=in goto=6 propag=6 }
+B 5 -42.5 17.5 -37.5 22.5 {name=A2 dir=in goto=6 propag=6 }
+B 5 -42.5 57.5 -37.5 62.5 {name=A3 dir=in goto=6 propag=6 }
+B 5 -42.5 97.5 -37.5 102.5 {name=S0 dir=in goto=6 propag=6 }
+B 5 -42.5 127.5 -37.5 132.5 {name=S1 dir=in goto=6 propag=6 }
+B 5 37.5 -2.5 42.5 2.5 {name=X dir=out }
+T {A0} -35 -74 0 0 0.2 0.2 {}
+T {A1} -35 -34 0 0 0.2 0.2 {}
+T {X} 35 -24 0 1 0.2 0.2 {}
+T {@name} -18.75 -15 0 0 0.2 0.2 {}
+T {@symname} -15 -85 0 0 0.2 0.2 {}
+T {S0} -35 86 0 0 0.2 0.2 {}
+T {A2} -35 6 0 0 0.2 0.2 {}
+T {A3} -35 46 0 0 0.2 0.2 {}
+T {S1} -35 116 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_1.sym
new file mode 100644
index 0000000..42e1aac
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_1.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 & ~"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_2.sym
new file mode 100644
index 0000000..c57585c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_2.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_4.sym
new file mode 100644
index 0000000..40d0303
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_4.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 & ~"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_8.sym
new file mode 100644
index 0000000..ed1dff0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2_8.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -30 -20 {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_1.sym
new file mode 100644
index 0000000..cee7c2a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -57.5 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_2.sym
new file mode 100644
index 0000000..49b4242
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -57.5 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_4.sym
new file mode 100644
index 0000000..2eda786
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand2b_4.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand2b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 20 -30 20 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 -20 -40 -20 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A_N dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -57.5 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_1.sym
new file mode 100644
index 0000000..7e6e565
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_1.sym
@@ -0,0 +1,35 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 2 & & ~"
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_2.sym
new file mode 100644
index 0000000..f903d80
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_2.sym
@@ -0,0 +1,33 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_4.sym
new file mode 100644
index 0000000..85e0680
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3_4.sym
@@ -0,0 +1,34 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 2 & & ~"
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -30 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_1.sym
new file mode 100644
index 0000000..ab95189
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_1.sym
@@ -0,0 +1,33 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_2.sym
new file mode 100644
index 0000000..dfe92a5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_2.sym
@@ -0,0 +1,33 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_4.sym
new file mode 100644
index 0000000..ec728ea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand3b_4.sym
@@ -0,0 +1,33 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A_N @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand3b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -30 0 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -30 20 {}
+L 4 -40 -40 -40 -20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A_N dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -20 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_1.sym
new file mode 100644
index 0000000..48d9d35
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_1.sym
@@ -0,0 +1,41 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 & & & ~"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_2.sym
new file mode 100644
index 0000000..32066f2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_2.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_4.sym
new file mode 100644
index 0000000..bb2f78c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4_4.sym
@@ -0,0 +1,41 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 & & & ~"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -30 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_1.sym
new file mode 100644
index 0000000..bd27196
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_1.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_2.sym
new file mode 100644
index 0000000..9d11654
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_2.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_4.sym
new file mode 100644
index 0000000..748f03d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4b_4.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -30 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_1.sym
new file mode 100644
index 0000000..222b767
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_1.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4bb_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+A 4 -35 -10 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -65 -74 0 0 0.2 0.2 {}
+T {B_N} -65 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_2.sym
new file mode 100644
index 0000000..4dc3719
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_2.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4bb_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+A 4 -35 -10 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -65 -74 0 0 0.2 0.2 {}
+T {B_N} -65 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_4.sym
new file mode 100644
index 0000000..deb018a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nand4bb_4.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nand4bb_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -60 -40 -60 {}
+L 4 -30 -30 -30 30 {}
+L 4 -30 30 5 30 {}
+L 4 -30 -30 5 -30 {}
+L 4 45 0 60 0 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -30 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -30 25 {}
+L 4 -45 -10 -40 -10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A_N dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B_N dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 5 0 30 270 180 {}
+A 4 40 0 5 0 360 {}
+A 4 -35 -25 5 180 360 {}
+A 4 -35 -10 5 180 360 {}
+T {@name} -28.75 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A_N} -65 -74 0 0 0.2 0.2 {}
+T {B_N} -65 -34 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_1.sym
new file mode 100644
index 0000000..7fcf32c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_1.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_2.sym
new file mode 100644
index 0000000..e0449d6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_4.sym
new file mode 100644
index 0000000..fba9d83
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_4.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 | ~"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_8.sym
new file mode 100644
index 0000000..453ab08
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2_8.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_1.sym
new file mode 100644
index 0000000..d0aa305
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_1.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 ~ | ~"
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -35.3125 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.3125 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_2.sym
new file mode 100644
index 0000000..afcdfd9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_2.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -35.3125 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.3125 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_4.sym
new file mode 100644
index 0000000..9438a90
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor2b_4.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor2b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -35.3125 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.3125 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_1.sym
new file mode 100644
index 0000000..adcc312
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_2.sym
new file mode 100644
index 0000000..99916bb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_2.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_4.sym
new file mode 100644
index 0000000..8622bb4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3_4.sym
@@ -0,0 +1,35 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 2 | | ~"
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_1.sym
new file mode 100644
index 0000000..ff3820c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_1.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C_N} -62.5 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_2.sym
new file mode 100644
index 0000000..555d436
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_2.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C_N} -62.5 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_4.sym
new file mode 100644
index 0000000..69dbd30
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor3b_4.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor3b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -40 -40 {}
+L 4 -60 0 -21.328125 0 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -40 -40 -40 -20 {}
+L 4 -40 -20 -25 -20 {}
+L 4 -60 40 -40 40 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C_N} -62.5 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_1.sym
new file mode 100644
index 0000000..94e4577
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_1.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_2.sym
new file mode 100644
index 0000000..3f28205
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_2.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_4.sym
new file mode 100644
index 0000000..bb01e56
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4_4.sym
@@ -0,0 +1,42 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 | | | ~"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_1.sym
new file mode 100644
index 0000000..656ceef
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_1.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -62.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_2.sym
new file mode 100644
index 0000000..9bb398a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_2.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -62.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_4.sym
new file mode 100644
index 0000000..e881fe7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4b_4.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -62.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_1.sym
new file mode 100644
index 0000000..cdb80d8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_1.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4bb_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_2.sym
new file mode 100644
index 0000000..8b00028
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_2.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4bb_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_4.sym
new file mode 100644
index 0000000..fff37f9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/nor4bb_4.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\nor4bb_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_1.sym
new file mode 100644
index 0000000..824ed63
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_1.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2111a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_2.sym
new file mode 100644
index 0000000..83e59d0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_2.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2111a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_4.sym
new file mode 100644
index 0000000..bb42317
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111a_4.sym
@@ -0,0 +1,46 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2111a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_1.sym
new file mode 100644
index 0000000..c026a78
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_1.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2111ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_2.sym
new file mode 100644
index 0000000..2fb4744
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_2.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2111ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_4.sym
new file mode 100644
index 0000000..4d4056c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2111ai_4.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @@D1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2111ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 40 -20 40 {}
+L 4 -80 0 10 0 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 0 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -20 10 -20 40 {}
+L 4 -20 10 10 10 {}
+L 4 0 20 10 20 {}
+L 4 0 20 0 80 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=D1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428567 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 26 0 0 0.2 0.2 {}
+T {@name} 16.25 -45 0 0 0.2 0.2 {}
+T {@symname} -21.25 -95 0 0 0.2 0.2 {}
+T {D1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_1.sym
new file mode 100644
index 0000000..ecca134
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_1.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o211a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_2.sym
new file mode 100644
index 0000000..80f33d0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_2.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o211a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_4.sym
new file mode 100644
index 0000000..5aff1be
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211a_4.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o211a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_1.sym
new file mode 100644
index 0000000..64980be
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_1.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o211ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_2.sym
new file mode 100644
index 0000000..b47f28b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_2.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o211ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_4.sym
new file mode 100644
index 0000000..29a18d8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o211ai_4.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o211ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -80 60 -10 60 {}
+L 4 0 -20 10 -20 {}
+L 4 0 -40 0 -20 {}
+L 4 -5 -40 0 -40 {}
+L 4 -80 20 -20 20 {}
+L 4 -20 0 -20 20 {}
+L 4 -10 20 -10 60 {}
+L 4 -10 20 10 20 {}
+L 4 67.5 0 80 0 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -20 0 10 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=C1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 62.5 0 5 0 360 {}
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {C1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 6.25 -45 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_1.sym
new file mode 100644
index 0000000..c653f50
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_1.sym
@@ -0,0 +1,36 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_2.sym
new file mode 100644
index 0000000..7dd1a32
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_2.sym
@@ -0,0 +1,36 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_4.sym
new file mode 100644
index 0000000..cd8208b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21a_4.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 | 2 &"
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_0.sym
new file mode 100644
index 0000000..90e0d59
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_0.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21ai_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_1.sym
new file mode 100644
index 0000000..7d36a2d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_1.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 | 2 & ~"
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_2.sym
new file mode 100644
index 0000000..80ff7a2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_2.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_4.sym
new file mode 100644
index 0000000..81a0ad4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ai_4.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 | 2 & ~"
+format="@name @@A1 @@A2 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 10 20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285722 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_1.sym
new file mode 100644
index 0000000..689e0b7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_1.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21ba_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+L 4 -17.5 20 0 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_2.sym
new file mode 100644
index 0000000..2462780
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_2.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21ba_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+L 4 -17.5 20 0 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_4.sym
new file mode 100644
index 0000000..f0d06bb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21ba_4.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\o21ba_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 57.5 0 80 0 {}
+L 4 -17.5 20 0 20 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_1.sym
new file mode 100644
index 0000000..faaf9e1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_1.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21bai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 -17.5 20 0 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_2.sym
new file mode 100644
index 0000000..c0630bb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_2.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21bai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 -17.5 20 0 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_4.sym
new file mode 100644
index 0000000..9fdd4a0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o21bai_4.sym
@@ -0,0 +1,38 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1_N @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o21bai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -57.5 -50 -50 -50 {}
+L 4 -57.5 10 -50 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -5 -20 10 -20 {}
+L 4 -17.5 20 -17.5 40 {}
+L 4 -80 40 -17.5 40 {}
+L 4 -17.5 20 0 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A1 dir=in goto=3 propag=3 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A2 dir=in goto=3 propag=3 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1_N dir=in goto=3 propag=3 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 5 20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {A1} -75 -54 0 0 0.2 0.2 {}
+T {A2} -75 -14 0 0 0.2 0.2 {}
+T {B1_N} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_1.sym
new file mode 100644
index 0000000..aac0b9e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_1.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o221a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285722 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_2.sym
new file mode 100644
index 0000000..9a13470
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_2.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o221a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285722 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_4.sym
new file mode 100644
index 0000000..6ddcbd4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221a_4.sym
@@ -0,0 +1,52 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o221a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285722 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_1.sym
new file mode 100644
index 0000000..65179ba
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_1.sym
@@ -0,0 +1,53 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o221ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285694 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_2.sym
new file mode 100644
index 0000000..94f0b3e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_2.sym
@@ -0,0 +1,53 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o221ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285694 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_4.sym
new file mode 100644
index 0000000..d24414e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o221ai_4.sym
@@ -0,0 +1,53 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o221ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -52.5 -80 {}
+L 4 -80 -40 -52.5 -40 {}
+L 4 -57.5 -90 -50 -90 {}
+L 4 -57.5 -30 -50 -30 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 0 -52.5 0 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 -57.5 50 -50 50 {}
+L 4 -80 80 5 80 {}
+L 4 -5 -60 0 -60 {}
+L 4 0 -60 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 5 20 5 80 {}
+L 4 5 20 10 20 {}
+L 4 -5 20 -2.5 20 {}
+L 4 -2.5 0 -2.5 20 {}
+L 4 -2.5 0 9.921875 0 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -34.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -85.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 45.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -5.714285714285694 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 -14 0 0 0.2 0.2 {}
+T {B2} -75 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -85 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_1.sym
new file mode 100644
index 0000000..0f0ddf4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_1.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o22a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_2.sym
new file mode 100644
index 0000000..a2fe150
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_2.sym
@@ -0,0 +1,47 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o22a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_4.sym
new file mode 100644
index 0000000..5aa43bb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22a_4.sym
@@ -0,0 +1,48 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 | 2 3 | &"
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o22a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_1.sym
new file mode 100644
index 0000000..5daa769
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_1.sym
@@ -0,0 +1,48 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o22ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_2.sym
new file mode 100644
index 0000000..a39a8c7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_2.sym
@@ -0,0 +1,48 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o22ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_4.sym
new file mode 100644
index 0000000..6cddec4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o22ai_4.sym
@@ -0,0 +1,48 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o22ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -52.5 -60 {}
+L 4 -80 -20 -52.5 -20 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571428 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571428 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_1.sym
new file mode 100644
index 0000000..d8074f9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_1.sym
@@ -0,0 +1,49 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2bb2a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -20 -62.890625 -20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_2.sym
new file mode 100644
index 0000000..4fc5f9e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_2.sym
@@ -0,0 +1,49 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2bb2a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -20 -62.890625 -20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_4.sym
new file mode 100644
index 0000000..839f64c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2a_4.sym
@@ -0,0 +1,49 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o2bb2a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -20 -62.890625 -20 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_1.sym
new file mode 100644
index 0000000..75eb5f5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2bb2ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -20 -62.890625 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_2.sym
new file mode 100644
index 0000000..dde52d0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2bb2ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -20 -62.890625 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_4.sym
new file mode 100644
index 0000000..ec8ec1e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o2bb2ai_4.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1_N @@A2_N @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o2bb2ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -60 -62.890625 -60 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 20 -52.5 20 {}
+L 4 -80 60 -52.5 60 {}
+L 4 -57.5 10 -50 10 {}
+L 4 -57.5 70 -50 70 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -5 40 0 40 {}
+L 4 0 20 0 40 {}
+L 4 0 20 10 20 {}
+L 4 -80 -20 -62.890625 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1_N dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2_N dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B2 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 65.71428571428572 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 14.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -57.890625 -60 5 0 360 {}
+A 4 -57.890625 -20 5 0 360 {}
+A 4 62.5 0 5 0 360 {}
+T {A1_N} -85 -74 0 0 0.2 0.2 {}
+T {A2_N} -85 -34 0 0 0.2 0.2 {}
+T {B1} -75 6 0 0 0.2 0.2 {}
+T {B2} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_1.sym
new file mode 100644
index 0000000..dc61214
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o311a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_2.sym
new file mode 100644
index 0000000..6c13c64
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o311a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_4.sym
new file mode 100644
index 0000000..add6319
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311a_4.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o311a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_0.sym
new file mode 100644
index 0000000..7c147a8
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_0.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o311ai_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_1.sym
new file mode 100644
index 0000000..4ce2a1a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o311ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_2.sym
new file mode 100644
index 0000000..b95fbd7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o311ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_4.sym
new file mode 100644
index 0000000..737bdbf
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o311ai_4.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@C1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o311ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -70 -45 -70 {}
+L 4 -52.5 -10 -45 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -43.828125 -40 {}
+L 4 -80 80 -10 80 {}
+L 4 -80 40 -20 40 {}
+L 4 -20 0 -20 40 {}
+L 4 -10 20 -10 80 {}
+L 4 -10 20 10 20 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -47.5 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -47.5 -60 {}
+L 4 -20 0 10 0 {}
+L 4 0 -40 5 -40 {}
+L 4 5 -20 10 -20 {}
+L 4 5 -40 5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=C1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -65.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
+T {C1} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_1.sym
new file mode 100644
index 0000000..6239c23
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_1.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o31a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_2.sym
new file mode 100644
index 0000000..ddde138
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_2.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o31a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_4.sym
new file mode 100644
index 0000000..004db5e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31a_4.sym
@@ -0,0 +1,43 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o31a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_1.sym
new file mode 100644
index 0000000..3c28c83
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_1.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o31ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_2.sym
new file mode 100644
index 0000000..becc322
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_2.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o31ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_4.sym
new file mode 100644
index 0000000..d48e220
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o31ai_4.sym
@@ -0,0 +1,44 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o31ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 0 -20 10 -20 {}
+L 4 -80 -60 -60 -60 {}
+L 4 -80 -20 -43.828125 -20 {}
+L 4 -80 60 -20 60 {}
+L 4 -20 20 -20 60 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 0 -60 20 {}
+L 4 -60 0 -47.5 0 {}
+L 4 -60 -60 -60 -40 {}
+L 4 -60 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -62.5 -77.5 -57.5 {name=A1 dir=in goto=4 propag=4 }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=A2 dir=in goto=4 propag=4 }
+B 5 -82.5 17.5 -77.5 22.5 {name=A3 dir=in goto=4 propag=4 }
+B 5 -82.5 57.5 -77.5 62.5 {name=B1 dir=in goto=4 propag=4 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.714285714285694 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -74 0 0 0.2 0.2 {}
+T {A2} -75 -34 0 0 0.2 0.2 {}
+T {B1} -75 46 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -55 0 0 0.2 0.2 {}
+T {A3} -75 6 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_1.sym
new file mode 100644
index 0000000..b0b06f6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_1.sym
@@ -0,0 +1,54 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o32a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 57.5 0 80 0 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_2.sym
new file mode 100644
index 0000000..46624d6
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_2.sym
@@ -0,0 +1,54 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o32a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 57.5 0 80 0 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_4.sym
new file mode 100644
index 0000000..cad527f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32a_4.sym
@@ -0,0 +1,55 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 | | 3 4 | &"
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o32a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 57.5 0 80 0 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_1.sym
new file mode 100644
index 0000000..9b527b1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_1.sym
@@ -0,0 +1,55 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o32ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_2.sym
new file mode 100644
index 0000000..812f373
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_2.sym
@@ -0,0 +1,55 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o32ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_4.sym
new file mode 100644
index 0000000..aab13a5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o32ai_4.sym
@@ -0,0 +1,56 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 | | 3 4 | & ~"
+format="@name @@A1 @@A2 @@A3 @@B1 @@B2 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o32ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -80 -80 -60 -80 {}
+L 4 -80 -40 -48.75 -40 {}
+L 4 -57.5 -70 -50 -70 {}
+L 4 -57.5 -10 -50 -10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 40 -52.5 40 {}
+L 4 -80 80 -52.5 80 {}
+L 4 -57.5 30 -50 30 {}
+L 4 -57.5 90 -50 90 {}
+L 4 -5 60 0 60 {}
+L 4 0 20 0 60 {}
+L 4 0 20 10 20 {}
+L 4 -5 -40 0 -40 {}
+L 4 0 -40 0 -20 {}
+L 4 0 -20 10 -20 {}
+L 4 -60 -80 -60 -60 {}
+L 4 -60 -60 -52.5 -60 {}
+L 4 -80 0 -60 0 {}
+L 4 -60 -20 -60 0 {}
+L 4 -60 -20 -52.5 -20 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B2 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -105 -40 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -54.64285714285714 -14.28571428571431 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 -65.71428571428572 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 -105 60 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -54.64285714285714 85.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -54.64285714285714 34.28571428571431 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -75 -94 0 0 0.2 0.2 {}
+T {A2} -75 -54 0 0 0.2 0.2 {}
+T {B1} -75 26 0 0 0.2 0.2 {}
+T {B2} -75 66 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -11.25 -65 0 0 0.2 0.2 {}
+T {A3} -75 -14 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41a_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_1.sym
new file mode 100644
index 0000000..bf18995
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_1.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o41a_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41a_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_2.sym
new file mode 100644
index 0000000..0475123
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_2.sym
@@ -0,0 +1,50 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o41a_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41a_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_4.sym
new file mode 100644
index 0000000..624894d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41a_4.sym
@@ -0,0 +1,51 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 3 | | | 4 &"
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@X @prefix\\\\o41a_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 57.5 0 80 0 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=X dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {X} 75 -14 0 1 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_1.sym
new file mode 100644
index 0000000..17ff863
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_1.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o41ai_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_2.sym
new file mode 100644
index 0000000..7025ed5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_2.sym
@@ -0,0 +1,51 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o41ai_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_4.sym
new file mode 100644
index 0000000..d5a402e
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/o41ai_4.sym
@@ -0,0 +1,52 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function5="0 1 2 3 | | | 4 & ~"
+format="@name @@A1 @@A2 @@A3 @@A4 @@B1 @VGND @VNB @VPB @VPWR @@Y @prefix\\\\o41ai_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -52.5 -50 -45 -50 {}
+L 4 -52.5 10 -45 10 {}
+L 4 10 -30 27.5 -30 {}
+L 4 10 -30 10 30 {}
+L 4 10 30 27.5 30 {}
+L 4 -80 80 -20 80 {}
+L 4 -20 20 -20 80 {}
+L 4 -20 20 10.15625 20 {}
+L 4 -80 0 -65 0 {}
+L 4 -80 40 -57.5 40 {}
+L 4 -57.5 0 -57.5 40 {}
+L 4 -57.5 0 -47.5 0 {}
+L 4 0 -20 10 -20 {}
+L 4 -65 -12.5 -65 -0 {}
+L 4 -65 -12.5 -44.375 -12.5 {}
+L 4 -80 -40 -65 -40 {}
+L 4 -65 -40 -65 -27.5 {}
+L 4 -65 -27.5 -44.375 -27.5 {}
+L 4 -80 -80 -57.5 -80 {}
+L 4 -57.5 -80 -57.5 -40 {}
+L 4 -57.5 -40 -47.5 -40 {}
+L 4 67.5 0 80 0 {}
+B 5 -82.5 -82.5 -77.5 -77.5 {name=A1 dir=in goto=5 propag=5 }
+B 5 -82.5 -42.5 -77.5 -37.5 {name=A2 dir=in goto=5 propag=5 }
+B 5 -82.5 -2.5 -77.5 2.5 {name=A3 dir=in goto=5 propag=5 }
+B 5 -82.5 37.5 -77.5 42.5 {name=A4 dir=in goto=5 propag=5 }
+B 5 -82.5 77.5 -77.5 82.5 {name=B1 dir=in goto=5 propag=5 }
+B 5 77.5 -2.5 82.5 2.5 {name=Y dir=out }
+A 4 -100 -20 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 27.5 0 30 270 180 {}
+A 4 -49.64285714285714 5.71428571428569 55.90740340153566 27.38350663876661 57.85285167050722 {}
+A 4 -49.64285714285714 -45.71428571428569 55.90740340153566 274.7636416907262 57.85285167050722 {}
+A 4 62.5 0 5 0 360 {}
+T {A1} -80 -94 0 0 0.2 0.2 {}
+T {A2} -80 -54 0 0 0.2 0.2 {}
+T {B1} -80 66 0 0 0.2 0.2 {}
+T {@name} 15 -5 0 0 0.2 0.2 {}
+T {@symname} -6.25 -65 0 0 0.2 0.2 {}
+T {A3} -80 -14 0 0 0.2 0.2 {}
+T {A4} -80 26 0 0 0.2 0.2 {}
+T {Y} 75 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2_0.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2_0.sym
new file mode 100644
index 0000000..cc7b11c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2_0.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2_0"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2_1.sym
new file mode 100644
index 0000000..60504df
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2_1.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2_2.sym
new file mode 100644
index 0000000..f464cff
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2_2.sym
@@ -0,0 +1,26 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2_4.sym
new file mode 100644
index 0000000..4aacc88
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2_4.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 |"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -25 -20 {}
+L 4 -60 20 -25 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_1.sym
new file mode 100644
index 0000000..5ed5b77
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_1.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35.390625 -20 {}
+L 4 -60 20 -35.390625 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 -20 5 0 360 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_2.sym
new file mode 100644
index 0000000..bbe972a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_2.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35.390625 -20 {}
+L 4 -60 20 -35.390625 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 -20 5 0 360 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or2b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_4.sym
new file mode 100644
index 0000000..b7d132c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or2b_4.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or2b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35.390625 -20 {}
+L 4 -60 20 -35.390625 20 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B_N dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 -20 5 0 360 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B_N} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3_1.sym
new file mode 100644
index 0000000..97526cb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3_1.sym
@@ -0,0 +1,34 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+function3="0 1 2 | |"}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -35 40 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -35 20 -35 40 {}
+L 4 -35 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3_2.sym
new file mode 100644
index 0000000..25eae26
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3_2.sym
@@ -0,0 +1,33 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -35 40 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -35 20 -35 40 {}
+L 4 -35 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3_4.sym
new file mode 100644
index 0000000..221d801
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3_4.sym
@@ -0,0 +1,34 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function3="0 1 2 | |"
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -60 40 -35 40 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -35 20 -35 40 {}
+L 4 -35 20 -25 20 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_1.sym
new file mode 100644
index 0000000..af0f9a7
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+L 4 -60 40 -40 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C_N} -65 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_2.sym
new file mode 100644
index 0000000..40b5417
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_2.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+L 4 -60 40 -40 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C_N} -65 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or3b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_4.sym
new file mode 100644
index 0000000..120ee8f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or3b_4.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or3b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -35 -40 {}
+L 4 -60 0 -21.40625 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 35 0 60 0 {}
+L 4 -35 -40 -35 -20 {}
+L 4 -35 -20 -25 -20 {}
+L 4 -40 20 -40 40 {}
+L 4 -40 20 -35.390625 20 {}
+L 4 -60 40 -40 40 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=3 propag=3 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=3 propag=3 }
+B 5 -62.5 37.5 -57.5 42.5 {name=C_N dir=in goto=3 propag=3 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -30.390625 20 5 0 360 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {C_N} -65 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4_1.sym
new file mode 100644
index 0000000..dca99ea
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4_1.sym
@@ -0,0 +1,41 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 | | |"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4_2.sym
new file mode 100644
index 0000000..3f7623b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4_2.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4_4.sym
new file mode 100644
index 0000000..fe930ca
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4_4.sym
@@ -0,0 +1,41 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function4="0 1 2 3 | | |"
+format="@name @@A @@B @@C @@D @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -27.5 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4b_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_1.sym
new file mode 100644
index 0000000..30bf860
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_1.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4b_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4b_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_2.sym
new file mode 100644
index 0000000..974e5c5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_2.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4b_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4b_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_4.sym
new file mode 100644
index 0000000..1a389ae
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4b_4.sym
@@ -0,0 +1,41 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4b_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -45 10 -22.1875 10 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C} -55 6 0 0 0.2 0.2 {}
+T {D_N} -55 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_1.sym
new file mode 100644
index 0000000..aad33c0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_1.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4bb_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_2.sym
new file mode 100644
index 0000000..32b9f06
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_2.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4bb_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_4.sym
new file mode 100644
index 0000000..571f704
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/or4bb_4.sym
@@ -0,0 +1,42 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C_N @@D_N @VGND @VNB @VPB @VPWR @@X @prefix\\\\or4bb_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 -60 -40 -60 {}
+L 4 -60 20 -45 20 {}
+L 4 -45 10 -45 20 {}
+L 4 -40 -60 -40 -25 {}
+L 4 -40 -25 -27.5 -25 {}
+L 4 -60 -20 -45 -20 {}
+L 4 -45 -20 -45 -10 {}
+L 4 -45 -10 -22.1875 -10 {}
+L 4 -60 60 -40 60 {}
+L 4 -40 25 -40 60 {}
+L 4 -40 25 -37.8125 25 {}
+L 4 -45 10 -32.1875 10 {}
+B 5 -62.5 -62.5 -57.5 -57.5 {name=A dir=in goto=4 propag=4 }
+B 5 -62.5 -22.5 -57.5 -17.5 {name=B dir=in goto=4 propag=4 }
+B 5 -62.5 17.5 -57.5 22.5 {name=C_N dir=in goto=4 propag=4 }
+B 5 -62.5 57.5 -57.5 62.5 {name=D_N dir=in goto=4 propag=4 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571431 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571431 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -32.8125 25 5 0 360 {}
+A 4 -27.1875 10 5 0 360 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {A} -55 -74 0 0 0.2 0.2 {}
+T {B} -55 -34 0 0 0.2 0.2 {}
+T {C_N} -67.5 6 0 0 0.2 0.2 {}
+T {D_N} -67.5 46 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/probe_p_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/probe_p_8.sym
new file mode 100644
index 0000000..6973bae
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/probe_p_8.sym
@@ -0,0 +1,17 @@
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\probe_p_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=A dir=in goto=1 propag=1 }
+L 4 -90 0 -70 0 {}
+T {A} -65 -4 0 0 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=X dir=out }
+L 4 70 0 90 0 {}
+T {X} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/probec_p_8.sym b/xschem/sub/xschem/symbols/sky130_stdcells/probec_p_8.sym
new file mode 100644
index 0000000..fa294f4
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/probec_p_8.sym
@@ -0,0 +1,17 @@
+K {type=primitive
+format="@name @@A @VGND @VNB @VPB @VPWR @@X @prefix\\\\probec_p_8"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=A dir=in goto=1 propag=1 }
+L 4 -90 0 -70 0 {}
+T {A} -65 -4 0 0 0.2 0.2 {}
+B 5 87.5 -2.5 92.5 2.5 {name=X dir=out }
+L 4 70 0 90 0 {}
+T {X} 65 -4 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_1.sym
new file mode 100644
index 0000000..4c68716
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_1.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfbbn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -60 70 -60 {}
+L 4 -70 60 70 60 {}
+L 4 -70 -60 -70 60 {}
+L 4 70 -60 70 60 {}
+L 4 -90 -50 -70 -50 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 -90 50 -70 50 {}
+L 4 70 -50 90 -50 {}
+L 4 70 -30 90 -30 {}
+B 5 -92.5 -52.5 -87.5 -47.5 {name=CLK_N dir=in }
+B 5 -92.5 -32.5 -87.5 -27.5 {name=D dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=RESET_B dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+B 5 -92.5 47.5 -87.5 52.5 {name=SET_B dir=in }
+B 5 87.5 -52.5 92.5 -47.5 {name=Q dir=out }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q_N dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -72 0 0 0.2 0.2 {}
+T {CLK_N} -65 -54 0 0 0.2 0.2 {}
+T {D} -65 -34 0 0 0.2 0.2 {}
+T {RESET_B} -65 -14 0 0 0.2 0.2 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+T {SET_B} -65 46 0 0 0.2 0.2 {}
+T {Q} 65 -54 0 1 0.2 0.2 {}
+T {Q_N} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_2.sym
new file mode 100644
index 0000000..29a67e9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbn_2.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfbbn_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -60 70 -60 {}
+L 4 -70 60 70 60 {}
+L 4 -70 -60 -70 60 {}
+L 4 70 -60 70 60 {}
+L 4 -90 -50 -70 -50 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 -90 50 -70 50 {}
+L 4 70 -50 90 -50 {}
+L 4 70 -30 90 -30 {}
+B 5 -92.5 -52.5 -87.5 -47.5 {name=CLK_N dir=in }
+B 5 -92.5 -32.5 -87.5 -27.5 {name=D dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=RESET_B dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+B 5 -92.5 47.5 -87.5 52.5 {name=SET_B dir=in }
+B 5 87.5 -52.5 92.5 -47.5 {name=Q dir=out }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q_N dir=out }
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -72 0 0 0.2 0.2 {}
+T {CLK_N} -65 -54 0 0 0.2 0.2 {}
+T {D} -65 -34 0 0 0.2 0.2 {}
+T {RESET_B} -65 -14 0 0 0.2 0.2 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+T {SET_B} -65 46 0 0 0.2 0.2 {}
+T {Q} 65 -54 0 1 0.2 0.2 {}
+T {Q_N} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbp_1.sym
new file mode 100644
index 0000000..89cbd89
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfbbp_1.sym
@@ -0,0 +1,40 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfbbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -60 70 -60 {}
+L 4 -70 60 70 60 {}
+L 4 -70 -60 -70 60 {}
+L 4 70 -60 70 60 {}
+L 4 -90 -50 -70 -50 {}
+L 4 -90 -30 -70 -30 {}
+L 4 -90 -10 -70 -10 {}
+L 4 -90 10 -70 10 {}
+L 4 -90 30 -70 30 {}
+L 4 -90 50 -70 50 {}
+L 4 70 -50 90 -50 {}
+L 4 70 -30 90 -30 {}
+B 5 -92.5 -52.5 -87.5 -47.5 {name=CLK dir=in }
+B 5 -92.5 -32.5 -87.5 -27.5 {name=D dir=in }
+B 5 -92.5 -12.5 -87.5 -7.5 {name=RESET_B dir=in }
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+B 5 -92.5 47.5 -87.5 52.5 {name=SET_B dir=in }
+B 5 87.5 -52.5 92.5 -47.5 {name=Q dir=out }
+B 5 87.5 -32.5 92.5 -27.5 {name=Q_N dir=out }
+T {@symname} 5 9 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -72 0 0 0.2 0.2 {}
+T {CLK} -65 -54 0 0 0.2 0.2 {}
+T {D} -65 -34 0 0 0.2 0.2 {}
+T {RESET_B} -65 -14 0 0 0.2 0.2 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+T {SET_B} -65 46 0 0 0.2 0.2 {}
+T {Q} 65 -54 0 1 0.2 0.2 {}
+T {Q_N} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_1.sym
new file mode 100644
index 0000000..ddfe519
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_1.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfrbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+T {@symname} 5 14 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_2.sym
new file mode 100644
index 0000000..d0d121f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrbp_2.sym
@@ -0,0 +1,37 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfrbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+L 4 70 -20 90 -20 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+T {@symname} 5 14 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtn_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtn_1.sym
new file mode 100644
index 0000000..6f4ab39
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtn_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK_N @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfrtn_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK_N dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+T {@symname} 10 9 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK_N} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_1.sym
new file mode 100644
index 0000000..3d5fe97
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfrtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+T {@symname} 5 14 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_2.sym
new file mode 100644
index 0000000..cbcae2a
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_2.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfrtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+T {@symname} 5 14 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_4.sym
new file mode 100644
index 0000000..02c9654
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfrtp_4.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@CLK @@D @@RESET_B @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfrtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+V {}
+S {}
+E {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+L 4 -90 -40 -70 -40 {}
+L 4 -90 -20 -70 -20 {}
+L 4 -90 0 -70 0 {}
+L 4 -90 20 -70 20 {}
+L 4 -90 40 -70 40 {}
+L 4 70 -40 90 -40 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+B 5 -92.5 -2.5 -87.5 2.5 {name=RESET_B dir=in }
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+T {@symname} 5 14 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+T {RESET_B} -65 -4 0 0 0.2 0.2 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_1.sym
new file mode 100644
index 0000000..9e8f91f
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_1.sym
@@ -0,0 +1,32 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfsbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SCD dir=in }
+L 4 -90 0 -70 0 {}
+T {SCD} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SET_B dir=in }
+L 4 -90 40 -70 40 {}
+T {SET_B} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+L 4 70 -20 90 -20 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_2.sym
new file mode 100644
index 0000000..9f2d7d9
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfsbp_2.sym
@@ -0,0 +1,32 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfsbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SCD dir=in }
+L 4 -90 0 -70 0 {}
+T {SCD} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SET_B dir=in }
+L 4 -90 40 -70 40 {}
+T {SET_B} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+L 4 70 -20 90 -20 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_1.sym
new file mode 100644
index 0000000..b801fc1
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_1.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfstp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SCD dir=in }
+L 4 -90 0 -70 0 {}
+T {SCD} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SET_B dir=in }
+L 4 -90 40 -70 40 {}
+T {SET_B} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_2.sym
new file mode 100644
index 0000000..fab9850
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_2.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfstp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SCD dir=in }
+L 4 -90 0 -70 0 {}
+T {SCD} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SET_B dir=in }
+L 4 -90 40 -70 40 {}
+T {SET_B} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_4.sym
new file mode 100644
index 0000000..0117e07
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfstp_4.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @@SET_B @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfstp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=SCD dir=in }
+L 4 -90 0 -70 0 {}
+T {SCD} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SET_B dir=in }
+L 4 -90 40 -70 40 {}
+T {SET_B} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_1.sym
new file mode 100644
index 0000000..babe56b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_1.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfxbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+L 4 -90 -30 -70 -30 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+L 4 -90 10 -70 10 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+L 4 -90 30 -70 30 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+L 4 70 -30 90 -30 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q_N dir=out }
+L 4 70 -10 90 -10 {}
+T {Q_N} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_2.sym
new file mode 100644
index 0000000..751e676
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxbp_2.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sdfxbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+L 4 -90 -30 -70 -30 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+L 4 -90 10 -70 10 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+L 4 -90 30 -70 30 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+L 4 70 -30 90 -30 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
+B 5 87.5 -12.5 92.5 -7.5 {name=Q_N dir=out }
+L 4 70 -10 90 -10 {}
+T {Q_N} 65 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_1.sym
new file mode 100644
index 0000000..ea41dca
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_1.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfxtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+L 4 -90 -30 -70 -30 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+L 4 -90 10 -70 10 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+L 4 -90 30 -70 30 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+L 4 70 -30 90 -30 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_2.sym
new file mode 100644
index 0000000..a1143ee
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_2.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfxtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+L 4 -90 -30 -70 -30 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+L 4 -90 10 -70 10 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+L 4 -90 30 -70 30 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+L 4 70 -30 90 -30 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_4.sym
new file mode 100644
index 0000000..b5415de
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdfxtp_4.sym
@@ -0,0 +1,26 @@
+K {type=primitive
+format="@name @@CLK @@D @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sdfxtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -52 0 0 0.2 0.2 {}
+L 4 -70 -40 70 -40 {}
+L 4 -70 40 70 40 {}
+L 4 -70 -40 -70 40 {}
+L 4 70 -40 70 40 {}
+B 5 -92.5 -32.5 -87.5 -27.5 {name=CLK dir=in }
+L 4 -90 -30 -70 -30 {}
+T {CLK} -65 -34 0 0 0.2 0.2 {}
+B 5 -92.5 -12.5 -87.5 -7.5 {name=D dir=in }
+L 4 -90 -10 -70 -10 {}
+T {D} -65 -14 0 0 0.2 0.2 {}
+B 5 -92.5 7.5 -87.5 12.5 {name=SCD dir=in }
+L 4 -90 10 -70 10 {}
+T {SCD} -65 6 0 0 0.2 0.2 {}
+B 5 -92.5 27.5 -87.5 32.5 {name=SCE dir=in }
+L 4 -90 30 -70 30 {}
+T {SCE} -65 26 0 0 0.2 0.2 {}
+B 5 87.5 -32.5 92.5 -27.5 {name=Q dir=out }
+L 4 70 -30 90 -30 {}
+T {Q} 65 -34 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_1.sym
new file mode 100644
index 0000000..b2b3feb
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_1.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@GATE @@SCE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\sdlclkp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=GCLK dir=out }
+L 4 70 -20 90 -20 {}
+T {GCLK} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_2.sym
new file mode 100644
index 0000000..70c6586
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_2.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@GATE @@SCE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\sdlclkp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=GCLK dir=out }
+L 4 70 -20 90 -20 {}
+T {GCLK} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_4.sym
new file mode 100644
index 0000000..3c47264
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sdlclkp_4.sym
@@ -0,0 +1,23 @@
+K {type=primitive
+format="@name @@CLK @@GATE @@SCE @VGND @VNB @VPB @VPWR @@GCLK @prefix\\\\sdlclkp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -42 0 0 0.2 0.2 {}
+L 4 -70 -30 70 -30 {}
+L 4 -70 30 70 30 {}
+L 4 -70 -30 -70 30 {}
+L 4 70 -30 70 30 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=CLK dir=in }
+L 4 -90 -20 -70 -20 {}
+T {CLK} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=GATE dir=in }
+L 4 -90 0 -70 0 {}
+T {GATE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCE dir=in }
+L 4 -90 20 -70 20 {}
+T {SCE} -65 16 0 0 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=GCLK dir=out }
+L 4 70 -20 90 -20 {}
+T {GCLK} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_1.sym
new file mode 100644
index 0000000..5926de0
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_1.sym
@@ -0,0 +1,32 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sedfxbp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DE dir=in }
+L 4 -90 0 -70 0 {}
+T {DE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+L 4 -90 20 -70 20 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+L 4 -90 40 -70 40 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+L 4 70 -20 90 -20 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_2.sym
new file mode 100644
index 0000000..4bddcbc
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxbp_2.sym
@@ -0,0 +1,32 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @@Q_N @prefix\\\\sedfxbp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DE dir=in }
+L 4 -90 0 -70 0 {}
+T {DE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+L 4 -90 20 -70 20 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+L 4 -90 40 -70 40 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
+B 5 87.5 -22.5 92.5 -17.5 {name=Q_N dir=out }
+L 4 70 -20 90 -20 {}
+T {Q_N} 65 -24 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_1.sym
new file mode 100644
index 0000000..347e29c
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_1.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sedfxtp_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DE dir=in }
+L 4 -90 0 -70 0 {}
+T {DE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+L 4 -90 20 -70 20 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+L 4 -90 40 -70 40 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_2.sym
new file mode 100644
index 0000000..e4d4e46
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_2.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sedfxtp_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DE dir=in }
+L 4 -90 0 -70 0 {}
+T {DE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+L 4 -90 20 -70 20 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+L 4 -90 40 -70 40 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_4.sym
new file mode 100644
index 0000000..e9e0184
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/sedfxtp_4.sym
@@ -0,0 +1,29 @@
+K {type=primitive
+format="@name @@CLK @@D @@DE @@SCD @@SCE @VGND @VNB @VPB @VPWR @@Q @prefix\\\\sedfxtp_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -62 0 0 0.2 0.2 {}
+L 4 -70 -50 70 -50 {}
+L 4 -70 50 70 50 {}
+L 4 -70 -50 -70 50 {}
+L 4 70 -50 70 50 {}
+B 5 -92.5 -42.5 -87.5 -37.5 {name=CLK dir=in }
+L 4 -90 -40 -70 -40 {}
+T {CLK} -65 -44 0 0 0.2 0.2 {}
+B 5 -92.5 -22.5 -87.5 -17.5 {name=D dir=in }
+L 4 -90 -20 -70 -20 {}
+T {D} -65 -24 0 0 0.2 0.2 {}
+B 5 -92.5 -2.5 -87.5 2.5 {name=DE dir=in }
+L 4 -90 0 -70 0 {}
+T {DE} -65 -4 0 0 0.2 0.2 {}
+B 5 -92.5 17.5 -87.5 22.5 {name=SCD dir=in }
+L 4 -90 20 -70 20 {}
+T {SCD} -65 16 0 0 0.2 0.2 {}
+B 5 -92.5 37.5 -87.5 42.5 {name=SCE dir=in }
+L 4 -90 40 -70 40 {}
+T {SCE} -65 36 0 0 0.2 0.2 {}
+B 5 87.5 -42.5 92.5 -37.5 {name=Q dir=out }
+L 4 70 -40 90 -40 {}
+T {Q} 65 -44 0 1 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/tap_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/tap_1.sym
new file mode 100644
index 0000000..3010232
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/tap_1.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\tap_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/tap_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/tap_2.sym
new file mode 100644
index 0000000..b7e5755
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/tap_2.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VNB @VPB @VPWR @prefix\\\\tap_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd2_1.sym
new file mode 100644
index 0000000..60e15a2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd2_1.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VPB @VPWR @prefix\\\\tapvgnd2_1"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd_1.sym
new file mode 100644
index 0000000..41e7c37
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/tapvgnd_1.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VPB @VPWR @prefix\\\\tapvgnd_1"
+template="name=x1 VGND=VGND VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPB VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/tapvpwrvgnd_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/tapvpwrvgnd_1.sym
new file mode 100644
index 0000000..69d1b0b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/tapvpwrvgnd_1.sym
@@ -0,0 +1,11 @@
+K {type=primitive
+format="@name @VGND @VPWR @prefix\\\\tapvpwrvgnd_1"
+template="name=x1 VGND=VGND VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VPWR prefix"
+highlight=true}
+T {@symname} 0 -6 0 0 0.3 0.3 {hcenter=true}
+T {@name} 75 -22 0 0 0.2 0.2 {}
+L 4 -70 -10 70 -10 {}
+L 4 -70 10 70 10 {}
+L 4 -70 -10 -70 10 {}
+L 4 70 -10 70 10 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_1.sym
new file mode 100644
index 0000000..afb7fee
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_1.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\xnor2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_2.sym
new file mode 100644
index 0000000..c4e3cc5
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_2.sym
@@ -0,0 +1,28 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\xnor2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_4.sym
new file mode 100644
index 0000000..d03402b
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor2_4.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 ^ ~"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@Y @prefix\\\\xnor2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=Y dir=out }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {Y} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_1.sym
new file mode 100644
index 0000000..40b8fa2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_1.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xnor3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_2.sym
new file mode 100644
index 0000000..12472b2
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_2.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xnor3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_4.sym
new file mode 100644
index 0000000..0da8b3d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xnor3_4.sym
@@ -0,0 +1,35 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xnor3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 45 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 40 0 5 0 360 {}
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor2_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_1.sym
new file mode 100644
index 0000000..a9e9260
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_1.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 ^"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor2_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true
+}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 
+function2="0 1 ^"}
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor2_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_2.sym
new file mode 100644
index 0000000..85c4a65
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_2.sym
@@ -0,0 +1,27 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor2_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor2_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_4.sym
new file mode 100644
index 0000000..4a1814d
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor2_4.sym
@@ -0,0 +1,28 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=primitive
+function2="0 1 ^"
+format="@name @@A @@B @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor2_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -20 -35 -20 {}
+L 4 -60 20 -35 20 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+B 5 -62.5 -22.5 -57.5 -17.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 17.5 -57.5 22.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -34 0 0 0.2 0.2 {}
+T {B} -55 6 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor3_1.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_1.sym
new file mode 100644
index 0000000..c39de54
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_1.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor3_1"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor3_2.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_2.sym
new file mode 100644
index 0000000..f1f4abf
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_2.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor3_2"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschem/symbols/sky130_stdcells/xor3_4.sym b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_4.sym
new file mode 100644
index 0000000..c047182
--- /dev/null
+++ b/xschem/sub/xschem/symbols/sky130_stdcells/xor3_4.sym
@@ -0,0 +1,34 @@
+v { version=2.9.8 file_version=1.2}
+G {}
+K {type=primitive
+format="@name @@A @@B @@C @VGND @VNB @VPB @VPWR @@X @prefix\\\\xor3_4"
+template="name=x1 VGND=VGND VNB=VNB VPB=VPB VPWR=VPWR prefix=sky130_fd_sc_hd__ "
+extra="VGND VNB VPB VPWR prefix"
+highlight=true}
+V {}
+S {}
+E {}
+L 4 -60 -40 -45 -40 {}
+L 4 35 0 60 0 {}
+L 4 -30 -30 -15 -30 {}
+L 4 -30 30 -15 30 {}
+L 4 -60 40 -45 40 {}
+L 4 -45 -40 -45 -20 {}
+L 4 -45 -20 -35 -20 {}
+L 4 -45 20 -45 40 {}
+L 4 -45 20 -35 20 {}
+L 4 -60 0 -31.40625 0 {}
+B 5 -62.5 -42.5 -57.5 -37.5 {name=A dir=in goto=2 propag=2 }
+B 5 -62.5 -2.5 -57.5 2.5 {name=B dir=in goto=2 propag=2 }
+B 5 57.5 -2.5 62.5 2.5 {name=X dir=out }
+B 5 -62.5 37.5 -57.5 42.5 {name=C dir=in goto=2 propag=2 }
+A 4 -77.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+A 4 -21.07142857142857 36.78571428571428 67.06112046149408 33.26691584358777 51.53865524867743 {}
+A 4 -21.07142857142857 -36.78571428571428 67.06112046149408 275.1944289077348 51.53865524867743 {}
+A 4 -87.5 0 56.18051263561058 327.7243556854224 64.55128862915524 {}
+T {A} -55 -54 0 0 0.2 0.2 {}
+T {B} -55 -14 0 0 0.2 0.2 {}
+T {X} 55 -14 0 1 0.2 0.2 {}
+T {@name} -16.25 -5 0 0 0.2 0.2 {}
+T {@symname} -25 -45 0 0 0.2 0.2 {}
+T {C} -55 26 0 0 0.2 0.2 {}
diff --git a/xschem/sub/xschemrc b/xschem/sub/xschemrc
new file mode 100644
index 0000000..2289e77
--- /dev/null
+++ b/xschem/sub/xschemrc
@@ -0,0 +1,299 @@
+#### xschemrc system configuration file
+
+#### values may be overridden by user's ~/.xschem/xschemrc configuration file
+#### or by project-local ./xschemrc
+
+###########################################################################
+#### XSCHEM INSTALLATION DIRECTORY: XSCHEM_SHAREDIR
+###########################################################################
+#### Normally there is no reason to set this variable if using standard
+#### installation. Location of files is set at compile time but may be overridden
+#### with following line:
+# set XSCHEM_SHAREDIR $env(HOME)/share/xschem
+
+###########################################################################
+#### XSCHEM SYSTEM-WIDE DESIGN LIBRARY PATHS: XSCHEM_LIBRARY_PATH
+###########################################################################
+#### If unset xschem starts with XSCHEM_LIBRARY_PATH set to the default, typically:
+# /home/schippes/.xschem/xschem_library
+# /home/schippes/share/xschem/xschem_library/devices
+# /home/schippes/share/doc/xschem/examples
+# /home/schippes/share/doc/xschem/ngspice
+# /home/schippes/share/doc/xschem/logic
+# /home/schippes/share/doc/xschem/xschem_simulator
+# /home/schippes/share/doc/xschem/binto7seg
+# /home/schippes/share/doc/xschem/pcb
+# /home/schippes/share/doc/xschem/rom8k
+
+#### Allow user environment to override the path to the PDK
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "/usr/share/pdk/sky130A"
+}
+set PDKPATH "/home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A"
+#### Flush any previous definition
+set XSCHEM_LIBRARY_PATH {}
+#### include devices/*.sym
+append XSCHEM_LIBRARY_PATH ${XSCHEM_SHAREDIR}/xschem_library
+#### include skywater libraries. Here i use [pwd]. This works if i start xschem from here.
+append XSCHEM_LIBRARY_PATH :$env(PWD)
+append XSCHEM_LIBRARY_PATH :$PDKPATH/libs.tech/xschem
+# append XSCHEM_LIBRARY_PATH :/mnt/sda7/home/schippes/pdks/sky130A/libs.tech/xschem
+#### add ~/.xschem/xschem_library (USER_CONF_DIR is normally ~/.xschem)
+append XSCHEM_LIBRARY_PATH :$USER_CONF_DIR/xschem_library 
+
+###########################################################################
+#### SET CUSTOM COLORS FOR XSCHEM LIBRARIES MATCHING CERTAIN PATTERNS
+###########################################################################
+#### each line contains a dircolor(pattern) followed by a color
+#### color can be an ordinary name (grey, brown, blue) or a hex code {#77aaff}
+#### hex code must be enclosed in braces
+array unset dircolor
+set dircolor(sky130_fd_pr$) blue
+set dircolor(sky130_tests$) blue
+set dircolor(xschem_sky130$) blue
+set dircolor(xschem_library$) red
+set dircolor(devices$) red
+
+###########################################################################
+#### WINDOW TO OPEN ON STARTUP: XSCHEM_START_WINDOW
+###########################################################################
+#### Start without a design if no filename given on command line:
+#### To avoid absolute paths, use a path that is relative to one of the
+#### XSCHEM_LIBRARY_PATH directories. Default: empty
+set XSCHEM_START_WINDOW {sky130_tests/top.sch}
+
+###########################################################################
+#### DIRECTORY WHERE SIMULATIONS, NETLIST AND SIMULATOR OUTPUTS ARE PLACED
+###########################################################################
+#### If unset $USER_CONF_DIR/simulations is assumed (normally ~/.xschem/simulations) 
+# set netlist_dir $env(HOME)/.xschem/simulations
+set netlist_dir .
+
+###########################################################################
+#### CHANGE DEFAULT [] WITH SOME OTHER CHARACTERS FOR BUSSED SIGNALS 
+#### IN SPICE NETLISTS (EXAMPLE: DATA[7] --> DATA<7>) 
+###########################################################################
+#### default: empty (use xschem default, [ ])
+# set bus_replacement_char {<>}
+#### for XSPICE: replace square brackets as the are used for XSPICE vector nodes.
+# set bus_replacement_char {__} 
+
+###########################################################################
+#### SOME DEFAULT BEHAVIOR
+###########################################################################
+#### Allowed values:  spice, verilog, vhdl, tedax, default: spice
+# set netlist_type spice
+
+#### Some netlisting options (these are the defaults)
+# set hspice_netlist 1
+# set verilog_2001 1
+
+#### to use a fixed line with set change_lw to 0 and set some value to line_width
+#### these are the defaults
+# set line_width 0
+# set change_lw 1
+
+#### allow color postscript and svg exports. Default: 1, enable color
+# set color_ps 1
+
+#### initial size of xschem window you can specify also position with (wxh+x+y)
+#### this is the default:
+# set initial_geometry {900x600}
+
+#### if set to 0, when zooming out allow the viewport do drift toward the mouse position,
+#### allowing to move away by zooming / unzooming with mouse wheel
+#### default setting: 0
+# set unzoom_nodrift 0
+
+#### if set to 1 allow to place multiple components with same name.
+#### Warning: this is normally not allowed in any simulation netlist.
+#### default: 0, do not allow place multiple elements with same name (refdes)
+# set disable_unique_names 0
+
+#### if set to 1 continue drawing lines / wires after click
+#### default: 0
+# set persistent_command 1
+
+#### if set to 1 automatically join/trim wires while editing
+#### this may slow down on rally big designs. Can be disabled via menu 
+#### default: 0
+# set autotrim_wires 0
+
+#### set widget scaling (mainly for font display), this is useful on 4K displays
+#### default: unset (tk uses its default) > 1.0 ==> bigger 
+# set tk_scaling 1.7
+
+#### disable some symbol layers. Default: none, all layers are visible.
+# set enable_layer(5) 0 ;# example to disable pin red boxes
+
+#### enable to scale grid point size as done with lines at close zoom, default: 0
+# set big_grid_points 0
+
+###########################################################################
+#### EXPORT FORMAT TRANSLATORS, PNG AND PDF
+###########################################################################
+#### command to translate xpm to png; (assumes command takes source 
+#### and dest file as arguments, example: gm convert plot.xpm plot.png)
+#### default: {gm convert}
+# set to_png {gm convert}
+
+#### command to translate ps to pdf; (assumes command takes source
+#### and dest file as arguments, example: ps2pdf plot.ps plot.pdf)
+#### default: ps2pdf
+# set to_pdf ps2pdf
+set to_pdf {ps2pdf -dAutoRotatePages=/None}
+
+
+###########################################################################
+#### CUSTOM GRID / SNAP VALUE SETTINGS
+###########################################################################
+#### Warning: changing these values will likely break compatibility
+#### with existing symbol libraries. Defaults: grid 20, snap 10.
+# set grid 20
+# set snap 10
+
+###########################################################################
+#### CUSTOM COLORS  MAY BE DEFINED HERE
+###########################################################################
+#  set cadlayers 22
+#  set light_colors {
+#   "#ffffff" "#0044ee" "#aaaaaa" "#222222" "#229900"
+#   "#bb2200" "#00ccee" "#ff0000" "#888800" "#00aaaa"
+#   "#880088" "#00ff00" "#0000cc" "#666600" "#557755"
+#   "#aa2222" "#7ccc40" "#00ffcc" "#ce0097" "#d2d46b"
+#   "#ef6158" "#fdb200" }
+
+#  set dark_colors {
+#   "#000000" "#00ccee" "#3f3f3f" "#cccccc" "#88dd00"
+#   "#bb2200" "#00ccee" "#ff0000" "#ffff00" "#ffffff"
+#   "#ff00ff" "#00ff00" "#0000cc" "#aaaa00" "#aaccaa"
+#   "#ff7777" "#bfff81" "#00ffcc" "#ce0097" "#d2d46b"
+#   "#ef6158" "#fdb200" }
+
+###########################################################################
+#### CAIRO STUFF
+###########################################################################
+#### Scale all fonts by this number
+# set cairo_font_scale 1.0
+
+#### default for following two is 0.85 (xscale) and 0.88 (yscale) to 
+#### match cairo font spacing
+# set nocairo_font_xscale 1.0
+#### set nocairo_font_yscale 1.0
+
+#### Scale line spacing by this number
+# set cairo_font_line_spacing 1.0
+
+#### Specify a font
+# set cairo_font_name {Sans-Serif}
+# set svg_font_name {Sans-Serif}
+
+#### Lift up text by some zoom-corrected pixels for
+#### better compatibility wrt no cairo version.
+#### Useful values in the range [-1, 3]
+# set cairo_vert_correct 0
+# set nocairo_vert_correct 0
+
+###########################################################################
+#### KEYBINDINGS
+###########################################################################
+#### General format for specifying a replacement for a keybind
+#### Replace Ctrl-d with Escape (so you wont kill the program)
+# set replace_key(Control-d) Escape
+
+#### swap w and W keybinds; Always specify Shift for capital letters
+# set replace_key(Shift-W) w
+# set replace_key(w) Shift-W
+
+###########################################################################
+#### TERMINAL
+###########################################################################
+#### default for linux: xterm
+# set terminal {xterm -geometry 100x35 -fn 9x15 -bg black -fg white -cr white -ms white }
+#### lxterminal is not OK since it will not inherit env vars: 
+#### In order to reduce memory usage and increase the performance, all instances
+#### of the lxterminal are sharing a single process. LXTerminal is part of LXDE
+
+###########################################################################
+#### EDITOR
+###########################################################################
+#### editor must not detach from launching shell (-f mandatory for gvim)
+#### default for linux: gvim -f
+# set editor {gvim -f -geometry 90x28}
+# set editor { xterm -geometry 100x40 -e nano }
+# set editor { xterm -geometry 100x40 -e pico }
+
+#### For Windows
+# set editor {notepad.exe}
+
+###########################################################################
+#### SHOW ERC INFO WINDOW (erc errors, warnings etc)
+###########################################################################
+#### default: 0 (can be enabled by menu)
+# set show_infowindow 0
+
+###########################################################################
+#### CONFIGURE COMPUTER FARM JOB REDIRECTORS FOR SIMULATIONS
+###########################################################################
+#### RTDA NC
+# set computerfarm {nc run -Il}
+#### LSF BSUB
+# set computerfarm {bsub -Is}
+
+###########################################################################
+#### TCP CONNECTION WITH GAW
+###########################################################################
+#### set gaw address for socket connection: {host port}
+#### default: set to localhost, port 2020
+# set gaw_tcp_address {localhost 2020}
+
+###########################################################################
+#### XSCHEM LISTEN TO TCP PORT
+###########################################################################
+#### set xschem listening port; default: not enabled
+# set xschem_listen_port 2021
+
+###########################################################################
+#### BESPICE WAVE SOCKET CONNECTION
+###########################################################################
+#### set bespice wave listening port; default: not enabled
+set bespice_listen_port 2022
+
+
+
+###########################################################################
+#### UTILE SPICE STIMULI DESCRIPTION LANGUAGE AND TRANSLATOR
+###########################################################################
+#### default paths are set as shown here: 
+# set utile_gui_path ${XSCHEM_SHAREDIR}/utile/utile3
+# set utile_cmd_path ${XSCHEM_SHAREDIR}/utile/utile
+
+###########################################################################
+#### TCL FILES TO LOAD AT STARTUP
+###########################################################################
+#### list of tcl files to preload.
+# lappend tcl_files ${XSCHEM_SHAREDIR}/change_index.tcl
+lappend tcl_files ${XSCHEM_SHAREDIR}/ngspice_backannotate.tcl
+lappend tcl_files $PDKPATH/libs.tech/xschem/scripts/sky130_models.tcl
+###########################################################################
+#### XSCHEM TOOLBAR
+###########################################################################
+#### default: not enabled.
+# set toolbar_visible 1
+# set toolbar_horiz   1
+
+###########################################################################
+#### SKYWATER PDK SPECIFIC VARIABLES
+###########################################################################
+
+## (spice patched) skywater-pdk install
+# set SKYWATER_MODELS ~/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
+# set SKYWATER_STDCELLS ~/skywater/skywater-pdk/libraries/sky130_fd_sc_hd/latest
+
+## opencircuitdesign pdks install. You need to change these to point to your open_pdks installation
+# set SKYWATER_MODELS /usr/local/share/pdk/sky130A/libs.tech/ngspice
+# set SKYWATER_STDCELLS /usr/local/share/pdk/sky130A/libs.ref/sky130_fd_sc_hd/spice
+# set SKYWATER_MODELS /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice
+set SKYWATER_MODELS $PDKPATH/libs.tech/ngspice
+# set SKYWATER_STDCELLS /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.ref/sky130_fd_sc_hd/spice
+set SKYWATER_STDCELLS $PDKPATH/libs.ref/sky130_fd_sc_hd/spice
diff --git a/xschem/tb_sarlogic.spice b/xschem/tb_sarlogic.spice
index 72e9781..ea2c08a 100644
--- a/xschem/tb_sarlogic.spice
+++ b/xschem/tb_sarlogic.spice
@@ -127,13 +127,13 @@
 *.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmax_cmin.spice
 *.include /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.tech/ngspice/corners/fs_rmin_cmax.spice
 
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_4.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/decap/sky130_fd_sc_hd__decap_8.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/decap/sky130_fd_sc_hd__decap_3.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/buf/sky130_fd_sc_hd__buf_1.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_1.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_2.spice
-.include /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest/cells/tap/sky130_fd_sc_hd__tap_2.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_4.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/decap/sky130_fd_sc_hd__decap_8.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/decap/sky130_fd_sc_hd__decap_3.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/buf/sky130_fd_sc_hd__buf_1.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_1.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_2.spice
+.include /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/tap/sky130_fd_sc_hd__tap_2.spice
 **** end user architecture code
 **.ends
 
diff --git a/xschem/xschemrc b/xschem/xschemrc
index cb6cf43..80c15ca 100644
--- a/xschem/xschemrc
+++ b/xschem/xschemrc
@@ -299,3 +299,4 @@
 # set SKYWATER_STDCELLS /home/oe23ranan/pdk/volare/sky130/versions/41c0908b47130d5675ff8484255b43f66463a7d6/sky130A/libs.ref/sky130_fd_sc_hd/spice
 set SKYWATER_STDCELLS $PDKPATH/libs.ref/sky130_fd_sc_hd/spice
 set SKYWATER_STDCELLS /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_sc_hd/latest
+set SKYWATER_STDCELLS /tmp/caravel_tutorial/pdk/skywater-pdk/libraries/sky130_fd_sc_hd/latest