blob: 9a7d9cb2d41509fcf69567a3dd3ea15be4aca3ca [file] [log] [blame]
v {xschem version=2.9.9 file_version=1.2 }
G {}
K {type=raw_data_show
vhdl_ignore=true
spice_ignore=false
verilog_ignore=true
tedax_ignore=true
template="name=r1 node=xxx
descr=\\"I=\\""}
V {}
S {}
E {}
A 15 0 0 1.875 90 360 {fill=true}
T {tcleval(@node\\)} 6.875 -16.09375 0 0 0.2 0.2 {layer=15}
T {@descr} 6.875 -28.59375 0 0 0.2 0.2 {layer=15}